sscs_NLsummer07 7/13/07 9:20 AM Page 1 SSCSSSSCSSSSCCSS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS Summer 2007 Vol. 12, No. 3 www.ieee.org/sscs-news

The 40th Anniversary of Amdahl’s Law sscs_NLsummer07 7/13/07 9:20 AM Page 2

Editor’s Column

elcome to self-contained resource with back- Anderson, retired Chairman of the Sum- ground articles (that is, the ‘original National Cash Register. mer, 2007 sources’) and current articles by We also reprint Dr. Amdahl’s orig- W experts who describe the current inal article “Validity of the Single Solid-State Circuits Society News! state of affairs in technology and the Processor Approach to Achieving We are delighted impact of the original papers and/or Large Scale Computing Capabilities” to receive feedback patents. from the Spring Joint Computer from our readers. For Summer, 2007 the theme is Conference, 1967, pp. 483-485. Please refer to the Letters to the Edi- “The 40th Anniversary of Amdahl’s Thank you for taking the time to tor for comments on “The Origins of Law,” and Dr. Amdahl has written a read the SSCS News. We appreci- the Integrated Circuit” (Spring, special Feature Article entitled “Com- ate all of your comments and feed- 2007). This Summer, 2007, issue is puter Architecture and Amdahl’s back! Please send comments to the third of four that SSCS plans to Law.” We are also reprinting a biog- myl@us..com. publish annually (one each in Win- raphy of Dr. Amdahl and excerpts ter, Spring, Summer, and Fall). from an interview that he gave in January, 2007, to Dr. William S. LETTERS TO THE EDITOR The goal of each issue is to be a Dr. Lanzerotti, I want to compliment you on the IEEE Solid-State Circuits Society AdCom outstanding Spring 2007 issue of President: Fax: +1 914 945-4160 the SSCS news. The articles by Richard C. Jaeger Elected AdCom Members at Large Thomas H. Lee and Riordan/Hod- Alabama Microelectronics Center Terms to 31 Dec. 07: deson are superb. I have always Auburn University, AL Bill Bidermann [email protected] David Johns been fascinated by the history Fax: +1 334 844-1888 Terri Fiez behind the technology I use in my Vice President: Takayasu Sakurai daily work and these two articles Willy Sansen Mehmet Soyuer pulled all the facts together in a K. U. Leuven Terms to 31 Dec. 08: Leuven, Belgium highly readable format. Thanks Wanda K. Gass Secretary: Ali Hajimiri again and keep up the great work! David A. Johns Paul J. Hurst University of Toronto Akira Matsuzawa Toronto, Ontario, Canada Sincerely, Ian Young Bruce Tesch Treasurer: Terms to 31 Dec. 09: Rakesh Kumar John J. Corcoran Senior Member of Technical Staff Technology Connexions Kevin Kornegay Maxim Integrated Products Poway, CA Hae-Seung (Harry) Lee Past President: Thomas H. Lee Dear Mary Lanzerotti, Stephen H. Lewis Jan Van der Spiegel University of California I wanted to write to say how much I Davis, CA Region 8 Representative: have enjoyed the recent issues of SSCS Other Representatives: Jan Sevenhans News, and to applaud your work in pre- Representative to Sensors Council senting historical articles. If any of us at Darrin Young Region 10 Representative: Representative from CAS to SSCS CK Wang the IEEE History Center can ever be of Domine Leenaerts help to you or your writers, please feel free Representative to CAS from SSCS Chairs of Standing Committees: Un-Ku Moon Awards David Hodges to contact me. We are always eager to Chapters Jan Van der Spiegel assist any efforts by IEEE units to pro- Newsletter Co-Editors: Education CK Ken Yang mote electrical engineering history, and Mary Y. Lanzerotti Meetings Bill Bidermann IBM T.J. Watson Research Center Membership Bruce Hecht we cheer your efforts. [email protected] Fax: +1 914 945 1358 Nominations Stephen H. Lewis Publications Bernhard Boser With very best wishes, Lewis Terman IBM T. J. Watson Research Center For detailed contact information, see the Soci- Robert [email protected] ety e-News: www.ieee.org/portal/site/sscs Robert D. Colburn For questions regarding Society business, contact the SSCS Executive Office. Research Coordinator Contributions for the Fall 2007 issue of the Newsletter must be received by IEEE History Center, Rutgers 8 August 2007 at the SSCS Executive Office. A complete media kit for advertisers is University available at www.spectrum.ieee.org/mc_print. Scroll down to find SSCS. 39 Union Street Anne O’Neill, Executive Director Katherine Olstein, SSCS Administrator New Brunswick, NJ 08901 IEEE SSCS IEEE SSCS 445 Hoes Lane 445 Hoes Lane, +1 732 932 1066 Piscataway, NJ 08854 Piscataway, NJ 08854 fax: +1 732 932 1193 Tel: +1 732 981 3400 Tel: +1 732 981 3410 http://www.ieee.org/web/abou- Fax: +1 732 981 3401 Fax: +1 732 981 3401 tus/history_center Email: [email protected] Email: [email protected]

2 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 3

Photo courtesy of Matt Thomas. Dr. and the WISC (Wisconsin Integrally Syn- chronized Computer), the subject of his Ph.D. thesis and the catalyst of his career in design and Summer 2007 Volume 12, Number 3 entrepreneurial activities.

Editor’s Column ...... 2 Letters to the Editor ...... 2 Corrections ...... 21 DVD Archive Notes ...... 21 Clarafication – Transitor Patent History ...... 21 TECHNICAL LITERATURE Computer Architecture and Amdahl’s Law, Gene M. Amdahl ...... 4 An Interview with Dr. Amdahl, William S. Anderson ...... 10 About Gene M. Amdahl Validity of the Single Processor Approach to Achieving Large Scale Computing Capabilities, Gene M. Amdahl (1967) ...... 19

PEOPLE Dr. Gene Amdahl to Speak at ICCAD 2007, Patrick H. Madden ...... 22 New Seniors ...... 22 18 Tools: ISSCC Paper Submissions - Increasing the Likelihood of Success, Jan Van der Spiegel and Kenneth Smith ...... 23

CHAPTER NEWS SSCS-Benelux and Leuven Student Branch Organize First Microelectronics Symposium, Jan Craninckx, Cedric Walravens ...... 25 SSCS Distinguished Lecturer Albert Wang Visits Central North Carolina ...... 25 Graduate Microelectronics Courses Initiated by SSCS-Italy ...... 26 SSCS-Santa Clara Valley: Did You Know That……?, Dan Oprica ...... 26 Razavi Kicks off DL Program in Vancouver, Resve Saleh ...... 27 RFIT Sponsored by SSCS Singapore on 9 – 11 December 2007 ...... 28

CONFERENCES ISSCC 2007 Panel on the Ultimate Limits of Integrated Electronics, Sudhakar Pamarti ...... 29 Europe’s Analog Design Experts Convene at 16th AACD Workshop in March, Jan Sevenhans and Jan Craninckx ...... 30 CICC in San Jose, 16-19 September ...... 30 27 19th Hot Chips Conference to Meet on 19-21 August at 31 ESSCIRC on 11-13 September in Munich: The European Forum for Solid-State Circuits ...... 32 2007 IEEE Bipolar/BiCMOS Circuits and Technology (BCTM) in Boston on 30 September, Yih-Feng Chyan ...... 33 IEEE Compound Semiconductor IC Symposium (CSICS) on 14-17 October in Portland ...... 34

NEWS 4th IEEE International Symposium on Medical Devices and Biosensors ...... 28 Eight Candidates Vie for Five SSCS AdCom Positions for 2008-2010 ...... 35 News from the IEEE Council on Electronic Design Automation (CEDA) ...... 37 Timely JSSC Articles of Interest, Anne O’Neill ...... 39 SSCS Members to Receive Nanotechnology Magazine, Bill Bidermann ...... 40 TryEngineering.org Available in Seven Languages ...... 40 Graduating Students Get a Discount on Dues ...... 40

31 CONFERENCE CALENDAR ...... 44

Summer 2007 IEEE SSCS NEWS 3 sscs_NLsummer07 7/13/07 9:20 AM Page 4

TECHNICAL LITERATURE Computer Architecture and Amdahl’s Law Gene M. Amdahl y educational background versity of Wisconsin in Madison. it as simple as possible, and to use has never included any This was an unusual time in technologies that were commer- training in the field of physics, for they had just discov- cially available. I chose a magnet- M ered “strange particles” in late ic drum for main storage, with re- computing, so all of my design activities have been based on my 1949, and the name “meson” had circulating registers to minimize experience and the necessity of not yet been proposed. At that the use of electronics. For I/O I solving current problems. Conse- time two other graduate students planned to use paper tape with a quently, my computer architecture and I were assigned to determine teletypewriter, which could both contributions will largely be auto- if a force between nuclear particles punch and read paper tape and biographical. proposed by a Japanese physicist print as well. I was raised on a farm in eastern could adequately describe the sim- I determined that I could use South Dakota and attended a one- plest 3-body nucleus, Tritium floating point exclusively if I had room grade school for all eight (Hydrogen 3). We worked for 30 a way to deal with the transfer of grades. We didn’t have electricity days using an 8-digit desk calcula- word segments from one word to until I was a freshman in high tor, and a slide rule to hold two another! The 3-address operation school, so my technical experience more most-significant digits. We that I came up with was Extract, was limited primarily to mechani- mapped the energy of the system which took “n” bits, beginning at cal equipment. I enrolled in South for all relevant ranges of the bit “j” in word 1, was to be insert- Dakota State College (SDSC) in the parameters, but we couldn’t quite ed, beginning at bit “k” in word 2, fall of 1941 in mechanical engi- achieve a stable state. We had the result to be stored in location neering, but decided it was not the found the proposed force to be 3. This eliminated the need for field for me. So I took a potpourri inadequate, but I had found the approximately a dozen instruc- of courses in math, chemistry, means of calculating to be even tions in fixed point! The complete electrical engineering and physics. more inadequate! I then began to instruction set consisted of 10 World War II intervened early in think about how the computing instructions – Add, Subtract, Mul- my freshman year, and I joined the could be done better. The Univer- tiply, Divide, Compare (and trans- Navy as an Electronic Technician, sity had no information on com- fer if the difference is zero or teaching electronics. Returning to puters in its library, no courses in negative), Transfer, Extract, Read- SDSC in 1947, I selected physics as computing and no computers, save in, Read-out, and Halt. Read-in my major, graduating in 1948. for an electronic analog computer and Read-out were also very dif- I received a Wisconsin Alumni in the Electrical Engineering ferent from any I/O operations I Department. observed for several years follow- My major professor, Dr. Robert ing this, until I planned the Sachs, recognized my dilemma design of my second computer at and arranged for me to get a 2- IBM in 1955, the IBM 709, when I month summer job in 1950 at the introduced the I/O channel. Aberdeen Proving Grounds. My Read-in and Read-out specified assignment there was to program the information source or sink, “super-sonic flow about a 3-dimen- beginning at a specified point in sional body”. The instruction set the source or sink and beginning was that of the EDVAC, then under at a specified location in the drum development. I wasn’t given any storage and continuing until com- introduction to programming, or to pleting the final specified loca- the structure of the computer. I tion. The Read-in and Read-out did not complete the programming instructions were executed con- during the 2 month period; I also currently and independently of heard that the development of the computational operations. This EDVAC was dropped because the overlap of I/O with computing mercury delay line was unstable was a major contributor to per- This is a picture of me in front of the due to temperature build-up when formance enhancement! entrance hall of the house I built so I operating. I was not enamored of The magnetic drum had suffi- could finish my undergraduate the EDVAC structure because the cient capacity to provide 32 tracks degree. I even had to make the front use of fixed point with a limited of storage, each containing 32 door! The date of the photograph is word length required a lot of words of 50 information bits and a 1948. rescaling to maintain reasonable 5 bit-length space for track switch- Research Foundation assistantship precision. As I returned to Wiscon- ing time, for a total track length of and began that summer in the field sin I formulated a 3-address float- 1760 bit times. The 50 bit word of Theoretical Physics at the Uni- ing point structure, trying to make was made up of 40 bits of numer-

4 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 5

TECHNICAL LITERATURE

ic fraction, 8 bits of exponent plus floating point arithmetic), the first crude characters of wire printing. 1 bit for exponent sign and 1 bit electronic computer to have The 701 had exhausted its market for sign of the fraction. The arith- pipelining, and the first electronic after the sale of 18 computers. The metic was performed on the computer to have input and output company decided that a follow-on numeric fractions by re-circulating operated concurrently and inde- computer, the 704, should be the fractions in re-circulating regis- pendently of computing! developed, utilizing the new mag- ters while the exponents and signs I told one of my fellow physics netic core memory rather than the were retained in electronic regis- students about my computer cathode-ray tube memory in the ters for control purposes. The re- design ideas, and he apparently 701, for the capacity could be circulating registers had the read was excited enough to pass the much larger. I was given the task and write heads spaced 44 bits information on to the Electrical of designing it, for the other expe- apart, 40 bits for the fraction and 4 Engineering Department, and in rienced IBM designers were about bits for switching time. With this the late fall of 1950 I was request- to be committed to a joint devel- spacing the fraction would have 40 ed by them to give a lecture on my opment project with MIT to devel- repetitions in a drum revolution, design ideas! I gave a seminar and op and produce the SAGE system. matching precisely the 1,760 bit about a week later the head of I decided to double the instruction times in a drum revolution. Each Electrical Engineering, Dr. Peter- size in order to accommodate a of the arithmetic operations was son, called my major professor and larger address and additional performed in the course of one asked him to change the subject of instructions to provide floating drum revolution. I thought I had my doctoral thesis to be a record point arithmetic as well as the invented a new way of performing of my computer design plan so fixed point arithmetic of the 701. I division in one revolution, consid- that their graduate engineers could had heard of an English computer ering the numerator fraction to be build it and be trained in this new having a “B-box”, a counter which the initial value of the remainder, field! My major professor agreed, allowed the repetition of a loop subtracting the denominator frac- and I spent six months writing the until the count reduced to zero. tion from the remainder and new thesis and ordering the mag- Any address step-changing in an adding a 1 in the leftmost quotient netic drum. I submitted my thesis array for each iteration still digit position, then shifting the in June, 1951, expecting to gradu- required separate instructions. I denominator fraction one bit posi- ate in June. But there was no one thought it would be more efficient tion to the right, preparing for rep- at the University who felt compe- if the count and the step-size could etition. If at any stage of repetition tent to properly evaluate it, so it be combined, then the program the remainder became negative the was sent to scientists at the could be shorter and faster. I called denominator fraction would be Aberdeen Proving Ground for it indexing and put three index added to that remainder instead of evaluation. They approved, and I registers in the 704 to accommo- subtracted and a 1 would be sub- graduated the following February. date different step sizes for differ- tracted in the corresponding quo- The thesis was titled “The Logical ent data arrays. I assigned two bits tient position rather than added. I Design of an Intermediate Speed in the instruction to identify no later heard that Dr. John von Neu- Digital Computer”; I named the indexing and which of the three man had patented it. computer the WISC (Wisconsin index registers to use in this Each arithmetic operation and Integrally Synchronized Comput- instruction. I also discovered that others took one drum revolution to er). It was completed in 1955 and index register contents could be be certain the instruction calling is now displayed in the Computer available early enough to modify for it to be acquired, then a second History Museum in Mountain the address in this instruction revolution to be certain the View, California. before fetching the data, thus hav- operands were acquired, then a A copy of the thesis was appar- ing no additional execution time! revolution to perform the opera- ently obtained by the IBM branch It turned out that the Sage system tion, and finally a revolution to be manager in Milwaukee and sent to also had an indexing capability, certain the result had been stored. IBM at Poughkeepsie. Nathaniel but I don’t know who had it first; Since the operations were non- Rochester read it and had IBM they were a classified project, and conflicting, there were four make me an offer to join them in I wasn’t cleared, so I had no instructions in the pipeline at all Poughkeepsie. I accepted and knowledge of it to get the dates of times, one picking up its instruc- joined IBM in June 1952. My ini- invention. tion, one picking up its operands, tial assignment was to simulate When the time came to price the one performing its operation and neural networks on the IBM 701, 704 for the market, it was neces- one storing its result. Consequent- according to the proposed charac- sary to estimate the probable mar- ly the computer performed one teristics in a monograph published ket size. Pricing people from IBM floating point operation per drum by Professor Hebb. I worked on it headquarters came to talk to me revolution. I believe there were for several months and concluded and get my agreement on size. several world firsts in that design, that the description was inade- They initially estimated a market of the first electronic computer to quate. I then turned my attention six machines (I assume they con- have floating point arithmetic (and to character recognition and had sidered the 18 701 machines had certainly the first to have only considerable success, even on the mostly satisfied the market). I was

Summer 2007 IEEE SSCS NEWS 5 sscs_NLsummer07 7/13/07 9:20 AM Page 6

TECHNICAL LITERATURE

incensed and insisted that the was a “history dependent table design. I wasn’t certain I had the machine had so much more capa- look-up”, which allowed code situation figured out for sure, so I bility than the 701 that it would conversions from BCD (Binary continued on. This new man was have a larger market size. Over Coded Decimal), IBM’s preferred uninterested in my design and had the next few weeks they came code, to ASCII, the newly adopted his own approach. He wanted to back with 12, then 18 and finally American Standard Code for Infor- design a front end computer which 32 before I agreed. The actual mation Interchange, or vice versa. would be a commercial computer number sold was 140, making it an It also allowed two binary coded which would then feed the back extremely profitable program! decimal numbers (each decimal end which would be the scientific I was then asked to design the digit occupying a 6-bit character computer. To me this seemed to follow-on system, the 709, and position) to be added or subtract- totally prevent any possibility of shortly thereafter to design a ed in binary, then using the table resulting in the that supercomputer (called STRETCH) look-up to convert the result to a I was commissioned to design! to utilize the new technology, tran- proper binary coded decimal Late that year I was invited to meet sistors. I was told it would be my result. These were two examples, with the Laboratory manager; he project, but that I would have to but many more were ultimately showed me his plan for restructur- get a development contract, prefer- developed by customers. The ing the Laboratory. It was to be a ably from the Livermore Labs or principal change I wanted to make matrix structure with several devel- Los Alamos. This was late Novem- was the introduction of an I/O opment projects feeding the tech- ber 1954. I consulted a bit with channel, permitting the computer nology engineering groups. The John Backus, and we agreed on to specify the reading or writing of STRETCH development was to be the principal characteristics the a specified amount of data to or managed by the man assigned to STRETCH should possess. I stud- from a magnetic tape or drum into me a few months earlier. I was to ied the capabilities of the pro- or out of memory without the be in charge of the STRETCH posed semiconductor technology, computer having to control the detailed design. I was appalled, for which was a new circuit type data flow as it occurred, just as I I knew we could never agree, and called ECL (Emitter Coupled did in the WISC, but be able to the project would fail. I didn’t Logic), sort of like the vacuum continue computing with only the respond about my reaction; I just tube push-pull amplifiers. They impact of some memory cycle went back to my office and wrote were extremely fast circuits and delays due to conflict of memory my letter of resignation. I did very power consuming. I did requests. This change was a sig- continue on until just before some designing of a multiplier to nificantly costly development so Christmas, providing my best estimate the probable performance that it required corporate approval. design ideas, all of which were that could be achieved if efficient- Elaine Boehm and I determined lost, then left for South Dakota for ly instructed. I then worked on a that we had to make an outstand- Christmas with my and my wife’s new concept “look ahead” which ing demonstration to win approval. families, then on to Los Angeles to consisted of fetching instructions We came up with the idea of a join Ramo-Wooldridge’s computer well in advance of their execution tape sorting program. The IBM division. time so that branch instructions 703 was a sorter-collator, a fairly Almost five years later Dr. Piore, could be recognized early enough modestly priced machine sold to IBM’s Chief Scientist who reported to fetch an alternative instruction the US Treasury. The expected directly to Tom Watson, came to sequence with no delay. The price for the 709 we estimated to Los Angeles and invited my wife design analysis was very promis- be at least two or three times that and me to have dinner at ing, yielding several times the per- of the 703. We programmed the Romanoff’s restaurant in Beverly formance we could achieve using sort and found that it performed so Hills. Dr. Piore’s wife was from vacuum tubes. Armed with my ini- much faster than the 703 that the the Romanoff family, so we had tial design results I visited Liver- cost of sorting on the 709 was less remarkable attention! Dr. Piore more first. They listened and were than on the 703. This demonstra- offered me the position of manag- very cordial, but they informed me tion tipped the balance and the ing the Experimental Machines that they had already committed to I/O Channel development was division in IBM Research, with the contract with a competitor so they approved! At this time (mid 1955) requirement to be on the East couldn’t commit to us. I then vis- I was surprised to have a man coast for a minimum of four ited Los Alamos and presented to assigned to my STRETCH project. months and a maximum of seven them; they were very interested I initially assumed he reported to months. My wife and I accepted and would negotiate with IBM. I me, but it became clear that he and were back in New York State then did some more fleshing out of thought I reported to him. This by November 1960. My first activ- the STRETCH design and also was very disconcerting, for I had ities were to look at the projects in determined what should be done been assured that STRETCH was my division. I cancelled the only to the 704 to produce a 709. In the my project before I accepted the two hardware design projects 709 project I added a number of assignment and had then gotten because they had no chance of reasonably useful new instructions, Los Alamos to the negotiating table being of value to IBM. One proj- one of the most interesting ones and had achieved quite a bit of the ect was a computer design which

6 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 7

TECHNICAL LITERATURE

had been continually changed but sion Bob and I met privately, and indexing very similar to the 7094. never complete enough to be eval- he asked me if I would consider There were only two architectural uated; the other was a government designing the new family of com- advances of note in the full family; project which utilized super con- puters. I asked him if the new the most significant was “base reg- ductor switches for logic, but there family of computers would be isters,” which allowed a much was no way to amplify diminishing upwardly compatible but not smaller address size required in the signal levels. This left only soft- downwardly compatible. He said instruction format to address a ware projects, which I retained, that was the plan. I said I would quite larger memory (I believe the and the responsibility for design- not be willing to do that, for it inventor was Dr. Gerritt Blau), and ing a new supercomputer with would only end up with the same the other was making the address- insignificant funding. I believe I budget problem I had just ing of the disk storage and tapes to was given this because the observed, for the generational be sufficiently alike so that the STRETCH project had not met its problem would exist immediately. users familiar with tapes could performance target so its price had I told him I thought the family experiment with the new disk stor- been reduced and became a loss could be both upwardly and age without having to use random leader. The 704, 709, and the fol- downwardly compatible and with access exclusively (this was largely low-on 7090 and 7094 had sus- virtually no cost impact, and if they due to 1401 I/O designers I tained IBM’s scientific computing would enforce this constraint I believe), in the fastest member, the market. would be willing to accept the model 90’s there were three very At this time IBM had the challenge. Bob thought for a powerful ones, loop trapping, SPREAD committee in session. moment, presuming I could possi- associated with look-ahead, There were about five major com- bly do it, and he agreed. So in planned by Dr. Tien Chi Chen and puter families made by various 1961 I was moving back to Pough- myself, then virtual registers (regis- IBM divisions, each of which had keepsie, where I worked for about ters assigned when and where generations which weren’t quite 10 hours a day defining data for- needed) and linked arithmetic compatible. Unfortunately, the mats, the instruction set, and in units, so results of one arithmetic total development costs were several cases the hardware struc- unit could become an input to growing impossibly large, for any ture, for each family member was another arithmetic unit without new device to be attached to have about a factor of three dif- any intervening register storage; required an engineering and soft- ference in performance from its these were planned by the regular ware project to be manned and neighboring members which design team. The principal nega- funded for each member of each required registers in the smaller tive consequence of the SPREAD family. So IBM’s development machines to be memory locations, committee data format constraints budget was greater than most com- but in larger machines to be in cir- appeared in floating point, where puter companies’ revenue! The cuitry. There were a total of 7 having to use binary sizes for the SPREAD committee’s goal was to machines in this System 360 fami- exponent size, eight bits, and for define data formats, kinds of I/O ly, covering a performance range fraction shifting by multiples of devices, control, storage and logic of about 600 to 1. It is still IBM’s four bits, for the rounding errors technologies which were to be mainframe line, though changed were larger than I thought reason- standardized, and to plan a new through the decades, and is IBM’s able. I tried to get relief from the family of computers which would largest revenue product, and as constraint in this case, but was replace all current families. This described in Halloween’s Palo Alto refused. It took about 20 years was not only an enormous under- Daily News, it is superior to com- before IBM switched to IEEE float- taking, but it was even more of a plexes of minicomputers or PC’s! ing point format. In the mid 1970s, political undertaking, for it would To meet the performance and the architectural concept invented require all of the divisions to yield cost constraints, the small in England called “Virtual Memory” their fiefdoms to the king! This machines had to use memory loca- was introduced into the IBM Sys- was a major revolution being tions as registers in appropriate tem 360, and with some months to fought, but the stakes were high, cases, where the larger machines learn how to use it efficiently, managing the costs to maintain could use hardware registers. I became a very effective advance! control of the world’s data pro- also discovered that there had to Amdahl computers during that cessing market-place! I had only be some portion of the architecture time utilized IBM instruction sets been back east for a couple of that had to be reminiscent of each that could employ the IBM operat- months when I was approached of the two significant families that ing system, which was almost uni- by the president of the Data Sys- we were replacing, otherwise the versal in the computing market- tems Division, Bob Evans. He designers from those families place. Consequently, Amdahls asked me to meet with him at a couldn’t develop the confidence didn’t contain architectural budgeting session to be held at a that the design would be accept- advances which altered instruction small resort called Jug-End. I sat able in their market segment. This results, but did contain pipelining through a session that amply resulted in decimal operations as in the WISC and had much demonstrated the development being memory to memory rather more advanced technology, such budgeting problem. After that ses- than in registers like the 1401 and as LSI (Large Scale Integration)

Summer 2007 IEEE SSCS NEWS 7 sscs_NLsummer07 7/13/07 9:20 AM Page 8

TECHNICAL LITERATURE

puter Conference to be held on the east coast. The purpose was for me to compare the computing potential of a super uniprocessor to that of a unique quasi-parallel computer, the Illiac IV, proposed by a Mr. Slotnik. The proposed Illiac IV had a sin- gle Instruction unit (I-unit) driving 16 arithmetic units (E-units). Each E-unit provided its own data addresses and determined whether or not to participate in the execu- tion of the I-unit’s current instruc- tion, an interesting, but controver- sial proposal. The super uniproces- Dr. Amdahl holding a 100gate LSI air-cooled chip. On his desk is a circuit board sor was a design type, not a specif- with the chips on it. This circuit board was for an Amdahl 470 V/6 (photograph ic machine, so I had to estimate to dated March 1973). the best of my ability what perform- ance could reasonably be achieved by such a design. Figure 1 shows a diagram of the Illiac IV; Figure 2 shows the performance of the Illiac IV on a problem having a varied, but reasonable, range of parallelism under the control of an with characteristics similar to those then currently in use, having quite a bit of system management and data movement code. Figure 3 shows the performance of the super uniprocessor on that same problem and operating sys- tem; Figure 4 shows the perform- ance of the Illiac IV with Slotnick’s Photograph of the Amdahl 470 V/6. expected future goal of 256 E-units with air cooling, a world first ly estimated that System 360 would and running a problem having a (developed by Fred Buelow), have to change the address length varied range of parallelism, but rather than IBM’s MSI (Medium to exceed about 15 MIPS (Million reaching a level of parallelism Scale Integration) with water cool- Instructions Per Second). Livermore matching “America’s symbol of ing. Amdahl also included anoth- Laboratory heard about the 1 bit of purity,” Ivory soap, 99.44%. er world first, remote diagnostics, I/O and thought it couldn’t be true called “Amdac,” invented by the so they ran tests for a month and field engineers. found that during office hours, During this time, I had access to when users were using the tape storage programs and data his- machines from their consoles, the tory for commercial, scientific, engi- number of bits of I/O averaged 1.1, Figure 1. A diagram of the Illiac IV. neering and university computing and at night doing batch processing centers for the 704 through the it averaged 1.0. They were sur- Figure 5 shows the formula I 7094. This gave insight on relative prised, but neither they nor I knew generated to estimate the Illiac IV’s usage of the various instructions why it should have that value. performance, giving it the benefit and a most interesting statistic -- When virtual memory came into of assuming that if some paral- each of these computing center common usage, the number of bits lelism existed all processors could work load histories showed that of I/O per instruction executed be usefully employed. there was 1 bit of I/O for each came down. Although I had limited These Figures are not quite the instruction executed! I also was data, I could reasonably estimate same as in the 1967 presentation, for able to determine the speed of com- that it correlated quite closely with they weren’t published, nor did I puting that could be maintained for the reduction of the percentage of keep them, for I had no expectation a given memory size. This was the program size which hadn’t of the intensity of their afterlife! I related to disk and tape speeds in needed to be brought in or retained never called this formula “Amdahl’s the environment of multi-process- during the course of its execution. Law” nor did I hear it called that for ing. These latter two properties I In 1967 I was asked by IBM to several years; I merely considered it determined in 1969, when I private- give a talk at the Spring Joint Com- an upper limit performance for a

8 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 9

TECHNICAL LITERATURE

and computer performance and also the number of bits of I/O per instruc- tion executed or as reduced, when considered as a function of the frac- tion of program required to be in “vir- tual memory”. These seem to be lost Figure 5. in the mist of time! I also consider the WISC to be the most remarkable The formula generated to estimate the architectural achievement I’ve made, Illiac IV’s performance. The numera- tor in the formula is Ps x (S+P), and and with no input from any source Figure 2. Illiac IV Performance the dominator is S+P/16. In this for- other than sheer inventiveness. mula, S is the % of the problem that There has been no publicity must be executed sequentially (or about the capability of the actual serially), and P is the % of the prob- Illiac IV. I did hear unofficially that lem which may be executed in paral- it was unable to be successfully lel if the computer has this capability. debugged at the University of Illi- The sum (S+P) is always equal to nois and that it was shipped to the 100%, or 1, for it is the workload to be NASA facility here in Sunnyvale performed. Ps is the performance of where debugging was being car- a computer which can only execute ried out by volunteers. I heard a the problem in a totally sequential few months later that they had got- manner, regardless of the problem ten it to work and had executed a possessing the capability for parallel test program, but that no informa- execution, and which has the speed tion on its performance had been Figure 3. Super Uniprocessor Perfor- of the Illiac IV’s I-unit. The denomi- made available. I’m not certain mance. nator reflects the capability of the Illi- that this information was entirely ac IV to be able to execute the P com- accurate so I cannot vouch for it. ponent 16 each instruction execution time (this is giving an advantage to the Illiac IV, for only in vector or From: Naintre, Paul matrix operations where the sizes are Sent: Friday, March 30, 2007 11:29 AM multiples of 16 would it perform that Subject: Arrivederci well). The ratio (S+P)/(S+P/16) repre- Hi Folks, sents the speed-up of the Illiac IV The day has arrived after 27 years architectural feature for parallelism. with Amdahl, when it's my turn to This speed-up times Ps is the opti- say goodbye. mistic performance of the Illiac IV. Figure 4. Performance of the Illiac IV By the way, no one challenged this It was a pleasure working with with Slotnick’s expected future goal of formula, just my range of only up to such great people as yourselves. 256 E-units. 50% parallel was thought by some to I always likened Gene Amdahl computer with ONE I-unit and N E- be a bit low. to Enzo Ferrari: both builders of units running problems under the powerful, gleaming, machines. control of that time period’s operating each computer also connected to I/O Enzo's machines were measured system! The debate between me and devices for loading the operating sys- in horse power, max rpms, engine Mr. Slotnick was joined by many in tem, initial data, and results. This size and number of Formula 1 wins. the audience, and it became quite made all control and data movement Gene Amdahl's machines were heated. I felt Mr. Slotnick was trying to be carried out in parallel. I didn’t measured in MIPs, max transactions to egg me into attacking him rather enter the fray; I merely commented per second, no. of CPU engines and than his computer design, but I care- that what they called Amdahl’s Law number of customer wins. fully avoided that, only to be attacked merely described the Illiac IV, which Two completely different indus- by Dr. Herbert Grosch in the audi- had only one I-unit. I also heard that tries, both built from passion, courage ence for not attacking him. It became Amdahl’s Law was used to challenge and a desire to produce the world’s a bit of a circus, and I was quite the multi-computer systems devel- most powerful, revered, machines. unhappy about being involved, for I oped by Massively Parallel, a Col- We certainly made the best prod- thought of it as a rational analysis of orado firm, where their chief system ucts in the world and I was very two competing design approaches, designer looked at the formula and proud to have the opportunity to not a bashing of another human for though it appeared to have the form work here with such great people. offering a controversial design of an information theoretical state- I wish you all Good Luck and all approach! Several years later I was ment and used it to further enhance the very best for the future. informed of a proof that Amdahl’s his system! As a result Massively Par- Paul. Law was invalidated by someone at allel invited me to join their advisory p.s. If you would like to stay in Los Alamos, where a number of com- board! I really still do not consider touch my new email id is: puters interconnected as an N-cube Amdahl’s Law to be as much of a law [email protected]. by communication lines, but with as the relationships of memory size

Summer 2007 IEEE SSCS NEWS 9 sscs_NLsummer07 7/13/07 9:20 AM Page 10

TECHNICAL LITERATURE An Interview with Gene M. Amdahl William S. Anderson, National Cash Register Company

illiam S. Anderson, who catalyst in my life, giving it stability, recording of my computer design, interviewed Dr. Amdahl purpose and satisfaction! We have so that graduate engineers could in January, 2007 in Palo now been married over 60 years. build it and be trained in this new W We’ve raised three children, Carl, field. I was then given a research Alto, CA, is the retired Chairman of the National Cash Register Compa- Delaine, and Andrea. I consider associate position on the engineer- ny. He was primarily interested in my family the crowning glory of my ing staff to start the project and how a start-up company could suc- life! After getting married I decided write my thesis on the WISC (Wis- cessfully compete with IBM when to return to SDSU. There was no consin Integrally Synchronized giant companies like General Elec- housing available in Brookings so I Computer). This set of events tric and RCA were unable to do so, decided to build a small house in changed my future from physics to even after investing on the order of sections and erect it on an empty computer design and entrepre- five billion dollars in their ventures. lot. Unfortunately there were no fit- neurial activities. The WISC is on tings for connecting to municipal display at the Computer History (Q) What was your background, water, though I explored all sources Museum in Mountain View (CA). including education? within two hundred miles! I finally (A) I was born on Nov. 16, 1922, in decided to approach McComb’s (Q) What was your first computer eastern South Dakota, and raised cabin court on the edge of the city. design work at IBM? on a farm. I attended a one-room Mr. McComb agreed to let me erect (A) IBM’s first scientific computer, country school for my first eight my house there and connect to his the 701, had been sold to 18 cus- grades, then a small high school of water and power. He was a most tomers and its market apparently about 150 students, graduating in gracious and fair landlord. When I saturated, so the decision to make a 1940 (we got electricity when I was was due to graduate in June 1948, I follow-on product was made. I was a freshman). I spent one year at applied to several graduate schools given the assignment of designing home, doing farm work, before to study Theoretical Physics. I was it. It was to be called the 704. The entering South Dakota State College accepted at the University of Wis- 701 had only fixed point and a (now a University). My father had consin at Madison. At the Universi- rather small memory. I elected to wanted me to attend a liberal-arts ty of Wisconsin I received a Wis- use the new larger magnetic core college, for he didn’t want me to go consin Alumni Research Founda- memory, and to introduce floating- to college to learn how to make a tion assistantship plus the last of my point arithmetic. I designed index living, but rather how to get the GI bill. I completed my courses, registers, which specified how most out of life! My interests were took my final exams, including my much the addresses would change in engineering at the time, so there- orals, and submitted my thesis. in each iteration, and installed three fore my choice. Since this was the Near graduation time in February in such a way that the indexing fall of 1941, Pearl Harbor was 1952 our first child, Carl, was born. took no additional time. bombed early in my freshman year. I continued in college for the next (Q) How did you get into comput- (Q) Did you do any more design year and a half, working as a janitor ers so early? work there? in the hospital for room and board (A) While studying for my doctor- (A) The initial market success was and helping with farm work during ate, two other graduate students so positive that I was immediately the summer. I wasn’t drafted due to and I were assigned the task of given the task of designing the fol- such a shortage in farm labor. I determining whether or not a pro- low-up machine, the 709, as well as was requested to teach Physics Lab- posed intra-nuclear force could the task of designing a super-com- oratory that fall at SDSC, because describe the three-body nucleus, puter to be called STRETCH, for the they had more than one hundred Tritium (Hydrogen 3). We worked technology was to be the new semi- soldiers coming in for the Army for a month using a desk calculator conductor transistors. I was also to Specialized Training Program and and a slide rule to map the energy try to get an atomic energy lab to not enough people who could of this nucleus, but there was no contract for it. I quickly defined the teach them. This was more satisfy- stable state. I felt there ought to changes to the 704 to make it into ing in terms of contributing to the be a better way to do these com- the 709; the biggest change was the war effort. During that time I took putations, so I began inventing a invention of the I/O channel, which the Navy’s Eddy Test, qualifying for computer, which I defined in the allowed the I/O to go on independ- and entering Naval electronics fall of 1950. The head of Electrical ently and concurrently with com- training, and teaching after that. Engineering, Dr. Peterson, heard of puting, just as I had done in the When I was discharged in June of my plans and invited me to give a WISC. The STRETCH project 1946 I immediately married my lecture on my design. After hear- required that I first learn the capa- fiancée, Marian Quissell, who grew ing it he requested my major pro- bilities of the transistor technology, up on a farm four miles from my fessor, Dr. Sachs, to change my then to improve the speed by look- home. This marriage was a major thesis subject from physics to the ing ahead in the program for branch

10 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 11

TECHNICAL LITERATURE

instructions to prepare for any pilot to type into blanks on a cath- in my division, I found two that I branch required. This look-ahead ode ray tube screen, then press a considered to have no useful technique and technology produced button and have the plan automati- future – one which a computer excellent results, so, armed with cally telegraphed to the FAA center; design which kept being altered, this, I approached Livermore Lab, we called it FLIDEN. We won the but never completed to the point only to learn they had just commit- contract, but again I didn’t initially where it could be evaluated. The ted to a development with a com- get the development project. Fairly other was a government-funded petitor. I then visited Los Alamos shortly thereafter the company project to develop a computer Lab and got them interested enough moved from the San Fernando Val- using superconductor switches as to commit. ley to Newport Beach. We had just logic gates; unfortunately there moved down when I was asked to was no way to provide any ampli- (Q) After you left IBM in Decem- rescue the FLIDEN project. I com- fication of diminishing signal lev- ber, 1955, what did you do at muted for a month, working more els. After getting those dropped I Ramo-Woolridge? than two shifts. I found there were had mostly technique (A) At Ramo-Woolridge I was over a hundred wiring errors and development and the assignment immediately put to work determin- instabilities in some of the circuitry. of responsibility for defining a new ing how to solve military require- I was so beat by this schedule that supercomputer, but with insignifi- ments. Upon writing up a proposal one late night as I was commuting cant funding. I was sent to Washington that night home I crossed over the highway to (Q) After developing the System on the “Red-Eye.” After shaving and LA airport, then looked up to see a changing my shirt in the Washing- water tower in a town which was 5 ton air terminal I visited three dif- miles father south. I had no memo- ferent military groups who each ry of anything in between! I decid- had new needs to meet, [and] then ed that I had to stay in a motel until off to catch the late flight back to I finished the project, which took LA and to bed by midnight. The about two more weeks. The project next morning I was back at work was a success, and the FAA used it determining how to solve these for a test facility, but funding for needs. When we got some of the their plan never materialized. I pro- contracts I expected I would have posed developing a computer for the chance to do some of the devel- the Ford Motor Company. When I opment, but management liked the had a chance to meet with them way I produced my solutions so they explained that if they went with they considered me to be their “util- us and something went wrong it ity outfielder,” whereas I considered would be their fault, whereas if they myself to be “out in left field”. went with IBM and something went wrong they would be in the clear, Letter to Dr. Gene M. Amdahl from (Q) Why and where did you go for they had gone with the best! Thomas J. Watson, Jr., CEO of IBM, from there? dated February 15, 1978. (A) I then began to seriously listen (Q) Why did you leave Aeronu- to my college and grad school tronics? friend, Dr. Harold Hall, who was in (A) The work in electronics began a new start-up company called to be very much the same as it had Aeronutronics, and which had just been in Ramo-Woolridge, but we been acquired by the Ford Motor surely loved living in Newport Company. This company appeared Beach! My mother had been ailing, to have adequate capital and high- and I wanted to visit her in South ly respected scientists in nuclear Dakota, but there never seemed to physics, rocket technology, and be a time when I could be spared, electronics. They also had quite a so I just resigned. When we got Dr. Amdahl with Dr. Hanzo Omi, the good stock option plan! I decided there it became clear that my moth- first man he met (about to pursue it. er had an untreatable cancer and 1966). “Dr. Omi was a very fine that it was terminal; she died two gentleman and scientist and had (Q) What did you do at Aeronu- months later, and we returned for been knighted twice by the emper- tronics? her funeral. It had been a mixed or for his technical contributions!” (A) My initial work at Aeronutronics up 1960 so far, and I still had to G. Amdahl was quite similar to that at Ramo- decide what I would do next. Woolridge, but here I had the 360 at IBM, how did you end up in opportunity to do a much more (Q) When you returned to work at California again? structured solution. A significant IBM that year, what research did (A) I was quite tired of the time one was the design of a flight data you do there? and politicking demands and entry machine, which allowed a (A) When I evaluated the projects remembered vividly that I had

Summer 2007 IEEE SSCS NEWS 11 sscs_NLsummer07 7/13/07 9:20 AM Page 12

TECHNICAL LITERATURE

agreed to go east for a minimum of would be developing a computer able to achieve a slightly higher 4 months and a maximum of 7 proposed by a group from IBM performance and a somewhat months. I also knew that Dr. research. I knew quite a bit about it smaller cost. Bob Evans came out Piore’s intent was for me to go to and liked much, but not all, of the to ACS with about five technical the area when plan. I agreed to do it, but being a people and they held a shoot-out. returned to California. I then Fellow, I did not report to their man- We won and I was made the lab structured my plan by calling Stan- agement. For a few weeks I tried to manager. The first thing I did was ford’s Engineering School to see if make some changes in areas I didn’t have the two smaller computers they would invite me to be a visit- like, but to no avail. I recognized costed. I then submitted the three ing professor for a couple of quar- that with the requirement to develop system plan to corporate pricing. ters. They did, so I informed man- the computer design, the technology The single highest speed computer agement that I was returning to and the total software support, that was a loss leader. The second California as a visiting professor there was no way they could possi- smaller computer added made a and that I would go on my own or bly find a big enough market to meet break-even program. Adding the as an IBM employee, it was up to IBM’s antitrust requirements of prof- third even smaller computer came them. They elected the latter, and itability. I didn’t want to be associat- out with normal profit! IBM man- I moved in the fall of 1964. ed with a loss-leading project, like agement decided not to do it, for it had happened to STRETCH in the would advance the computing (Q) Was your Stanford experience 1960s, so I thought about the prob- capability too fast for the company satisfying? lem and came up with a different to control the growth of the com- (A) In January [1965] I taught com- approach - design the computer to puter marketplace, thus reducing puter design at Stanford; this was be System 360 compatible and at the their profit potential. I then rec- quite interesting, for I experienced highest speed we could achieve. ommended that the ACS lab be quite a large range in the ease that This would eliminate all of the soft- closed, and it was. the students had in their of the ware development cost. To make it material. I never determined the rea- profitable we could design one or (Q) What happened after the ACS son, for I had no knowledge of their two smaller machines with the per- lab was closed? previous experiences. The second formance spacing of the existing (A) Just after the shoot-out, about quarter I taught was concentrated on 360 product line, thus sharing the two thirds of the employees left the analysis and explanations for the technology development costs IBM, most of them forming a start- performance of a cache memory in over a much larger market and up venture in designing a time-shar- enhancing the speed of the comput- maybe meeting the profitability ing computer; they got about 18 er. It was not too well organized, for requirements. months worth of capital investment. I was trying to increase my own A small group started a semiconduc- understanding. Concurrently I was (Q) How did you fare in the tor company to develop field effect working on a number of my pet design challenge and the conse- transistor memory chips for add-on problems at the IBM lab in Los quences? memory for IBM computers and also Gatos, with remarkable success. (A) I presented my alternative to an ECL memory chip for cache the project managers only to have memories. I stayed on at IBM ana- (Q) Was IBM still planning ways to it rejected out of hand, for they lyzing the performance of comput- use your design talent? were wedded to the architecture ing systems as a function of memo- (A) In late January, while teaching at they had developed. I was pon- ry size and disk and tape storage Stanford, I received a telephone call dering how to separate myself from units in the environment of multi- from the east coast just before din- the impending loss leader when programming. While I was doing ner. The call was to inform me that their top logic designer got into this, IBM management learned that a I was named an IBM Fellow, which some trouble. The managers con- company called Compat had entitled me to work on any project of sidered him unmanageable, but announced a minicomputer. They my choosing, with a small budget to couldn’t fire him so they found the had granted me permission to be on support it. While hearing this news solution, transfer him to me! I was the board of my brother’s consulting my knees got weak, and I had to delighted for he was responsible company, Compata, and immediate- hold on to a cabinet for support; for the design of the most perform- ly assumed it was Compat. Their then I heard some chimney falling ance determining part of their com- discussions went on for two or three and realized it was an earthquake puter. I knew that if he did the months without ever asking me rather than an overly large reaction design of that part of the 360 alter- before they recognized that it wasn’t to the good news! A few months native, there could be no charges Compata; however emotions had later I was asked to consider attach- of faulty design. It took a bit over reached such a fever pitch that they ing my Fellow activities to a new lab two weeks to describe enough of sent me a letter demanding that I IBM was starting called Advanced my performance approaches resign from Compata’s board, for it Computer Systems, ACS, which before he recognized that it was didn’t look good that an IBM would be designing a super comput- really feasible to compete with the employee was on the board of er, hopefully to serve the Livermore other design. He then went into it another company in the computer and Los Alamos labs. The project wholeheartedly and actually was field. I felt that my name had value

12 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 13

TECHNICAL LITERATURE

to him, and as well I was “hot under We wrote up our business plan in a gifted man to route! With a the collar” about IBM’s handling of as open and clear a manner as we package redesigned to provide the ACS project, so in September could, outlining the difficulties and much better heat conduction we 1970 I wrote a letter explaining my defining our strategies to counter could use air cooling instead of position and was resigning from them. We estimated our capital chilled water. We tried to get the IBM rather than my brother’s board. requirements at about $45 million. big semiconductor companies to I also informed them that I intended make our chips for us, but none of to start my own large computer (Q) How did you get your first them would. lis- company! The president of my divi- start-up money? tened to our presentation, but after sion tried to talk me out of it, for (A) I then traveled to Japan, invit- 20 minutes their vice president there was no money to be made in ed by Fujitsu, to give several lec- called me aside and said that it large computers! tures on computers to their engi- wouldn’t work, and if it did it was neers and to their board of direc- the wrong level of integration, and (Q) Why and how did you decide tors. I had known several of their if we kept on we would spend all to start ? top people for three or four years our money and go belly-up with (A) Ray Williams, the ACS financial and had great respect for them. nothing to show for it! Quite dis- man, was aware of my anger and When I returned Ray had arranged concerting! disgust and came to me with the a meeting with Ned Heiser, information that he had some con- founder of a new venture firm in (Q) How did you finance such a tacts in the venture capital world. Chicago. We presented our busi- demanding undertaking? He proposed that we immediately ness plan and requested an invest- (A) During these early days Fujitsu develop a business plan, and he’d ment of $5 million. They consid- friends would drop by from time arrange meetings with the VCs. We ered this for several days and came to time. They never asked much took about three weeks to do an back with an offer of $1 million. about our progress but they must analysis of the formidable task of We refused on the basis that we’d have sensed our growing confi- competing head on with IBM, for have nothing accomplished that dence, for in late spring they asked we intended to be compatible with we could show for raising more if we would consider an invest- IBM and, in fact, use their operat- money. They then asked us to ment from them; they felt it would ing system (we knew IBM had determine the least that we would need about 5 days of presentation decided to lease it independently need, so Ray and I pondered this to evaluate us thoroughly, and of the mainframe to reduce their carefully, and decided we could they would sign an agreement to antitrust risk). The reason for com- do it with $2 million, if we were protect our technology. We agreed patibility was that the mainframe careful. Heiser agreed, and we and presented for three days. On market was almost exclusively received his investment in Decem- the fourth day they stopped us IBM, and that producing a better ber 1970, two days after receiving saying they fully believed. They product than IBM seemed simpler an overdrawn notice from our invested $5 million and sent 20 than changing the market place. bank! engineers to assist in the develop- ment. Shortly after the presenta- (Q) Did you have problems tion our LSI chips came back, and staffing and designing a competi- they performed just as predicted! tive computer? We went on trying to raise more (A) We were asked by the ACS capital, but no venture capital firm start-up people to agree not to believed we could compete with make employment offers until they IBM. It was difficult to argue the had given up hope of getting more case since RCA, General Electric, capital; we agreed, and in early Xerox, and Philco were all getting January 22 of their people listened out of computers; RCA and Gener- to our plan and joined, so we were al Electric had each spent about $5 up and running. My plan to use a billion and were giving up! A sur- larger chip size for easier intercon- prise visit by Heinz Nixdorf from nection was improved upon by Germany was exciting, for after a Fred Buelow, who learned there few hours he agreed to put in $5 was a discarded, easily routed million. This also excited Fujitsu, approach called a gate-array, for they decided to invest an addi- which wasn’t economical enough tional $5 million! These events Gene M. Amdahl and Raymond A. for chip manufacturers, but we stirred the venture capital people Williams, the co-founders of Amdahl could get a 100 gate chip, Large- to invest $7.8 million! Corporation, playing in the “Live Oak Scale-Integration (LSI). This was Invitational golf tournament at phenomenal, for the ACS technol- (Q) How did you avoid encroach- Sharon Heights Golf and Country Club ogy only provided about 35 gates, ing on other IBM patents and other in Menlo Park, CA, Gene’s club. Circa Medium-Scale-Integration (MSI), technical property? 1995. and took three or four months for (A) When we started the design of

Summer 2007 IEEE SSCS NEWS 13 sscs_NLsummer07 7/13/07 9:20 AM Page 14

TECHNICAL LITERATURE

our computer I reminded every- customer’s management that IBM they had to honor our order for body that we were all bound by was the only safe decision. their software package to be our agreements with IBM not to licensed to an Amdahl computer, use any of their intellectual prop- (Q) Why did you think you could but I was convinced they had to or erty, but that if we used only the compete with IBM when RCA and the antitrust threat would immedi- descriptions in the IBM’s publicly GE couldn’t? ately materialize! provided user’s manual to do our (A) IBM’s earlier competitors designs, it would be free of con- developed their offerings while (Q) How did Amdahl’s marketing flict. Fortunately none of the IBM was “bundling” its software results progress? designers had ever designed a 360 with its hardware, therefore the (A) The initial market penetration computer, so that manual was nec- competitor had to develop its own by Amdahl was its first sale to the essary and there was no carryover software. RCA designed a machine NASA space computing center in of 360 logic detail. I had a friend nearly compatible with IBM’s and New York, where we were in IBM’s legal staff who later software that was also quite simi- allowed to being installation on informed me that IBM had made lar; however, the deviations from Friday night, with the expectation two in-depth investigations of our IBM’s hardware were carefully that it would take about a week product to determine if there was designed to appear easy to move and a half, like IBM required, but any misappropriation of IBM prop- to, but not appear too difficult to were astonished when they were erty, but decided we were clean as return to IBM if they didn’t like it. informed on Sunday noon that the a hound’s tooth, however clean RCA, however, had made it quite computer was ready for use! The that is. We also had to test the difficult to return, for they consid- next sales were primarily to Uni- availability of the IBM operating ered it to be the “barb” on their versities, where student users system licensed to our computer. fishhook! Being later and IBM appreciated the opportunity to mix We ordered it, and it took IBM insiders, we had the advantage to in some of their own system soft- almost two months to decide they plan on IBM having to maintain its ware, rather than being restricted had to do it, but they did! In short, unbundling; however, the Venture to only IBM’s. We also sold one to we didn’t do anything quite like Capital world was unable to read- a computing job-shop, but we IBM’s patent coverage, and we just its thinking when the new were still not able to get a commit- took advantage of their dropping strategy was presented by an aspir- ment from a commercial account their tie-in software policy as well ing startup! Some even thought until Massachusetts Mutual Insur- as their well-defined market place! we couldn’t design an IBM com- ance Company, who was very patible computer since RCA could- unhappy with IBM, decided to buy (Q) How could you develop a n’t! The cost of developing our from us instead. The installation product so much faster than IBM’s? own operating system and other was very successful, and it was (A) The technology in our comput- supporting software would have recognized that the Amdahl com- er was much more advanced than well more than doubled our capi- puter was viable! That broke the IBM’s, for we had opted for the LSI tal requirements! log jam, and most of our comput- chip with 100 gates rather than the ers were sold to commercial enti- MSI (medium scale integration) (Q) Why could you plan on using ties! Within the next 18 months, with only 35 gates. This meant we the IBM operating system? we had sold enough so that our could avoid nearly two thirds of (A) When IBM decided that they net profit, which was 30%, just like the chip crossings, which would were in serious risk of an antitrust IBM’s, had paid off all of our cor- cost significant time delays in the action for offering their hardware porate development cost, which logic paths involved. This also complete with all of the software, had reached some $60 million! So reduced the size of the machine, thus virtually keeping any other we had a perfect balance sheet. and each foot of wire cost 1 supplier from being able to make Our first full year of shipments had nanosecond. We also designed a an economically attractive offer in been $96 million, our second year simpler machine, by a more order- this marketplace, they decided the had been $196 million, and our ly, but not slower, instruction exe- separation of their software pack- third year had been $320 million! cution sequence like I used in the age from the hardware would not IBM had, of course, been effective- WISC. There was also another fac- be too costly, as long as the soft- ly reducing its prices by buying tor, based on IBM’s market man- ware package was kept bundled customer software packages of lit- agement approach, where they (this is my guess, for I was not tle value to them and had sold avoided too great an advance in involved in any decision-making). many more machines than we had, technology upgrades, for users The pricing of the software bundle but they realized they had to could drop down one member of was also economical enough to reduce the customer’s cost of com- the 360 family if the smaller mem- discourage competition. IBM also puting to preserve their market ber was fast enough. Amdahl’s did not make too big a public place. offering was a bit more than three announcement as far as my recol- times faster than IBM’s large mem- lection of the event, for the VCs (Q) How did IBM respond to your ber, and we priced it most compet- didn’t seem aware of it. IBM also success? itively, for we had to overcome took quite a bit of time to decide (A) The next move by IBM was the

14 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 15

TECHNICAL LITERATURE

announcement of a new 360 central government computing, (Q) What did you think you could improved family, the first to be the and who couldn’t get a deal from do as a follow-on to Amdahl Cor- 3030 (I’m sure you hunters can IBM. In France I struck a deal poration? recognize the significance of that where we would get import licens- (A) My back took about eight choice of number). This machine es for any sale we could make if months to get back to near normal- was to be equal in speed to we could have anything made for ity. During that time I pondered Amdahl’s and was to be priced our computer in a factory in what I should do when healed. 30% lower than ours! Immediately Toulouse. Being an inveterate pun- Carl and I brainstormed an interest- we analyzed what we had to do to ster I informed my VP of engineer- ing approach to very large scale respond. We came up with an ing that to get the proper picture integration, which we felt could improvement of our own, includ- he should make “la trek Toulouse”. make a wafer-size chip! I men- ing a smaller version to expand the We were quoted a price for mem- tioned it to Clifford Madden, market we addressed. We also had ory which was slightly less than it Amdahl’s VP of Finance. He got so to negotiate with Fujitsu to get cost us to make it! Britain was easy excited that he insisted that the lower prices on their manufactured to enter, but later. three of us should start a new com- parts (their manufacturing had pany! been very profitable, and with a (Q) Your relationship with Fujitsu smaller version, they could reduce was so strong; did you ever con- (Q) If incurring so many problems their prices and still fare as well). sider tempering it? in building a semi-conductor facil- With this plan, we were able to (A) I was concerned that our ity, how could you have done it maintain our 30% pretax profit in dependence on Fujitsu was in dan- differently? spite of IBM’s attempt to “mow our ger of making us effectively a sub- (A) I was chairman of the board, for grass to ground level”. Over time sidiary, and I felt that the only way I still had to protect my back, Clif- our competition reduced the cost we could be independent would ford was president, and Carl was of computing for the mainframe be to find an alternative supplier of head of engineering. We named the customers by over an order of new and much denser chips for company Trilogy, for the technique magnitude! IBM retaliated in Japan our new advanced computer offer- employed to make the wafer-scale by calling on the government to ings. I was unable to get support integration with high yield was to limit the use of their architecture from the engineering staff, for they use triplet gates, where it was possi- and software there, or they would felt they were not capable of deal- ble to test each gate and be able to reduce the prices in Japan to kill ing with some of the problems that remove one, or even two, of the off the Japanese computer compa- might come up. In the meantime gates if they were faulty, thus assur- nies, or so the government Fujitsu heard of this and began to ing an effectively working gate informed Fujitsu of this. try to make me stop agitating, unless all three were faulty. The accept their new planned chips. It financial planning community (Q) How did you expand your got bad enough that the president became wildly excited, and we market into Europe? came to Sunnyvale [California] and managed to acquire over $100 mil- (A) Nixdorf had not been a signif- verbally chewed me out. I had lion. Carl and I had planned to icant player, for their marketing wanted a reduced dependence on have a semiconductor company people had only had experience Fujitsu, not a separation from process the chips, but some of the selling small machines, and they them, for I was very much mindful things we would have to do weren’t decided not to try to make a that without them Amdahl would standard, so the president decided chance as drastic as would be never have survived! I also had we’d have to build our own facili- required, so they sold their stock quite a number of very close ties. The building of the super clean for a very significant profit. Japanese friends, and I still have semiconductor facility was delayed Amdahl entered the European them today. I must also say that during construction by unusually market, first in Germany upon Fujitsu treated the company very heavy and extended rains, so the receiving an inquiry and visit from fairly for the rest of its existence. costs mounted more rapidly than the Max Planck Institute in Munich planned. The complexity of the and from the European Space (Q) Did your efforts affect your routing program software, deposit- Agency in Ober Pfaffen Hofen health? ing enough metal for high power (with Nixdorf’s blessing and assis- (A) The stress of this struggle was distribution and good bonding of tance), then in Norway where I so severe that my back went into the chip to the chip carrier were was questioned about my recollec- spasm. Some twelve years earlier solved, but took extra time. The tions of my Norwegian roots and I had ruptured a disc, and it had only problem we hadn’t completely coerced into singing a song written healed, but it had still remained solved was the leakage of etching by the immigrants (this was publi- very sensitive. I realized that this fluids through layers of interconnec- cized in Fortune Magazine under spasm was so severe that I could- tion, (the universal problem for all the title “A Frog Sings in Norway”). n’t go back to work for quite a semiconductor companies). We esti- Italy came to us in the person of long time, so I decided it was best mated that two more passes of mak- the former IBM country manager to resign rather than continue ing the chip, testing for leakage who now had responsibility for all struggling. faults, determining how to modify

Summer 2007 IEEE SSCS NEWS 15 sscs_NLsummer07 7/13/07 9:20 AM Page 16

TECHNICAL LITERATURE

money! Carl suggested we could successfully produce 1/4 size chips and design a small product using them. I felt that the level of revenue we could achieve with that approach could hardly keep us afloat, so I contacted some of our principal investors and asked what they would recommend. They asked us to acquire a company with a computer product that would ben- efit from our remaining funds, so we did that. The negative publicity from this was as large as the positive publicity when we started! (Q) What was it about a start-up company that made it so attrac- tive to you? (A) If I had the chance to do it all over again I would first offer enough money to a semiconductor company to compensate for solving Dr. Amdahl and his son Carl at the founding of Trilogy (circa 1981). They were the nonstandard processes. If that Chairman and Vice President of Engineering, respectively. Clifford Madden, the wouldn’t work, I would take Carl’s President, was not available for this photograph. suggestion and see if we could sell the masks to fix it and making the wafer sized chip, for we had made the product design and chip avail- new masks would take about 24 three-quarters of the wafer success- ability to stay in business. These months. The costs of the delays had fully, but by the time we could suc- might not have worked, but if they reduced our capital so much that cessfully produce our full chip did we could have made a signifi- only about 24 months of run rate repeatedly, we would have no cant success! I strongly enjoyed the were left! We had proven to our money left to exploit it, and we felt atmosphere of cooperative enthusi- satisfaction that we could do the certain we could not raise more asm in the start-up adventure!

16 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:20 AM Page 17

TECHNICAL LITERATURE

About Gene M. Amdahl

omputer designer, author, inventor and corporate exec- Cutive, Gene Amdahl began his career in information processing in 1952 with IBM Corporation in Poughkeepsie, New York. Between 1952 and 1960 he held positions as chief designer for the 704, initial planner for the 709 and 7030, and manager of architecture for the System/360. Besides his bachelor’s degree in Engineering Physics from South Dakota State University in 1948, he holds a Ph.D. in Theoretical Physics from the Uni- versity of Wisconsin, 1952. While beginning work on his , he designed the first overlapped, electronic floating- point computer. In his doctoral thesis, he described how to build the computer he had designed. The construction of that computer took place over a period of four years by students at the University of Wisconsin. Although initially directed by him, until 1978 Dr. Amdahl never saw the finished product. The computer had long since been given to a private party A ceremonial signing (circa 1978) of the purchase documents for four Amdahl upon whose death it was offered 470 computers by British Overseas Airlines Company, BOAC. In the upper left to Dr. Amdahl. The computer is is Peter Williams, Head of Amdahl UK. Dr. Amdahl is seated at the lower left. called the WISC (Wisconsin Inte- The other people are BOAC Executives. grally Synchronized Computer). In June of 1952 Gene Amdahl puter equipment. system, and the IBM System/360. He joined IBM and initially worked on He rejoined IBM in 1960 and has written several articles on com- character recognition and intelli- during the period 1960-1970, was puter-oriented subjects and conduct- gence simulation. In 1953 he was director of experimental machines, ed a lecture series at Stanford Univer- made chief planner and project manager of systems design for sity, as well as being a guest lecturer engineer for the IBM 704 develop- advanced data processing systems, of the NATO Summer School in ment program. Following that, he manager of technology for high France in 1969 and 1976. did the initial planning for the IBM speed systems and director of the Gene left IBM in 1970 to form and 709 and IBM 7030, before leaving Advanced Computing Systems Lab- operate his own company, Amdahl IBM at the end of 1955. oratory (which he assisted in Corporation, for the purpose of In 1956 Dr. Amdahl joined Ramo founding). He was named an IBM developing what was to become the Woolridge in Los Angeles, where Fellow in 1965 at a time when few Amdahl 470 family of computers – he prepared several military and people in IBM received that honor. said to be the highest performing, internal proposals in the data pro- The appointment, made for a five- largest capacity general-purpose busi- cessing field. In addition, he did year period, freed the recipient to ness computers to date. The 470V/6 the system planning for what engage in the work of his choice. computer was put into operation in became the RW440 process control Dr. Amdahl is holder and co-hold- just over four years, despite the gar- computer. er of many patents on such develop- gantuan task of founding a large cor- In late 1956, he joined Aeronu- ments as recognition of recorded poration, raising capital investment tronics, a subsidiary of the Ford intelligence, analog-to-digital conver- funds, pushing the state-of-the-art, Motor Company, where he headed sion device, message display and attracting high caliber personnel and the commercial data processing transmission system, stored logic dealing with the multitude of details department which was responsible computer, large-scale shifter, memory connected with its operation. He for electronic data entry and com- protection system, storage protection resigned as Chairman of the Board

Summer 2007 IEEE SSCS NEWS 17 sscs_NLsummer07 7/13/07 9:21 AM Page 18

TECHNICAL LITERATURE

of the Year” Award, February 1981. Augustana College, Sioux Falls, South Dakota, awarded him the Honorary Doctorate in Science in May 1984. He is the recipient of the Harry Goode Memorial Award, sponsored by the American Federa- tion of Information Processing Soci- eties in recognition of his outstand- ing contributions to the design, applications, and manufacture of large-scale, high-performance com- puters in May 1983. He was elect- ed Fellow of IEE in Great Britain in After the ceremonial signing (circa 1978), BOAC held a photo shoot beside their 1987. He is the recipient of the Eck- Super Sonic Transport (SST), Dr. Amdahl then flew back to New York on it. ert Mauchly Award from the ACM- IEEE Computer Society in 1987. He of Science Degree and Distinguished is the recipient of the Centennial Service Citation from the University Alumnus Award from the South of Wisconsin. He is recipient of Dakota State University – National Case Western University’s presti- Association of State Universities and gious Michelson-Morley Award pre- Land Grant Colleges in 1987. sented to an engineer or scientist in In addition, he is a member of recognition of outstanding achieve- the National Academy of Engineer- ments and contributions to the well ing (elected in 1967) and a Fellow being of mankind. He is recipient of and member of the IEEE Profes- the Data Processing Management sional Group. In 1973 he was Association’s Computer Sciences cited in Business Week and For- Man-of-the-Year Award for 1976. He tune magazines as being one of is a Distinguished Fellow of the the “brightest new stars rising to in recogni- challenge the computer giant – tion of this work in computer design IBM.” The London Times included (1979). He is an elected member of Dr. Amdahl in its list of “The thou- the Quadrato della Radio (the Mar- sand makers of the 20th century.” Report published by Auerbach Pub- coni Society – Italy, 1980). He Gene M. Amdahl was born on a lishers Inc. in 1975 describing the list received an Honorary Doctorate of farm in Flandreau, South Dakota, of advances of the 470V/7 over the Science from Luther College, Deco- November 16, 1922. Gene and IBM 680. rah, Iowa, in October, 1980. He is Marian D. Quissell were married in on September 1, 1979, and served as the recipient of the University of 1946; they have three children and Chairman Emeritus and Consultant to Southern California’s “Entrepreneur reside in Palo Alto, California. the company until he severed all ties with the company on August 31, 1980. In the fall of 1980, Dr. Amdahl founded another venture, Trilogy Systems, which acquired Elxsi in 1985 for its principal computer sys- tem entry. After 1987 Dr. Amdahl founded Andor International, Ltd., a com- puter systems company in its prod- uct development stages. Dr. Amdahl holds an honorary Doctor of Engineering Degree from South Dakota State University and is a Distinguished Alumnus of that uni- versity. He is recipient of the W. Wallace McDowell Award sponsored by the IEEE Computer Society for his This photograph (circa 1984) shows the three parts of the Trilogy wafer-scale outstanding contributions to techni- chip carrier. On the right is the chip in its wafer-cooled section. In the center cal design and computer architec- is the pressure contactor to the chip for power connections to the chip. On the ture. He holds an honorary Doctor left is the 1,024 signal connector to the computer system.

18 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 19

TECHNICAL LITERATURE

Validity of the Single Processor Approach to Achieving Large Scale Computing Capabilities Reprinted from the AFIPS Conference Proceedings, Vol. 30 (Atlantic City, N.J., Apr. 18–20), AFIPS Press, Reston, Va., 1967, pp. 483–485, when Dr. Amdahl was at International Business Machines Corporation, Sunnyvale, California Dr. Gene M. Amdahl

This article was the first publica- The first characteristic of interest be strongly dependent on sweep- tion by Gene Amdahl on what is the fraction of the computation- ing through the array along differ- became known as Amdahl's Law. al load which is associated with ent axes on succeeding passes, etc. Interestingly, it has no equations data management housekeeping. The effect of each of these compli- and only a single figure. For this This fraction has been very nearly cations is very severe on any com- issue of the SSCS News, Dr. constant for about ten years, and puter organization based on geo- Amdahl agreed to redraw the fig- accounts for 40% of the executed metrically related processors in a ure. In the available hard copy it instructions in production runs. In paralleled processing system. Even was illegible. We print this his- an entirely dedicated special pur- the existence of regular rectangular toric paper to enable members to pose environment this might be boundaries has the interesting read the original source from reduced by a factor of two, but it is property that for spatial dimension some 40 years ago. highly improbably that it could be of N there are 3N different point The Editors reduced by a factor of three. The geometries to be dealt with in a nature of this overhead appears to nearest neighbor computation. If For over a decade prophets have be sequential so that it is unlikely the second nearest neighbor were voiced the contention that the to be amenable to parallel process- also involved, there would be 5N organization of a single computer ing techniques. Overhead alone different point geometries to con- has reached its limits and that truly would then place an upper limit tend with. An irregular boundary significant advances can be made on throughput of five to seven compounds this problem as does only by interconnection of a multi- times the sequential processing an inhomogeneous interior. Com- plicity of computers in such a rate, even if the housekeeping putations which are dependent on manner as to permit cooperative were done in a separate processor. the states of variables would solution. Variously the proper The non-housekeeping part of the require the processing at each direction has been pointed out as problem could exploit at most a point to consume approximately general purpose computers with a processor of performance three to the same computational time as generalized interconnection of four times the performance of the the sum of computations of all memories, or as specialized com- housekeeping processor. A fairly physical effects within a large puters with geometrically related obvious conclusion which can be region. Differences or changes in memory interconnections and con- drawn at this point is that the effort propagation rates may affect the trolled by one or more instruction expended on achieving high paral- mesh point relationships. streams. lel processing rates is wasted Ideally the computation of the Demonstration is made of the unless it is accompanied by action of the neighboring points continued validity of the single achievements in sequential pro- upon the point under considera- processor approach and of the cessing rates of very nearly the tion involves their values at a pre- weaknesses of the multiple proces- same magnitude. vious time proportional to the sor approach in terms of applica- Data management housekeep- mesh spacing and inversely pro- tion to real problems and their ing is not the only problem to portional to the propagation rate. attendant irregularities. plague oversimplified approaches Since the time step is normally The arguments presented are to high speed computation. The kept constant, a faster propagation based on statistical characteristics physical problems which are of rate for some effects would imply of computation on computers over practical interest tend to have interactions with more distant the last decade and upon the oper- rather significant complications. points. Finally, the fairly common ational requirements within prob- Examples of these complications practice of sweeping through the lems of physical interest. An addi- are as follows: Boundaries are like- mesh along different axes on suc- tional reference will be one of the ly to be irregular; interiors are like- ceeding passes poses problems of most thorough analyses of relative ly to be inhomogeneous; computa- data management which affects all computer capabilities currently tions required may be dependent processors; however, it affects geo- published- "Changes in Computer on the states of the variables at metrically related processors more Performance," Datamation, Sep- each point; propagation rates of severely by requiring transposing tember 1966, Professor Kenneth E. different physical effects may be all points in storage in addition to Knight, Stanford School of Busi- quite different; the rate of conver- the revised input-output schedul- ness Administration. gence, or convergence at all, may ing. A realistic assessment of the

Summer 2007 IEEE SSCS NEWS 19 sscs_NLsummer07 7/13/07 9:21 AM Page 20

TECHNICAL LITERATURE

effect of these irregularities on the actual performance of a parallel processing device, compared to its performance on a simplified and regularized abstraction of the problem, yields a degradation in the vicinity of one-half to one order of magnitude. To sum up the effects of data management housekeeping and of problem irregularities, the author has compared three different machine organizations involving approximately equal amounts of hardware. Machine A has thirty two arithmetic execution units twice the amount of hardware Comparative analysis with asso- controlled by a single instruction were exploited in a single system, ciative processors is far less easy stream. Machine B has pipelined one could expect to obtain four and obvious. Under certain condi- arithmetic execution units with up times the performance. The only tions of regular formats there is a to three overlapped operations on difficulty is involved in knowing fairly direct approach. Consider an vectors of eight elements. Machine how to exploit this additional hard- associative processor designed for C has the same pipelined execu- ware. At any point in time it is dif- pattern recognition, in which deci- tion units, but initiation of individ- ficult to foresee how the previous sions within individual elements ual operations at the same rate as bottlenecks in a sequential comput- are forwarded to some set of other Machine B permitted vector ele- er will be effectively overcome. If it elements. In the associative ment operations. The performance were easy they would not have processor design the receiving ele- of these three machines is plotted been left as bottlenecks. It is true ments would have a set of source in Figure I as a function of the frac- by historical example that the suc- addresses which recognize by tion of the number of instructions cessive obstacles have been hur- associative techniques whether or which permit parallelism. The dled, so it is appropriate to quote not it was to receive the decision probable region of operation is the Rev. Adam Clayton Powell- of the currently declaring element. centered around a point corre- "Keep the faith, baby!" If alterna- To make a corresponding special sponding to 25% data management tively one decided to improve the purpose non-associative processor overhead and l0% of the problem performance by putting two one would consider a receiving operations forced to be sequential. processors side by side with shared element and its source addresses The historic performance versus memory, one would find approxi- as an instruction, with binary deci- cost of computers has been mately 2.2 times as much hard- sions maintained in registers. Con- explored very thoroughly by Pro- ware. The additional two tenths in sidering the use of thin film mem- fessor Knight. The carefully ana- hardware accomplish the crossbar ory, an associative cycle would be lyzed data he presents reflects not switching for the sharing. The longer than a non-destructive read just execution times for arithmetic resulting performance achieved cycle. In such a technology the operations and cost of minimum of would be about 1.8. The latter fig- special purpose non-associative recommended configurations. He ure is derived from the assumption processor can be expected to take includes memory capacity effects, of each processor utilizing half of about one-fourth as many memory input-output overlap experienced, the memories about half of the cycles as the associative version and special functional capabilities. time. The resulting memory con- and only about one-sixth of the The best statistical fit obtained cor- flicts in the shared system would time. These figures were comput- responds to a performance propor- extend the execution of one of two ed on the full recognition task, tional to the square of the cost at operations by one quarter of the with somewhat differing ratios in any technological level. This result execution time. The net result is a each phase. No blanket claim is very effectively supports the often price performance degradation to intended here, but rather that each invoked “Grosch’s Law.” Utilizing 0.8 rather than an improvement to requirement should be investigat- this analysis, one can argue that if 2.0 for the single larger processor. ed from both approaches.

The diagram above illustrating “Amdahl’s Law” shows that a highly parallel machine has a harder time delivering a fair fraction of its peak performance due to the sequential component of the given computation and the overhead of coor- dination (e.g. synchronization) between the processors. Assuming a fixed sized problem, Amdahl speculated that most programs would require at least 25% of the computation to be sequential (only one instruction executing at a time), with overhead due to interprocessor coordination averaging 10%. The curves show that the more you depend on par- allelism for performance, the slower the system is likely to be in the probable case, 65%. The lowest curve (A) repre- sents the 32-wide SIMD processor, and the top curve (C) is for the modified vector processor. Scaled problems reduce the sequential component and the coordination overhead to a negligible level, making large numbers of processors very efficient in those cases. Justin Rattner, Intel Senior Fellow, [email protected], July 2007.

20 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 21

CORRECTIONS – Winter 2007 On page 12 in “A 30 Year Retro- spective on Dennard’s MOSFET Scaling Paper” by Mark Bohr, the first paragraph should read: “1 um transistor” not “1 mm transistor.” The second paragraph on page 12 should read: “<10-10 amps/um” not “<10-10 amps/mm.” Received from Mark Bohr On page 62, the name of the stu- dent design contest should be “DAC/ISSCC,” as a joint effort of the Design Automation Conference and the ISSCC. Received from Anantha Chandrakasan

IMPORTANT SYSTEM NOTES: Internet Explorer 7 Will Not Run Older SSC Digital Archive DVDs

2005 and prior DVDs will not work on Internet Explorer 7. For them to work either install Firefox (www.firefox.com) and use it to Shockley’s brilliant revolutionary conception of the junction transistor. Patent browse the DVD or downgrade 2,569,347, filed 26 June 1948. back to Internet Explorer 6. The error message presented when run- ning these older disks on Explorer Spring 2007 SSCS News article issued 5 months later but filed 7 is ‘Cannot connect’ or ‘Page can- “Crystal Fire, the Invention, Devel- earlier, is the first appearance of not be displayed’. opment and Impact of the Transis- “the brilliant revolutionary con- The 2006 pair of Archive DVDs, tor,” wrote us to note “One small ception of the junction transistor.” Foundations and Update work fine point. The Shockley patent on pp. (P.K. Bondyopadhyay, Proceed- with Internet Explorer 7. They were 30-31 is not his key patent on the ings of the IEEE “Shockley, the mailed to subscribers in April. To junction transistor, which was filed transistor pioneer-portrait of an purchase your copy for $40 member on 26 June 1948 and (I believe) inventive genius”, Jan 1998 Page price, order through the IEEE online awarded later. I think the one you 191 – 217) store shop.ieee.org/ieeestore/Prod- published is his patent on “filamen- For the Proceedings article (login uct.aspx?product_no=JD28106 tary” transistors, based on work required): ieeexplore.ieee.org/search/ For tech support please contact that was done in the summer of wrapper.jsp?arnumber=658771 [email protected]. 1948.” For free access to four historic SSCS excerpted Shockley’s earli- transistor patents see “The Road to CLARIFICATION - Transistor est patent based on issue date. the Transistor,” by Jed Margolin Patent History Other sources agree with Riordan iwww.jmargolin.com/history/trans. Michael Riordan, the author of the that Shockley’s patent 2,569,347, htm

Summer 2007 IEEE SSCS NEWS 21 sscs_NLsummer07 7/13/07 9:21 AM Page 22

PEOPLE Dr. Gene Amdahl to Speak at ICCAD 2007 Pioneering Achievement Award will Commemorate 40th Anniversary of “Amdahl’s Law”

Patrick H. Madden, SUNY Binghamton CSD [email protected]

r. Gene Amdahl will receive a SIGDA “Pio- years ago, Dr. Amdahl has made it clear that he would neering Achievement” award and will be the welcome interruptions and discussion during his talk. featured guest at the ACM/SIGDA member In its simplest form, Amdahl's Law assumes that for D any computing task, S percentage of instructions must meeting of ICCAD in San Jose, California on Tuesday evening, 6 November. Normally limited to members, be performed in serial fashion, while P percentage the meeting will be opened to all who wish to attend. can be performed in parallel. The possible speedup This year marks the 40th anniversary of “Amdahl’s that could be achieved through parallel computation Law,” which has had a profound influence on the with n processors would then be at best 1/(S + P/n). design of and on computing systems By looking carefully at a number of software applica- in general. In an address at the meeting, Dr. Amdahl tions, and by studying work done by Prof. Kenneth will describe the Law from a historical perspective Knight at Stanford, Dr. Amdahl inferred that there was and discuss its impact on systems being designed a significant percentage of serial work for most appli- today. SIGDA organizers anticipate that there will be cations. No matter how many processors might an extended discussion and some debate following become available, one would expect relatively limited his talk. speedup, with additional processors giving diminish- As the world's premier conference in electronic ing returns. Amdahl’s Law goes against intuition; one design technology, ICCAD (The International Confer- might expect a factor of four improvement with four ence on Computer-Aided Design sponsored by processors. In practice, this is seldom the case. IEEE/ACM) is an ideal venue for recognizing Dr. Over the past four decades, many groups have Amdahl. It is the major technical conference and net- tried to circumvent Amdahl's Law through clever working event for designers and design technology interconnect structures, elaborate compilers, and professionals, covering system design, synthesis, alternative computing models. To date, however, modeling, simulation and layout for both traditional progress has come through the avenues that Dr. CMOS and new nano-technologies. Amdahl advocated. Improved serial computation through faster devices and wires, circuit optimization, Amdahl’s Law grew out of a spirited debate at the and ever increasing clock rates has fueled the semi- AFIPS Joint Computer Conference in 1967. At the conductor industry. Only a handful of applications time, many computer designers believed that parallel (primarily graphics and large-scale scientific computa- computation was the obvious next step in enhancing tion) have enjoyed any significant benefit from par- performance. Dr. Amdahl took a dissenting position, allel computation. There is an industry-wide resur- and instead advocated a focus on faster serial com- gence of interest in parallel computation, spurred by putation based on several simple mathematical obser- multi-core microprocessors; it is not yet clear how this vations. In keeping with the spirit of the debate forty generation will deal with Amdahl’s Law.

Congratulations New Senior Members 19 Elected in April

Giovanni Anelli Switzerland Section Sanjay Raman Virginia Mountain Section Stephen Bates Northern Canada Section Ricardo A. Reis South Brazil Section Stephen Burnham Eastern Idaho Section Antonio G. M. Strollo Italy Section Mrinal Das Bangalore Section Thomas Thomas Oregon Section Daniel Foty Green Mountain Section Axel Thomsen Central Texas Section Patrice Gamand France Section Arnaud Tisserand France Section Jae-Hong Hahn Oregon Section F. E. Van Vliet Benelux Section W Margaret Huang Phoenix Section Derrick Wei Central Texas Section Eric A. M. Klumperink Benelux Section David Yokoyama-Martin Oregon Section Masaru Kokubo San Francisco Section

22 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 23

PEOPLE TOOLS: ISSCC PAPER SUBMISSIONS - INCREASING THE LIKELIHOOD OF SUCCESS Tips on Increasing Your Chance of ISSCC Acceptance Jan Van der Spiegel and Kenneth C. Smith

The Challenge submit the work, since the subcommittee will reject it ISSCC is the foremost milieu for presenting original work due to pre-publication. (To find out more about what in the area of solid-state circuits and systems-on-a-chip constitutes prepublication, consult the Call for Papers and related system considerations. Thus, it is not surpris- or the ISSCC Website.) ing that many engineering, design and computer profes- Technical Content sionals strive to present their work at the conference, There are many good ways to write a paper and where experts from industry and academe around the thereby to present your work in a clear and concise world gather to discuss the next generation of solid-state manner. The remainder of this article is only one circuits and systems. method of doing so. While it is by no means the only This article is intended as a guide for prospective one, it can be effective if done well. submitters to improve their chances of paper accept- Independent of your choice of style, bear in mind, ance. But, as someone wishing to submit a paper to when writing the paper, to emphasize specific new ISSCC, you have a very basic and serious challenge: It results with sufficient detail and data so as to be eas- is that the ISSCC Technical-Program Committee is very ily understood. Also include schematics and measured diligent in pursuing its goal of maintaining the high results for key circuits, whenever appropriate. standards for which the conference is known, and there Further detailed comments on the properties of a will be substantial competition from other submissions. good paper follow, by section, below. Note that this description reflects the order of your paper, not neces- Key Criteria for Acceptance sarily the order in which the sections may be written. There are two key requirements that each author For example, it is often best to write the conclusions first! must keep in mind when submitting a paper. First and foremost is the technical quality of the The Introduction work: Your paper will be read by expert reviewers (as Start your paper with a brief introduction that clearly many as 15 per paper!) who are very familiar with the states the nature and context of the problem you are state-of-the-art. You must convince them that the solving. Compare your approach with what others product of your work performs better than the most have done recently, highlighting how yours is differ- recent work of others, or describes an innovative ent and better. An important technique is to make concept and implementation. good use in the introduction of references to put your Second is the quality of the draft manuscript: Your work into its correct context. References tell the paper must allow reviewers to effectively evaluate reviewer that you are aware of the latest develop- your contribution and to compare it easily with previ- ments in the field. Use recent ISSCC references when- ous work, and other submissions to the conference. ever possible; otherwise, emphasize IEEE journal and conference references. It is strongly recommended Early Considerations not to use old references, except to emphasize the Before submitting your paper, you should ask your- time scale of the problem. Do not refer only to your self the following questions: own work. A good write-up typically has 4 to 6 key • Does your paper advance previous work, or does it references. Comment briefly on each and every one of introduce a new design concept? the items in your reference list, within your main text. • Does the paper have hardware? In particular, has a chip been fabricated and tested? While an innovative concept can be demonstrated The Body of the Paper Keep in mind that you have a maximum of only 1100 through simulation, it is desirable to show results words for the body of the paper, so use the limited from a working chip. space carefully. Plan in advance, and make an out- • Does the topic of the paper fit within the scope of line, very much like you design a system architecture. ISSCC? If so, within which of the subcommittees does Early on, give the specifications of your design. You the paper fit? (Note that the detailed topics covered should highlight and emphasize innovative aspects. by ISSCC are assigned to subcommittees, described in Explain the importance of these features in terms of the Call for Papers at www.isscc.org/isscc.) new design, improved performance (that is, power, If the answer to any of these questions is negative, speed, etc), achievements, as well as new technology, you may consider submission to another conference. and how they advance the state-of-the-art. In addition, if a substantial part of your paper has The central part of the paper should explain details already been (or will be) published, you should not

Summer 2007 IEEE SSCS NEWS 23 sscs_NLsummer07 7/13/07 9:21 AM Page 24

PEOPLE

of the approach you introduced earlier. Focus on the tions. Your paper is not a Ph.D. thesis: only relevant key ideas, and build up your arguments incremental- equations are needed, if any! If an equation is pre- ly. It is always helpful to use a figure or diagram to sented, explain it briefly, but thoroughly. Similarly, do illustrate your approach. Preferably show a circuit not write a tutorial paper. ISSCC submissions must schematic, and explain briefly how the circuit works, disclose innovation concisely. Remember you have and what is new about it. It is recommended that you only 1100 words! include a die photograph, giving the dimensions of Unsupported expressions such as “Fastest,” “Small- the chip and the technology that was used. est,” “Lowest power consumption,” etc. should be Measurement results are essential! Include meas- avoided. It is much more helpful to be quantitative, urements of the fabricated chip, and not just simula- precise, and explicit in making comparisons with ear- tions. If you use simulation results, make it clear what lier work. has been simulated, and what has been physically measured on-chip. Explain briefly what measure- A Common Reason for Rejection ments were done, and how. For power consumption A dominant reason why a paper is rejected is the and area measurement, state clearly what blocks are lack of clear evidence of what is novel in the work, included (or omitted). Show the results on a graph, and the extent to which it advances the state-of-the- but summarize critical aspects in table format to high- art. Successful submissions contain specific new light the specifications and performance metrics. Be results with sufficient detail and data to be under- precise and quantitative, and compare measured stood, and schematics and measured results for key results against stated requirements or prior art. If circuits, where appropriate. Correspondingly, if the appropriate, provide a figure-of-merit. Ideally, compare paper is not written well, or written so that the your results with those reported in relevant previously reviewers cannot evaluate it, the chances of accept- published ISSCC papers or other publications in the lit- ance are going to be low. Thus, it is essential to pay erature. Be straightforward in making the comparisons, attention to the quality of the write-up, as and do not ignore bad results; discuss and explain any explained above. shortcomings, rather than ignoring them. Another reason for rejection is that the paper gives only simulations, or deals mainly with modeling, and The Conclusions has no hardware. Also, rejection is inevitable if a sub- The last paragraph or two should summarize all your stantial part of the work has been published before important results, to give the reviewers a complete the candidate upcoming ISSCC (“prepublication”). picture of the system/circuit and to convince them of the technical quality and accuracy of the results. Sum- Summary marize briefly how the results advance the state-of- The most successful submissions to ISSCC are those the-art. that emphasize innovation and advancement of the state-of-the-art in solid-state circuits and systems-on- a-chip. Such submissions contain specific new results Overall Guidelines with sufficient detail and data to be easily under- The Title stood, and with schematics and measured results for The title should be descriptive, giving a good idea key circuits. about the paper’s contents and the achievements pre- sented. Do not make the title too broad or general, since it may appear to be a marketing or tutorial Disclaimer paper. An example of a title that captures some of the It should be understood that this article merely pro- key aspects of a paper is “An 800mW 10Gb/s Ether- vides suggestions and guidelines intended to improve net Transceiver in 0.13µm CMOS.” This title is much the quality of your paper submission. Corresponding- more descriptive than “A novel high-speed CMOS ly, there is no guarantee that a paper, however close- transceiver.” ly conforming to these suggestions and guidelines, will be accepted. The Abstract Each paper must have an Abstract of at most 325 char- acters that captures the key elements of the paper. Acknowledgments The Abstract should be focused and factual, and pro- The authors acknowledge contributions and sugges- vide a complete and quantified description of the tions from Laura Fujino, Qiuting Huang, Shahriar work, including concrete performance data. Mirabbasi, Sreedhar Natarajan, Willy Sansen, Albert Theuwissen, and Chorng-Kuang Wang. Don’ts Resources: ISSCC Website: www.isscc.org/isscc Minimize theory in the body of the paper. If theory is “How to Write a Paper for ISSCC” is available as a essential, summarize the results and refer to other flash presentation, prepared for students at A-SSCC in sources of such material in one or two references. November, 2006, at sscs.org/Chapters/07ChptrL- Correspondingly, refrain from giving too many equa- nch/07FebCafe.htm

24 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 25

CHAPTERS SSCS-Benelux and Leuven Student Branch Organize First Microelectronics Symposium Focus is “Smart Power” for Conserving Global Resources Jan Craninckx, IEEE SSCS Benelux chapter chair, [email protected], Cedric Walravens, IEEE SB Leuven chair, [email protected] total of 112 engineers registered for the first SSCS-Benelux Microelectronics Symposium Aon 14 March. Organized by the IEEE Student Branch (SB) Leuven and the SSCS Benelux chapter to enhance the link between electrical engineering students and local industry, this year’s theme was “Smart Power” -- a recurring topic in almost all mod- ern electronic systems and of great media interest with regard to conserving the world’s scarce energy resources. The event, sponsored by AMIS, included a total of five speakers who covered various aspects of micro-electronic circuits. • Liesbet Van der Perre (IMEC) gave an overview of recent achievements in the field of energy-efficient The organizers and speakers (from left to right): Patricia wireless communication that exploit the flexibility Van Reeth (AnSem), Cedric Walravens (IEEE SB Leuven Chair), Jan Craninckx (SSCS Benelux chapter chair), Jan offered in software-defined radio (SDR) systems. Sevenhans (AMIS), Bram De Muer (ICSense) and Dirk • Jan Sevenhans (AMIS) covered the use by two inter- Leman (Melexis). Missing in this picture; Liesbet Van national companies of high-voltage silicon tech- der Perre (IMEC). nologies in automotive and telecom applications. • Dirk Leman (Melexis) showed advanced electronic wireless sensor network nodes. solutions in car engine control and tire pressure • Finally, Bram De Muer (ICSense) showed a multi- monitoring systems. purpose, smart power sensor interface IC and its • Patricia Van Reeth (AnSem) reported on the ultra- applications, such as a pressure sensor. low-power transceiver circuits devised by start-up Networking time for all participants was provided companies represented at the Symposium and from by a closing reception. Plans are in the making for the the Katholieke Universiteit for hearing aids and for second symposium next year! SSCS Distinguished Lecturer Albert Wang Visits Central North Carolina lbert Wang of the Illinois Institute of Technology and Vice President of the Electron Devices Soci- Aety delivered a Distinguished Lecturer seminar to the EDS/MTT/SSC Joint Chapter at Greensboro, North Carolina at Analog Devices on April 20, 2007. Wang was hosted by Chapter Co-Chairs Dimitrios Efstathiou and Michael Hughes of Analog Devices, Inc. Wang’s talk on “Advanced ESD Protection Design for RF ICs” was well received by the audience of about thirty engineers, faculty and students from Ana- Back row from left: Dean Hoegeneyar, Mike Hughes, log Devices, RF Micro Devices, A&T University Abdoul Karim Adboulaziz, Dimitrios Efstathiou, Albert (Greensboro, North Carolina) and Greensboro Tech- Wang, Swana Muthukrisnan, Iqbal Chaudry. Front row nical Community College (GTCC). from left: Numan S. Dogan, Tony Ivanov. In an open session following the seminar, the group discussed many ESD related issues. This was design emerges as a grand challenge to RF/mixed-sig- one of EDS/MTT/SSC Joint Chapter’s best attended nal IC designs. Yet traditional trial-and-error approach- seminars. es still dominate the ESD design practices, which become increasingly unacceptable to RF/MSIC designs. Abstract This lecture discusses various key aspects of ESD pro- ESD (Electro-Static Discharge) failure becomes a major tection design including ESD protection principles, IC reliability problem as semiconductor IC technologies mixed-mode ESD design method, RFESD design evalu- continue to migrate into the VDSM (very-deep-sub- ation and ESD design prediction, etc. Practical ESD pro- micron) regime. On-chip ESD protection circuitry tection circuit design examples are presented.

Summer 2007 IEEE SSCS NEWS 25 sscs_NLsummer07 7/13/07 9:21 AM Page 26

CHAPTERS Graduate Courses Initiated by SSCS-Italy “Topics on Microelectronics” is First of Series at the University of Pavia

he first Ph.D.-level course on microelectronics Svelto – University of Pavia (Italy) at the University of Pavia, Italy on 1-3 May, 2007 • “Design of Analog Integrated Circuits under Low- attracted more than 40 students. Approximate- Noise Constraints,” by P.F. Manfredi – Univ. of. Pisa T (Italy) ly 25% came from industry and more than 30% from other Italian Universities. • “CMOS Charge Pumps,” by G. Torelli – University Organized in collaboration with the Department of of Pavia (Italy), Electronics of the University of Pavia by the recently • “Sigma-Delta Data-Converters for Telecom,” by F. established Italy Chapter of IEEE Solid State Circuits Maloberti – University of Pavia (Italy), Society and supported by the Society’s Chapter Sub- • “CMOS interfaces for imagers,” by D. Stoppa – ITC- sidy Program, the course initiated a series entitled irst (Italy) “Topics on Microelectronics.” The series seeks to provide wide-ranging coverage SSCS-Italy’s microeletronics Ph.D. program in Pavia of current challenges in the microelectronics world. evolved in response to the increasing number of In each segment, five overview and in-depth lectures microelectronics companies -- Acco, Austrian MicroSys- on a selected topic by professors and qualified tems, International Rectifier, Marvell, Maxim, National experts from companies or research will ensure that Semiconductor, STMicroelectronics, and others -- that academic and industrial approaches to research are have recently established design centers in the area. represented. The success of the initiative is due also to the contribu- tion of volunteers Laura Gobbi and Alessandro Cabrini. The five topics in the first segment were: • “Continuous-time Sigma-Delta Modulators,” by A. IEEE SSCS-Italy will participate in the organization of Di Giandomenico - Infineon (Austria) next year’s International Workshop on Advances on • “Frequency synthesis for wireless radios,” by M. Analog Circuit Design (AACD2008) to be held in Pavia Conta – GloNav (USA) on April, 8-10, 2008 in the same lecture hall where • “CMOS Buffer Amplifiers,” by R. Castello - Univer- Alessandro Volta gave his lectures more than 200 sity of Pavia (Italy) years ago. • “Interface Circuits for Resistive Chemical Sensors,” A visit to the museum with the exhibition of the by P. Malcovati - University of Pavia (Italy), instruments developed by Alessandro Volta will be • “Analysis and Design of Bandgap-Based Voltage possible. References,” by A. Gola - Infineon (Italy) For further information about the initiatives of the Chapter, or to participate in it, contact Andrea Baschi- The second course in September, 2007 will offer: rotto ([email protected]) or visit the website • “Design of CMOS down-conversion mixers,” by F. www.microelectronicsevents.com. SSCS-Santa Clara Valley: Did You Know That……?

By Dan Oprica, Santa Clara Valley IEEE Solid-State Circuits Chapter Programs Chair, [email protected]

• IEEE/SSCS Santa Clara Valley was THE FIRST SOLID- DANCE OF ALL IEEE CHAPTERS: over 900 atten- STATE CIRCUITS SOCIETY CHAPTER ESTAB- dees in 11 technical meetings in 2004 (almost dou- LISHED IN THE WORLD! ble from 466 in 2003). On May 13, 2004 we had 160 • We had our inaugural meeting shortly after ISSCC in participants at a lecture by Professor Phillip Allen 1997; our first speaker was Kenneth Kundert. on “The Practice of Analog IC Design.” During our • We celebrated our tenth anniversary on 22 March. 10 years, we have had many other outstanding lec- The featured speaker was Stanford University Pro- tures attended by over 100 participants, such as: fessor Mark Horowitz and the topic of his lecture – “PLL History: A Personal Viewpoint,” presented was “Rethinking Analog: Digitally Driven Analog by Dr. Floyd M. Gardner, IEEE Fellow Design.” – “Cascaded Noise Shaping for Oversampling A/D • SCV IS THE MOST NUMEROUS SSCS CHAPTER IN and D/A Conversion,” presented by Professor THE WORLD. -- We have about 1600 members, 80 Bruce Wooley, our Society Past President, and Senior Grade; 25 IEEE Fellows, six of them Life Fel- one of our best supporters. lows; 24 IEEE Life members, two of them Senior • For the past 10 years we have held from 8 to 11 IEEE Life members. -- A prestigious list of “Who is technical meetings every year, typically on the third who in Solid-State”! Thursday of each month except for July and • SCV HAS ESTABLISHED WORLD RECORD ATTEN- December, in the National Semiconductor, Building

26 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 27

CHAPTERS

E Auditorium, at 2900 Semiconductor Dr. in Santa Clara, CA. Refreshments are provided at 6:00 PM.; presentations start at 6:30 p.m. and usually last an hour (longer if necessary) for in depth coverage of the topic. Attendees can ask questions of the speaker.

From left to right: Eric Hoffman, Mark Hooper, Dan Oprica, Professor Asad Abidi, Adrian Gradinaru, Kara Poon.

retary June Song and Webmaster Perry Chow. • Past lecturers came: Ken Kundert IEEE Fellow, our first lecturer; Allen Podell, IEEE Life Fellow and lec- Professor Asad Abidi lectured at National Semiconductor turer in our early years (also our future speaker in on 19 October, 2006: “Evolution of CMOS Software- May 2007 on “Negative Impedance Converters”); Defined Radio Receiver Front-End.” Bhupendra Ahuja, IEEE Fellow; Dr. Jayasimha Prasad; IEEE Fellow and Electron Devices distin- The great majority of the presentations are on our guished lecturer. web site: www.ieee.org/scv/ssc/, one of most visited • Present also were some of our best supporters: IEEE Chapter sites in the world. David Su, IEEE Fellow and Professor at Stanford • For our remarkable activity in 2004 we received the University, who has lectured in person and spoke IEEE Solid-State Circuits Society Outstanding Chap- four more times on SOCs with colleagues from Ath- ter of the Year Award! eros Communications, Dr. Masoud Zargari, Dr. • A majority of our Chapter Past Chairs attended our Manolis Terrovitis, Dr. Lalitkumar Nathawad, and 10th anniversary meeting: Jonathan David, John Dr. Weimin Si; Mr. Stefan Rusu, IEEE Fellow, who Merrill, Lee Colby, Dan Oprica, Sorin Spanoche and has lectured twice on state of the art microproces- Eric Hoffman. sors; and Mr. Aurangzeb K. Khan, who has lectured • All the members of the 2004 Solid-State Circuits twice on EDA tools application for SOCs. Society Outstanding Chapter of the Year Award Our 2007 Chapter officers are: Chair Adrian Gradi- wining team were present: Chair Dan Oprica, Vice naru, Vice Chair Kara Poon, Treasurer Mark Hooper Chair Sorin Spanoche, Treasurer Eric Hoffman, Sec- and Programs Chair Dan Oprica. Razavi Kicks off DL Program in Vancouver

Resve Saleh, SSCS-Vancouver Chapter Chair, [email protected]

n the first of five technical seminars scheduled for quencies: generation, division, and distribution of 2007, Prof. Behzad Razavi of UCLA spoke on “60- oscillator signals. In heterodyne systems, on the other IGHz RF Transceivers in CMOS: Why and How?” at hand, all three issues are relaxed considerably,” he SSCS-Vancouver on 5 April. Over 100 people attended, said. A variety of questions were asked by attendees including 63 IEEE members. from academia and industry. Dr. Razavi described the challenges of 60GHz RF It was definitely our most exciting talk to date and design in CMOS based on an article he published in a great way to start off the year for the Chapter, which the January 2006 issue of JSSC. He explained that with is celebrating its third year of existence. On June 14, multiple antennas and transceivers operating on one 2007 Dr. Ash Parameswaran will deliver a talk on his chip, and with the enormous analog and digital signal research in polymer-based MEMS technology. He will processing required for high-rate communications, present examples of various MEMS devices that can be CMOS technology is essential but such speeds are dif- produced by this novel technology and cover some ficult to reach. In a 0.13um technology, with fT around aspects of microassembly. Dr. Parameswaran is Direc- 75GHz, he was able to design a receiver front-end tor of the Institute of Micromachine and Microfabrica- running at 60GHz. One interesting comment he tion Research at Simon Fraser University. We look for- offered was that direct conversion transceivers would ward to a large audience for this one as well. Three eventually give way to heterodyne transceivers. other talks are planned for August, September and “Three issues plague direct conversion at these fre- November to round out the year.

Summer 2007 IEEE SSCS NEWS 27 sscs_NLsummer07 7/13/07 9:21 AM Page 28

CHAPTERS RFIT Sponsored by SSCS-Singapore on 9 – 11 December 2007 Manuscripts Sought for 2nd International Workshop on Radio-Frequency Integration Technology

Yong Ping Xu, National University of Singapore, [email protected]

he 2nd RFIT workshop will be held at Rasa Sen- Manuscripts are sought by 24 August on UWB, soft- tosa Resort on Sentosa Island in Singapore from ware defined radio, Modeling, 3D integration, RF 9 to 11 December 2007. MEMs. LPLV Wireless Systems and ICs, RFID and T DVB/DMB tuners. The workshop aims at providing a forum for exchanging information on the latest developments in The workshop is sponsored by the IEEE MTT Soci- the areas of RF and mmWave IC design, and integra- ety and the SSCS Singapore Chapter. More details are tion of wireless systems. available at www.rfit2007.org.

“From Terahertz Imaging to Telehealth Technologies” at Cambridge University, UK on 19-22 August 4th IEEE-EMBS International Summer School and Symposium on Medical Devices and Biosensors (ISSS-MDBS) The 4th International Summer School and Symposium health, with a view to integrating terahertz technolo- on Medical Devices and Biosensors (ISSS-MDBS), gy into medical devices in the future. In the sympo- sponsored by the IEEE Engineering in Medicine and sium sessions, invited and contributed talks will Biology Society (IEEE-EMBS), will be held at St describe original work in research and development. Catharine’s College, Cambridge University, UK from There will also be poster sessions of additional con- 19-22 August 2007. The theme is “From Terahertz tributed work. The summer school sessions will Imaging to Telehealth Technologies.” Terahertz imag- include informative tutorials about the main topics of ing and spectroscopy techniques have progressed interest (listed below) and laboratory tours will be rapidly over the last decade and numerous medical held on 22nd August giving scientists from all back- applications are currently being explored. This com- grounds further opportunities to broaden their knowl- bined summer school and symposium is intended to edge of medical science. This event directly precedes promote awareness of terahertz technology in the the 29th Annual International Conference of IEEE- medical field and to inform terahertz scientists of the EMBS in Lyon (23-26 August) themed “Sciences and latest advancements in medical devices and tele- Technologies for Health.” Terahertz Topics: Related Topics: Telehealth Topics: Imaging techniques NIR and visible techniques Wearable medical devices Spectroscopy techniques MRI Body sensor networks Medical applications Ultrasound Low-cost healthcare equipment Pharmaceutical applications Standards for healthcare devices Textile electronics Enquiries: Dr. E. Pickwell-MacPherson ([email protected]) Tel: + 852 2609-8260 Prof. Y.T. Zhang ([email protected]) Tel: + 852 2609-8459

28 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 29

CONFERENCES

ISSCC 2007 Panel Review: The Ultimate Limits of Integrated Electronics Sudhakar Pamarti, UCLA, [email protected]

oderator Philip Wong set the entertaining gressing towards the fundamental limits of inte- tone of the discussion by insisting that grated electronics. Maccording to current estimates there were as As the evening progressed, there was near una- many as a hundred MOS transistors for every ant on nimity in the panelists’ opinion that circuit design will our planet, and wondering where this bounty of change, if it is not already doing so, to accommodate transistors is leading us. He posed to the panelists variability and leakage. They also agreed that there a laundry list of questions ranging from the physical would be no dearth of applications to justify the eco- limits of scaling, the effects on circuit design, the nomic costs of extending scaling even as their appli- likelihood of architectural innovations, new materi- cations of choice were different, ranging from robot- als, or techniques such as 3D chip stacking extend- ics and transportation to health and biology-related ing the life of scaling, and the applications that applications. would provide the economic impetus to further The eagerly awaited spark of controversy had to scaling. wait until the moderator steered the discussion towards architectural innovations and techniques such as 3D stacking and their ability to extend the life of CMOS scaling. Prof. Meindl’s faith in 3D chip stacking and exot- ic “trimodal I/O” approaches involving electrical, optical, and fluidic interfaces for data communica- tion and heat removal needs was met by general dis- belief from the rest of the panel. Prof. Brodersen repeatedly questioned the viability of the multi-core processor architectures without addressing software, compiler, and other programmability issues. How- ever, the rest of the panel, particularly Intel’s Mark Bohr, did not seem interested in taking the bait. Prof. Brodersen went on to argue that embedded systems that do not suffer from the software legacy “There are as many as one hundred MOS transistors for issues of today’s computing platforms would provide every ant on our planet.” H.-S. Philip Wong the ideal developing ground for radically different architectural approaches. Some of us in the audi- The panel was interestingly split on the question ence were disappointed by the other panelists’ of CMOS scaling. While panelists from industry seeming reluctance to rebut Brodersen’s arguments. (Won-Sung Lee, Kiyoo Itoh, Hans Stork, and Mark Although the discussion was not as controversial Bohr) pointed to limits imposed by the economics as the audience would have liked, it was surely of reducing variability, leakage, and other prob- entertaining, as attested by the particularly long inter- lems associated with scaling as early as the 32nm active Q&A session with the panel. The value of the node, Prof. James Meindl sounded a strong note of evening’s discussions may perhaps be summarized optimism by painting the challenges brought by best by the panelists’ unanimous “Yeah” to the ques- scaling as an opportunity to launch a new cycle of tion “Would you serve on the same panel in five innovation and major new breakthroughs. In an years time?” apparent bid to bring controversy to the proceed- At the end of a day of conference sessions, the ings, Prof. Brodersen insisted that CMOS scaling “Ultimate Limits of Integrated Electronics” panel was has already failed. However, it was refreshing to entertaining, informative, and well attended, with hear most of the panelists express confidence, people filling up the large hall late into the night. The albeit guarded, in the engineering community’s topic was of immediate import, and the speakers of ability to overcome the expected challenges in pro- acknowledged wisdom.

Summer 2007 IEEE SSCS NEWS 29 sscs_NLsummer07 7/13/07 9:21 AM Page 30

CONFERENCES Europe’s Analog Design Experts Convened at 16th AACD Workshop

Jan Sevenhans, SSCS Region 8 Representative,[email protected]; Jan Craninckx, SSCS Benelux chapter chair, [email protected]

A group of 56 gathered to hear papers on automotive sen- The Chairmen and local organizers of AACD 2007, from left sors with integrated compass, smart cameras on chip, to right : Michiel Steyaert, KU Leuven, Belgium; Herman inductive position sensors and protection and diagnosis Casier, AMI Semiconductor, Oudenaerde, Belgium; Arthur applications; power amplifiers for linear broadband com- Roermund, TU Eindhoven, the Netherlands; Jan Seven- munications, power combining techniques, switched RF hans, AMI Semiconductor, Vilvoorde, Belgium. PA’s, USB drivers and xDSL line drivers in nm technologies; very high frequency radio links, with building blocks for he 16th annual Advances on Analog Circuit mm-waves in CMOS, analog/RF design concepts in THz Design (AACD) workshop was held on 27 - 29 applications, and SiGe circuits in the 80-160GHz range. TMarch, 2007 in the Hotel Thermae Palace in Oostende, Belgium. visionary view of packaging effects on the different Each day of the program included six tutorial lec- applications, and commentary on relevant topics cov- tures, ample discussion time, and a panel discussion ered by the AACD 2007 workshop. each evening that explored the topic of the day, with 17 papers were published in a full digest that will be lively audience interaction. available as a book later this year. More information may The AACD keynote by Eric Beyne (IMEC) on “Het- be found at www.aacd.ws and [email protected]. erogeneous integration of passive components for the AACD 2008 will be organized in Italy next year by realization of RF-System-in-Packages,” offered a Prof. Andrea Baschirotto, Chair of SSCS-Italy. 2007 IEEE Custom Integrated Circuits Conference (CICC 07) in San Jose Showcase for Circuit Design in the Heart of Silicon Valley on 16-19 September

hat are the latest trends and innovative cir- Sunday, September 16: cuit techniques for analog and digital ICs? Three full-day educational sessions taught by recog- WWhere are the major research universities nized industry experts: and industry laboratories going with FinFETs, ultra- • High-Speed Serial IO Design Techniques wide band circuits, image sensors, digital signal • Mixed-Signal SOC Design Methodology processors, and high speed transceivers? How are cir- • Sub-1-Volt Analog Converter Design cuit designers solving the major issues today of power, variation, noise, jitter, GHz performance, sys- Monday, September 17- Wednesday, September 19: tem-on-chip integration, and limits to CMOS scaling? Keynote address, “The Wireless Revo- The Custom Integrated Circuits Conference lution Continues: Can Technology (CICC) addresses these questions in 2007, its 29th Keep Up with the Challenge?” by Dr. year, at the DoubleTree Hotel in San Jose, CA, 16-19 Bill Krenik, CTO of Wireless Terminal September. Business Unit of Texas Instruments. Conveniently located in the heart of Silicon Valley, (Monday) the conference is sponsored by the IEEE Solid-State Panel discussion, “Are analog Circuits Society and technically co-sponsored by the Bill Krenik designers hopeless at scaling? Will dig- IEEE Electron Devices Society. Here are some of the ital designers eat their lunch at 45nm highlights of 2007 CICC: and below?” by leading experts from industry and

30 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 31

CONFERENCES

tions. (Monday-Wednesday) The CICC Technical Pro- gram Committee is especially enthusiastic about these papers: • Future Interfaces: Analysis, Design and Optimization • Revolutionary NanoSilicon Ancillary Technologies for Ultimate Performance Gigascale Systems • Towards a sub-2.5V, 100-Gb/s Serial Transceiver • A 0.25um 0.92mW per Mb/s Viterbi Decoder Fea- turing Resonant Clocking for Ultra-Low-Power 54Mb/s WLAN Communication • A low power, high performance MIMO/diversity direct conversion transceiver IC for WiBro/WiMAX (802.16e) in BiCMOS • A 65uW, 1.9 GHz RF to Digital Baseband Wakeup Receiver for Wireless Sensor Networks Poster presentation and vendor exhibit, 2006 CICC • A Single-Chip UHF RFID Reader in 0.18 um CMOS academia. (Monday) • Time-Variant Characterization and Compensation of Luncheon presentation, “Growing up with Batteries Wideband Circuits and Wires,” – humorous recollections from the days Additional information about the conference of electric telephones and vacuum tubes, by Paul including the complete advance program and regis- Brokaw, IEEE Fellow, Analog Devices Fellow inven- tration form is available on the CICC website: tor of the “Brokaw Bandgap”. (Tuesday) http://www.ieee-cicc.org Poster presentations, demonstrations, and vendor Inquiries can be made to the CICC Conference exhibits. (Monday and Tuesday) Manager, Melissa Widerkehr, Tel: (301) 527-0900/101. Over 160 technical papers and invited presenta- Email: [email protected]. 19th Hot Chips Conference Meets on 19-21 August at Stanford University Three days of Leading-Edge, Real-World Designs for Session 2 Multi-Core and Parallelism I High-performance Chips and Technologies Session 3 Multi-Core and Parallelism II Don Draper, [email protected], John Sell, The NVIDIA GeForce 8800 chip, its architecture and [email protected], Allen Baum, abaum@3wisemon- an analysis of using it to execute non-graphics appli- keys.net cations within the CUDA(TM) parallel programming Hot Chips, a symposium of the Technical Committee environment. on Microprocessors and Microcomputers of IEEE Com- AMD’s Radeon R600 GPU with its unified shader puter Society and the IEEE Solid-State Circuits Society, architecture, Intel’s 80-core teraflop research proces- will convene at Stanford University’s Memorial Audito- sor, the TRIPS processor from the University of rium on 19-21 August. It is the primary forum for state Texas, Austin and the embedded multi-core Tile of the art designs and up-to-the-minute developments processor for multi-media from Tilera Corporation. in high-performance chips, software, and systems. Session 4 Embedded and Video The SH-X3 SuperH multi-core processor from Rene- DAY ONE sas, an HD image processor from Texas Instruments A mind-expanding keynote address on and an H.264/AVC Codec chip set from NTT. Monday mid-morning will be present- ed by computer scientist and Hugo- DAY TWO award-winning science fiction writer In an after lunch keynote address on Tuesday, AMD Vernor Vinge, who has speculated on CTO Phil Hester will reveal AMD’s future directions potential future interactions between in “Multi-Core and Beyond: Evolving the X86 machines and humans in novels True Architecture.” Names and Rainbows End. To wind up the conference, “Big Iron” will describe “What’s next beyond CMOS?,” a Sun Microsystem’s Victoria Falls highly-threaded Monday evening panel promising lively debate, will processor with multi-chip scaling and the next-gener- feature John Kubiatowicz of UC Berkeley, Mike May- ation mainframe microprocessor from IBM. berry of Intel, Mark Horowitz of Stanford, Ghavam Session 5 Technology and Software Directions Shahadi of IBM, and Stan Williams of HP. Multi-terabit switch fabrics using proximity commu- nication from Sun, thyrister-based memory from T- Session 1 IBM Power6™ RAM Semiconductor and the Raksha software secu- An extensive look at the ultra-fast IBM Power6, address- rity architecture from Stanford. ing its fault-tolerant design, system performance scaling Session 6 Wireless and IBM’s elastic interface implementation. A 60GHz 4Gbps uncompressed 1080p transceiver by

Summer 2007 IEEE SSCS NEWS 31 sscs_NLsummer07 7/13/07 9:21 AM Page 32

CONFERENCES

SiBEAM and a 2x2 MIMO baseband for 802.11n RAM Semiconductor and the Raksha software secu- local-area networking by Broadcom. rity architecture from Stanford. Session 7 Networking A packet processing chip set from Cisco, a 50Gbps Sunday Tutorials combined network processor and traffic manager “Approaches to System Design for the Working Engi- from Bay Microsystems, a system on a Chip with neer” will explore the use of FPGAs, ASICs, ASSPs at integrated accelerators and a low-latency, high- the system design level. The second topic in the bandwidth switch/router chip from Fulcrum. morning will be “Exploiting Chip-Level Processor Session 8 Mobile PC Processors and Chipsets Heterogeneity through Fine-Grained Reconfigurable The power management implementation in the Interactions.” Intel 45nm Penryn Core™2 Duo microarchitecture, The afternoon tutorial will explore the data center the next generation mobile X86 processor from issues of increasing power consumption and heat AMD, and the nForce 6801 and 680 chipsets from removal in “Enterprise Power and Cooling: A Chip-to- NVIDIA. Data Center Perspective.” Session 9 Big Iron For further information and to register, go to the Multi-terabit switch fabrics using proximity commu- Hot Chips web page: http://www.hotchips.org/hc19/ nication from Sun, thyrister-based memory from T- main_page.htm ESSCIRC: The European Forum for Solid-State Circuits on 11-13 September

Meeting with ESSDERC Sister Conference in Munich

ue to the increasing level of integration for SoC Plenary Talks at ESSCIRC (system-on-chip) design stemming from Three invited speakers will address issues focused on Dadvances in silicon technology, technologists, solid-state circuits of specific interest to the integrated device experts and circuit and system designers must circuit design community: collaborate as never before. Therefore, this year’s ESS- • K. Makinwa (TU Delft) “Smart Sensor Design: The CIRC/ESSDERC conference at the Technical University Art of Compensation and Cancellation” in Munich will share plenary keynote presentations and • K. Itoh (Hitachi) “Low-Voltage Limitation of Future joint sessions, while keeping separate Technical Pro- CMOS LSIs” grams. Cosponsored by the IEEE SSCS, ESSCIRC pro- • P. Kinget (Columbia University) “Sub-1-V Analog vides an annual European forum for the presentation Integrated Circuits” and discussion of recent advances in solid-state circuits. ESSCIRC papers will focus on the following: Joint ESSCIRC/ESSDERC Plenary Talks Analog Circuits Distinguished invited speakers will discuss issues of Amplifiers; continuous-time & discrete-time filters; interest to attendees at both conferences: comparators; multipliers; voltage references; switched- • W. Ziebart (Infineon Technologies) “Technical and capacitor circuits. Economical Trends in Microelectronics” • DeHon (University of Pennsylvania) “Nanoelectron- Mixed Signal Circuits and Systems ic Architectures” Nyquist-rate and oversampled A/D and D/A convert- • S. Nassif (IBM) “Holistic Coupling of Manufacturing ers; sample-and-hold circuits; A/D and D/A converter and Design“ calibration circuits. • A. Theuwissen (DALSA) “CMOS Imagers – Status, RF Circuits Trends, and Future Perspectives” RF/IF/baseband circuits including LNAs; mixers; IF • P. Fromherz (MPI for Biochemistry) “Joining Micro- amplifiers; power amplifiers; power detectors; active electronics with Microionics: Nerve Cells and Brain antennas; modulators; demodulators; VCOs; frequen- Tissue on Semiconductor Chips” cy dividers; frequency synthesizers; PLLs. • K. Ishimaru (Toshiba) “45/32 nm CMOS” Attendees registered for either conference are encour- Wireless and Wireline Communication Circuits aged to attend the scheduled parallel sessions. Receivers/transmitters/transceivers for wireless sys- tems, base stations and handsets; TV/radio/satellite; • Sensors and Imagers wireless sensor networks. Technology; mixed signal design; algorithms. Digital Signal Processing and Arithmetic • Yield and Reliability DSPs and DSP kernels, signal processing, and arith- Yield and reliability related technology develop- metic building blocks. ment, characterization, and modeling; design for Digital and Memory Circuits manufacturability. Digital circuit techniques; I/O and interchip commu-

32 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 33

CONFERENCES

nication; reconfigurable digital circuits; clocking; • SDR-Concepts for Multi-Standard Mobile Phones memories; microprocessors. • Design for Manufacturing: “Variability in UDSM Technologies’’ Nanoelectronics Digital, analog and mixed signal circuits using emerg- Workshops ing devices such as multi-gate MOSFETs (Double- • Nanoscale CMOS and Beyond-CMOS Nanodevices Gate MOSFETs; FinFETs, Triple-Gate MOSFETs), • Compact Modeling for Nano CMOS/SOI Technologies nanowires/nanotubes and quantum devices. • International Workshop on Emerging non volatile A Tutorial Day on Monday, 10 September and a memories Workshop Day, on Friday 14 September will precede • Controlling leakage power in nanometer CMOS: and follow the conference. Technology meets design • Analytical Techniques for Semiconductor Materials Tutorials and Process Characterization V • Industrialization of MEMS More information is available at the conference web- • Technologies for 45nm and 32nm CMOS site: www.esscirc2007.org.

2007 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM) in Boston, September 30 to October 2 Dr. Tak H. Ning to Deliver Keynote Address Yih-Feng Chyan, BCTM General Chair, [email protected] ou want to be at the 2007 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM) in YBoston if you're interested in leading edge processes, devices, and circuits used in state-of-the-art The BCTM Banquet will be held on Monday telecommunication systems and power control systems. evening at the New England Aquarium, located at Bipolar and BiCMOS technologies, particularly SiGe Long Wharf. Following the conference on Wednes- HBT BiCMOS technologies, continue to play a key role day, there will be a workshop on compact modeling in these systems. BCTM is cosponsored by the IEEE for RF/Microwave applications organized by TU Delft. SSCS and ED Societies Two days of technical paper sessions including a The 2007 IEEE Bipolar/BiCMOS Circuits and Technol- special session on Emerging Technologies, a lunch- ogy Meeting (BCTM) will be held September 30 to Octo- eon with guest speaker, exhibits, and the evening ber 2, 2007 2 at the Boston Marriott Long Wharf Hotel in banquet round out the program. Booths feature the Boston, Massachusetts (marriott.com/hotels/travel/boslw- latest products of interest to the bipolar community. boston-marriott-long-wharf). The banquet will be held at Boston’s New England Keynote Address by Dr. Tak H. Ning Aquarium featuring the 4-story tall Giant Ocean Tank, We are fortunate to have Dr. Tak H. a 200,000 gallon ocean habitat coral reef home to sea- Ning for the keynote. Dr. Ning and his turtles, sharks, moray eels and over 50 species of trop- colleagues invented and developed the ical fish. We look forward to you joining us and con- polysilicon-emitter self-aligned bipolar necting with your colleagues at this year’s BCTM. Find transistor, which is the basis of modern full details and registration for the conference on the bipolar transistor technology. Don’t conference web page (www.ieee-bctm.org). miss this opportunity to hear Dr. Ning’s presentation Boston’s harbor front is the city’s center for exploration on “Historical Development of Devices Based on by land and by sea. Follow the red brick cobblestones of Bipolar Phenomena.” the Freedom Trail to historic sites of the American Revo- lution. Pass through Christopher Columbus Park to the Short Course on Bipolar IC Design North End, once the gateway for newcomers to New This short course features three renowned experts on England and now the location of spirited cafes and “Bipolar IC Design Beyond Handsets and Into Mil- restaurant gems. At the Faneuil Hall Marketplace, you limeter Waves: Challenges and Opportunities for will find what you are looking for in the variety of shops Power Amplifier and mm-wave Transceiver IC and pubs located in a building characteristic of Boston’s Design.” Invited speakers include: 18th century architecture. The conference will be held • “Bipolar-based Power Amplifier design Beyond during the fall season when the autumn leaves begin to Handsets and into MM-wave integrated circuits: change and the city is energized by interactions between Design, Modeling, Characterization, Packaging, students, researchers, and innovative industry and com- Reliability” Larry Larson (UCSD, USA) merce. For details on local attractions and activities and • “MM-wave SiGe BiCMOS circuit design for automo- to learn more about the city, please follow this link to the tive application” Herbert Knapp (Infineon, Germany) city of Boston’s visitor web guide: (www.cityofboston. • “MM-wave SiGe BiCMOS circuit design for mm- gov/visitors/thingstodo.asp). wave application” Brian Floyd (IBM, USA) See you in Boston!

Summer 2007 IEEE SSCS NEWS 33 sscs_NLsummer07 7/13/07 9:21 AM Page 34

CONFERENCES IEEE Compound Semiconductor IC Symposium Meets in Portland CSICS 2007 on 14-17 October

e cordially invite you to the 2007 Com- pound and other related semiconductor technologies. pound Semiconductor IC Symposium on In addition, the Symposium will continue the tradition Sunday – Wednesday, October 14 – 17th in of including important papers on “late breaking W news.” beautiful Portland, Oregon. The high-performance wireless and high-speed digital communications mar- On Monday and Tuesday, the technology exhibi- kets are thriving due to impressive strides in new tion will feature informative and interesting displays materials and devices, greater integration levels, novel with corporate representatives on hand. The list of circuit implementations, and ever-changing systems exhibitors can be found in the CSICS advance pro- partitions. gram which will be published and distributed in late Over the last 29 years the Compound Semiconduc- June. tor IC Symposium (CSICS – formerly named the GaAs Several social events complement the Symposium, IC Symposium) has been and continues to be an including the Sunday Evening CSICS Opening Recep- important international forum in which advances in tion, the Monday CSICS Exhibition Opening Recep- semiconductor circuit and device technology are pre- tion, the CSICS Tuesday evening Theme Party to be sented, debated, and discussed. The scope of the held at McMenamins Pub and Breweries Crystal Ball- Symposium encompasses devices and circuits in room, and the CSICS Exhibition Luncheon on Tues- GaAs, SiGe, InP, GaN, and InSb as well as targeting day. The Theme Party will include entertainment and the fields of RF/mm-Wave CMOS and high-speed dig- a tasting of locally produced Oregon wine and beer. ital CMOS to provide a truly comprehensive confer- A breakfast will also be served on Monday, Tuesday, ence. This is the ideal forum for presentation of the and Wednesday latest results in high-speed digital, analog, Proclaimed North America’s “Best Big City” by microwave/millimeter wave, mixed mode, and opto- Money magazine, Portland exhibits unmatched natu- electronic integrated circuits. ral beauty as well as a wealth of opportunities for This year’s 2007 CSIC Symposium is comprised of a leisure, sports, shopping, and outdoor activities. The full three-day technical program with two short cours- conference downtown location offers easy access to es, a primer course, and a technology exhibition. the vibrant Pearl District with destination restaurants, The technical program consists of approximately 60 art galleries, and shopping. While you’re in Portland, high quality state-of-the-art technical papers, four be sure to enjoy the scenic beauty of the Columbia panel sessions, two Short Courses on “Compound River Gorge, enjoy the hike to Multnomah Falls and Semiconductor Devices and Integrated Circuits for drive the Historic Columbia River Highway. Other Millimeter Wave Imaging” and “Compound Semicon- local attractions include Mt. Hood, the Willamette ductor Power Amplifiers” and an Industry Exhibit. The River, the rose gardens, the Japanese garden, the Ore- Symposium will also offer the popular annual intro- gon Coast, and the wine country. ductory level Primer Course on “Basics of Compound For registration and further information please visit Semiconductor ICs.” This year, the Symposium will the CSICS website at www.csics.org. Further ques- feature approximately 15 invited papers on a wide tions may be addressed to the Symposium Technical range of important topics encompassing device engi- Program Chair: William Peatman, Ph: +1-908-668-5000 neering to circuit application using advanced com- ext. 5842, Email: [email protected]

34 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 35

SSCS-NEWS Eight Candidates Vie for Five SSCS AdCom Positions for 2008-2010

he SSCS Nominations Committee has recently been involved in the display industry, devel- announced a slate of eight candidates for the oping correcting drivers for AMOLED displays for five available positions in the 2007 AdCom elec- Nuelight, Inc. as well as dynamic-range CMOS image T sensors for Pixim, Inc. He managed the Advanced tions. Terri Fiez, Bill Bidermann, and Mehmet Soyuer are incumbents. Tohru Furuyama, Venu Gopinathan, Development Group at DEC, which produced a self- Tadahiro Kuroda, Bram Nauta, and Jan Sevenhans are contained liquid-nitrogen-cooled computer system new candidates. Additional nominees may be added and the groundbreaking Alpha microprocessor. Over through a petition process that is described below. the past 20 years, Mr. Bidermann contributed to the The AdCom is the governing body of the Solid-State first uVAX microprocessors, managed the first SPARC Circuits Society. It oversees conferences, publications, V9 implementation at HaL Computers, and led the educational efforts, chapters, finances and other areas rel- development of a novel media-processor at Chromat- evant to the Society’s activities. Elected AdCom members ic Research. He began his career at HP Labs, where he serve three-year terms, which are staggered so there are designed dynamic RAMs and EEPROM devices. always some experienced and some new members. The Mr. Bidermann is the Treasurer of the Executive ballot is mailed to eligible voting members in the fall. Committee of the Symposia on VLSI Circuits and Technology and has served as its General Chair Process to Become a Petition Candidate for AdCom (‘99–‘00) and Program Chair (‘97–‘98). He has been on Interested society members can get on the AdCom the VLSI Symposia Program Committee since 1993 election slate through a petition process managed by and has twice been a member of the ISSCC Program the IEEE Corporate Office. To begin this process, Committee, serving currently on its Technical Direc- notify the SSCS Executive Office by email tions subcommittee. He has edited several special edi- ([email protected]) no later than August 1st and tions of the IEEE Journal of Solid-State Circuits and include a statement verifying the nominee’s agree- has been a member of the SSCS AdCom since 2005. ment to be a candidate. Self-petitioning is acceptable. Once a petitioner’s eligibility is verified, he/she is Terri S. Fiez received the B.S. and M.S. posted on the petition site until September 1, when in Electrical Engineering in 1984 and the petition process closes. 1985, respectively, from the University of The number of signatures needed to qualify for the Idaho, Moscow. In 1990, she received 2007 election slate is defined by IEEE Bylaw I-308.16 as the Ph.D. degree in Electrical and Com- 2% of SSCS voting members as of 31 December, 2006, puter Engineering from Oregon State or 227 signatures; signatures will be verified during the University, Corvallis. From 1985 to 1987 and in 1988 petition process (additional signatures above the mini- she worked at Hewlett-Packard Corp. in Boise and mum are recommended to provide a safety factor). Corvallis, respectively. Any voting member of the Society may sign such a peti- In 1990, Dr. Fiez joined Washington State University as tion in either of two ways: 1) via a link to the petition an assistant professor and became an associate professor site provided on the Society’s home page in 1996. In the fall of 1999, she joined the Department , or 2) with an original signature on a of Electrical and Computer Engineering at Oregon State hard copy petition. University as Professor and department head and There is no official IEEE hard copy petition form became the Director of the School of Electrical Engineer- for society elections. Each petition must include: ing and in 2003. She has served on • the name of the candidate the committees of the IEEE International Solid-State Cir- • the title of the position the candidate is running for cuits Conference, IEEE Custom Integrated Circuits Con- ference, and ISCAS, and was a guest editor of the Jour- For each person signing the petition: nal of Solid-State Circuits. Dr. Fiez was awarded the NSF • name (printed) Young Investigator Award, the Solid-State Circuits Society • IEEE member number Predoctoral Fellowship, and the 2006 IEEE Education • signature Activities Board Innovative Education Award. Her Hard copy petitions must be received by the SSCS research interests are the design of high performance Executive office by 1 September to be entered into analog signal processing building blocks, simulation and the IEEE petition system. modeling of substrate coupling effects in mixed-signal ICs, and innovative engineering education approaches. The Candidates William R. Bidermann received his B.S. Tohru Furuyama received the B.S. and M.S. degrees in Electrical Engineering degree from the University of Tokyo, and Computer Science from the Massa- Japan in 1975, the M.S. degree from Cor- chusetts Institute of Technology in 1978. nell University, Ithaca, NY, in 1984 and He is currently President of BK Associates, the Ph.D. degree from the University of a Silicon Valley consulting firm and has Tokyo in 1988. Since 1975 he has been

Summer 2007 IEEE SSCS NEWS 35 sscs_NLsummer07 7/13/07 9:21 AM Page 36

SSCS-NEWS

with Toshiba Corporation, where he developed sev- chairing the Analog Subcommittee for the last two eral commodity DRAM’s and the first Rambus DRAM. years. He has authored several papers in journals and He also led one of the first embedded DRAM projects conferences and holds 14 granted US patents with five for graphics application LSI’s. From 1994 to 1996 he more pending. He has lectured in a short-course at was the 64Mb DRAM design manager for the Toshi- ISSCC 2004 and other venues. ba/IBM/Siemens joint DRAM development project in Burlington, VT. Since 2002, he has been responsible Tadahiro Kuroda received the Ph.D. for various R&D activities; advanced CMOS technolo- degree in electrical engineering from the gies, NAND flash memories, embedded memories, University of Tokyo in 1999. In 1982, he novel memories, embedded processors (MeP: Toshiba joined Toshiba Corporation, where he proprietary Media embedded Processor), digital designed CMOS SRAMs, gate arrays and media SoC’s and related as a general man- standard cells. From 1988 to 1990 he was ager of the Center for Semiconductor Research & a Visiting Scholar at the University of California, Development. Berkeley, where he conducted research in the field of r. Furuyama is presently the Japan Chapter Chair of VLSI CAD. In 1990, he returned to Toshiba for the IEEE Solid-State Circuits Society. He has served on research and development of BiCMOS ASICs, ECL the Technical Program Committees of the IEEE Inter- gate arrays, high-speed and low-power CMOS LSIs for national Solid-State Circuits Conference (ISSCC) and multimedia and mobile applications. He developed a IEEE International Test Conference (ITC). He will be Variable Threshold-voltage CMOS (VTCMOS) technol- the Technical Program Committee Chair of the 2009 ogy for controlling VTH through substrate bias and a IEEE Asian Solid State Circuits Conference (A-SSCC). Variable Supply-voltage scheme for controlling VDD He has authored and co-authored more than 40 jour- by an embedded DC-DC converter, and employed nal and conference papers and holds over 70 U.S. them in a microprocessor core and an MPEG-4 chip patents. He is an IEEE Fellow for contributions to high in 1997. In 2000, he moved to Keio University, Yoko- speed dynamic random access memory (DRAM) hama, Japan, where he has been a professor since design and technologies. 2002. This year he is Visiting MacKay Professor at the University of California, Berkeley. His research inter- Venu Gopinathan was born in India in ests include ubiquitous electronics, sensor networks, 1963. He received the B.Tech. Degree in wireless and wireline communications and ultra-low- Electronics from the Indian Institute of power CMOS circuits. He has published more than Technology, Madras, India in 1986. In 1990 200 technical publications including 50 invited he received the Ph.D. from Columbia Uni- papers, 18 books/chapters, and filed more than 100 versity, NY in the field of high-speed con- patents. tinuous-time filters. Dr. Kuroda served as the General Chairman for the In 1990 he joined the SC Research group of Texas Symposium on VLSI Circuits and as Vice Chairman for Instruments, Dallas where he worked on high-speed ASP-DAC. He has chaired sub-committees for A-SSCC, CMOS analog circuits (A/D converters filters, etc.) for ICCAD and SSDM, and served on conference program advanced hard-disk drive read-channels. He later committees for the Symposium on VLSI Circuits, CICC, moved to New Jersey and co-founded the design cen- DAC, ASP-DAC, ISLPED, and others. He is an IEEE Fel- ter for TI Research in New Jersey. Between 1997 and low and an IEEE SSCS Distinguished Lecturer. 1999, he worked at Bell Labs’ Circuits Research Lab in Murray Hill, NJ. Bram Nauta was born in Hengelo, The In 2000, he moved to Broadcom Corporation, and Netherlands in 1964. In 1987 he received co-developed nonlinear equalizers for optical disper- the M. Sc. degree cum laude in electrical sion compensation over a period of four years. He engineering from the University of also led the high-speed serial communications group Twente, Enschede, The Netherlands. In at Broadcom during the same period. In 2004 he 1991 he received the Ph.D. degree from moved to Texas Instruments, Bangalore R&D Center the same university on the subject of analog CMOS fil- where he is the Director of the Wireless Solutions ters for very high frequencies. Group, in charge of delivering Bluetooth, WLAN, DTV In 1991 he joined the Mixed-Signal Circuits and Sys- and GPS chips. tems Department of Philips Research, Eindhoven the From 1996 to 1999, he served as an adjunct associ- Netherlands, where he worked on high speed AD ate professor at Columbia University teaching courses converters and analog key modules. In 1998 he on analog IC’s and high-speed data converters. He returned to the University of Twente as full professor also served as a guest editor of IEEE JSSC for the Ana- heading the IC Design group, which is part of the log Special issue of December, 2000 and the issues of CTIT Research Institute. His current research interest March 1995 and March 1996. is high-speed analog CMOS circuits. He is also a part- He has been a member of the Data Converter tech- time consultant in industry. nical program subcommittee of ISSCC since 1999, and Dr. Nauta served as Associate Editor of IEEE was awarded “best panel organizer” in 2001 for “Are Transactions on Circuits and Systems -II; Analog and startups killing Innovation”. He was on the CICC Digital Signal Processing (1997-1999), as Guest Edi- Technical Program Committee from 1999 to 2002, tor (1998) and Associate Editor (2001-2006) for the

36 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 37

SSCS-NEWS

IEEE Journal of Solid-State Circuits. He is member of Mehmet Soyuer received the B.S. and M.S. the technical program committees of ISSCC, ESS- degrees in electrical engineering from the CIRC, and Symposium on VLSI circuits. He is co- Middle East Technical University, Ankara, recipient of the ISSCC 2002 "Van Vessem Outstand- Turkey in 1976 and 1978. He received the ing Paper Award". As of August 2007, he will serve Ph.D. degree in electrical engineering from as Editor in Chief of the IEEE Journal of Solid-State the University of California at Berkeley in Circuits. 1988, subsequently joining IBM at the Thomas J. Watson Research Center, Yorktown Heights, NY as a Research Jan Sevenhans, who is an IEEE Fellow Staff Member. His work has involved high-frequency recognized for “contributions to solid- mixed-signal integrated circuit designs, in particular state telecom transceiver integration,” monolithic phase-locked-loop designs for clock and data joined the Communication High Voltage recovery, clock multiplication, and frequency synthesis business unit of AMI Semiconductor in using silicon and SiGe technologies. Belgium in 2005 and previously was a At IBM Thomas J. Watson Research Center, Dr. Soyuer distinguished Member of the Technical Academy of managed the Mixed-Signal Communications Integrated-Cir- Alcatel Bell in Antwerp, Belgium. He served as Pro- cuit Design group from 1997 to 2000. He was the Senior gram chair of ISSCC 2006 and has been European Manager of the Communication Circuits and Systems Chair of the International Solid-State Circuit Confer- Department from 2000 to 2006. In 2006, he was promoted ence (ISSCC). In 2002 he chaired the workshop to the position of Department Group Manager, Communi- “Analog telecom access circuits and concepts” at cation Technologies, at Thomas J. Watson Research Center. ISSCC. Dr. Soyuer has authored numerous papers in the areas Born in 1955, Dr. Sevenhans received a Masters of analog, mixed-signal, RF, microwave, and nonlinear degree in 1979 and a Ph.D. in 1984 from the KU electronic circuit design, and he is an inventor and co- Leuven in Belgium; his doctoral dissertation focused inventor of eight U.S. patents. Since 1997, he has been a on CCD imagers for facsimile applications. He technical program committee member of the Interna- joined Alcatel Bell in 1987, working on analog and tional Solid-State Circuits Conference (ISSCC). He was an RF circuit design for telecom applications in GSM, Associate Editor of the IEEE Journal of Solid-State Circuits ADSL, ISDN, and POTs, and on CMOS and bipolar from 1998 through 2000, and was one of the Guest Edi- silicon technology. He has filed many patents and tors for the December 2003 Special ISSCC Issue. Dr. published numerous articles in IEEE conference Soyuer chaired the Analog, MEMS and Mixed-Signal Elec- proceedings and journals. Since the late eighties he tronics Committee of the International Symposium on has been involved in many European research proj- Low Power Electronics and Design (ISLPED) in 2001. He ects such as GSM in Jessi, Medea, Medea+, RACE, was also a technical program committee member of the IST, and ESPRIT and Girafe - Gigahertz Radio front Topical Meeting on Silicon Monolithic Integrated Circuits Ends, in addition to Medea A203, SODERA, and in RF Systems (SiRF) in 2004 and 2006. He served as an SPACE. Over the past ten years, he has served as elected member of the Solid-State Circuits Society (SSCS) reviewer and/or evaluator of several European proj- Administrative Committee (AdCom) for the last three ects, and is currently Region 8 representative for the years. Dr. Soyuer is a senior member of IEEE and a Dis- SSCS AdCom. tinguished Lecturer of IEEE-SSCS. CEDA Currents News from the IEEE Council on Electronic Design Automation

T. W. Williams Wins EDAA Lifetime Achievement Award an M.A. in pure mathematics from the State Universi- The European Design and Automation Association ty of New York at Binghamton, and a Ph.D. in elec- has awarded the 2007 EDAA Lifetime Achievement trical engineering from Colorado State University. Award to Thomas W. Williams for his outstanding Along with Edward B. Eichelberger, Williams pub- contributions to the state of the art in testability of lished the first paper on the level-sensitive scan electronic circuits, and specifically to full-scan design. design (LSSD) technique for testing logic circuits. This award is given to candidates who have made Since then, he has been leading, defining, and pro- innovative contributions that have impacted the way moting DFT concepts and has significantly influenced electronic systems are designed. Past recipients the IC design community to adopt full scan as a de include Kurt Antreich (2003), Hugo De Man (2004), facto standard. As a result of his efforts, the EDA Jochen Jess (2005), and Robert Brayton (2006). industry has been able to base many of its leading Thomas W. Williams is a Fellow and an tools on the foundation of the full-scan design struc- adjunct professor at the University of Calgary, Alber- ture. Prior to the adoption of full scan, the industry ta, Canada. He has also served at IBM Microelectron- had to deal with the sequential complexity of a non- ics Division in Boulder, Colorado, as manager of the scan design. Now, full-scan design has become a VLSI Design For Testability Group, which dealt with pragmatic basis for a range of today’s design tools, design for test (DFT) of IBM products. Williams has a including mainstream logic synthesis, static timing BS in electrical engineering from Clarkson University, analysis, and formal-verification solutions.

Summer 2007 IEEE SSCS NEWS 37 sscs_NLsummer07 7/13/07 9:21 AM Page 38

SSCS-NEWS

Williams was also a founding member of the IEEE ment known and published synthesis algorithms as Test Technology Technical Committee. In 1978, he part of their education. A list of suggested algorithms started the first TTTC workshop—the DFT workshop is available at www.iwls.org/challenge. also known as the “Vail Workshop,” which was the first test workshop of any kind. He also cofounded The Challenge the first test workshop in Europe, the European DFT The challenge is to implement one or more logic opti- Workshop. This later grew into the European Test mization or verification algorithms on the OpenAccess Conference and then into a significant portion of the industrial EDA database. The algorithms should make Design, Automation and Test in Europe Conference maximum use of the OpenAccess database, be imple- (DATE). mented in a native manner, and adhere to the coding He has authored several seminal papers related to conventions of OpenAccess. test technology and scan design. An IEEE Fellow, The algorithm should also be implemented within Williams has received several awards, including the the OA Gear infrastructure. OA Gear provides an RTL W. Wallace McDowell Award from the IEEE Comput- reader and synthesis into a technology-inde- er Society (which he shared with Ed Eichelberger in pendent netlist, an AIG (and-inverter graph); a simple 1989). In 1997, he was presented the IBM Corporation mapper that directly maps the AIG’s nodes onto a Award for Test by president and CEO of IBM, Louis V. specified set of three library elements (AND, NOT, Gerstner. and FF); accurate timing analysis with slew propaga- For more information about this award, contact tion; and a simple equivalence checker based on the Bernard Courtois ([email protected]). AIG representation. Richard Newton Passed Away Technology-dependent optimization algorithms EDA pioneer A. Richard Newton, Dean of Engineer- should be implemented directly on OpenAccess. ing at the University of California, Berkeley, passed Technology-independent algorithms should use the away on 2 January 2007. The news came as a shock functional layer in OA Gear, along with the AIG pack- to the entire community. In a short span, Richard age. Participants should evaluate the results of the Newton wore many hats and contributed to the Soci- technology-dependent algorithms by performing ety in so many ways as an academic, entrepreneur, accurate timing analysis, preferably the incremental and administrator, but above all as a visionary with timing analysis of the OA Gear timer. enormous compassion. He made seminal research The winners will be invited to either give a talk or contributions to the EDA field and helped found key present a poster as part of the IWLS program, and EDA companies, including SDA Systems (now travel grants are awarded. ), Crossbow, and Synopsys. For more information and to download the soft- From 1998 to 2002, he was a venture partner with ware, go to www.iwls.org/challenge. Inquiries can be Mayfield Fund, a respected Silicon Valley VC firm. directed to [email protected]. Both our flagship publications have formal obituar- Upcoming CEDA events ies: In the Jan-Feb 2007 issue of IEEE Design and Test, International Workshop on Power and Timing Aart De Geus from Synopsys gave a personal account Modeling, Optimization and Simulation (PATMOS) of Newton’s life and contributions to the EDA indus- 3-5 September 2007 try. Subsquently, IEEE Transactions on Computer- Göteborg, Sweden Aided Design of Integrated Circuits and Systems pub- www.ce.chalmers.se/research/conference/patmos07 lished a recollection from Newton's colleagues in aca- demia. For more information about the life and 2007 IFIP International Conference on Very accomplishments of A. Richard Newton, please visit Large Scale Integration (VLSI-SoC) www.coe.berkeley.edu/newsroom/newton. 15 Oct - 17 Oct 2007 Georgia Institute of Technology, Atlanta, GA Student Programming Challenge at IWLS- Spring 2008 www.vlsisoc2007.gatech.edu/ CEDA sponsors an annual student Programming Challenge during the International Workshop on IEEE/ACM International Conference on Comput- Logic & Synthesis (IWLS) scheduled in late spring. er-Aided Design (ICCAD) Winning submissions become open source, released 4-8 November 2007 as part of the OpenAccess (OA) Gear infrastructure. San Jose, Calif. The programming challenge advances and promotes www.iccad.com an open-source logic synthesis system that provides the basis for future comprehensive EDA tool flows, For more information regarding CEDA sponsorship of and a research platform based on the OpenAccess conferences and meetings, contact Richard Smith, database. [email protected]. Individual students, as well as teams of multiple Please send contributions for CEDA Currents to students, participate in this challenge to either imple- Kartikeya Mayaram ([email protected]) or ment their current research on this platform or imple- Preeti Ranjan Panda ([email protected]).

38 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 39

SSCS-NEWS Timely JSSC Articles of Interest Xplore User Tips By Anne O’Neill, Executive Director SSCS, [email protected] ow you can see what are the most down- loaded articles from the previous month, Nany time you access the home page of JSSC in IEEEXplore. This list is an interesting measure of what attracts others as the most read- able articles in an issue. It can be a useful way to schedule your reading when you have just a lim- ited amount of time. As competitive intelligence, the top downloads can be considered a metric of what other designers think are timely topics to research. And now this list is easy to find, since Xplore has automated the monthly posting of the top accessed articles for all its journals, on each one’s unique home page. When Xplore Version 2.3 was updated in March 2007, a new link pointing to “Top Accessed Articles for the Month” is right in the middle of each transaction’s web page. Most of the time only the previous month’s articles will have reported enough downloads to be included. This URL goes to the JSSS home page in Xplore.

ieeexplore.ieee.org/xpl/RecentIssue.jsp?punum- ber=4

Want More? Access Top 100 Documents Since the JSSC is the most downloaded publi- cation of any in Xplore, a longer list of JSSC popular downloads can be found any month in “Top 100 Documents,” a list from all the publi- Select the “Top Accessed Articles for the Month” from the center cations in Xplore, standards and conferences of Journal’s home page in Xplore. included. The “Top 100 Downloads” is avail- able from the Xplore home page in the lower left electronics. Also among the top 100 you’ll find clas- hand corner. Reading through the top 100 can alert sics from years past, that readers continue to access. you to a report on topics that interest you but in pub- Is it professors who assign these gems to their stu- lications you haven’t time to subscribe to, for exam- dents, or experienced pros who remember where to ple, materials science, nanotechnology, RFID, or bio- return to refresh their fundamental understanding?

Wanted! Your Explanation: Why Gray and Meyers 1982 Op-Amp Design Tutorial Is Still So Useful. “MOS operational amplifier design-a tutorial overview,” by Gray, P.R.; Meyer, R.G., in the JSSC, Dec 1982, Pages: 969- 982.

This 25 year-old tutorial continues to be among the top 100 downloads of the 1 1/2 million articles that IEEE Xplore offers. Are students learning from it? Are experienced designers double checking the illustration of the equivalent circuit, counting nodes, trying to get to the bottom of the improve- ment quest for offsets and power supply rejection? Or do you have better sources to suggest? Is the bipolar architecture still a draw? Tell us your opin- ion. The SSCS News will summarize the top responses. Deadline for reply, October 15. Send to [email protected]. Xplore lists the top 10 article read the previous month.

Summer 2007 IEEE SSCS NEWS 39 sscs_NLsummer07 7/13/07 9:21 AM Page 40

SSCS-NEWS SSCS Membership to Receive Nanotechnology Magazine Bill Bidermann, SSCS Meetings Chair SSCS members have expressed interest in the burgeon- Nanotechnology Magazine) ing field of Nanotechnology and in tracking develop- ments in this area. To answer this need, all members of “Investing in Nanotechnology Companies in Japan” SSCS will receive the new Nanotechnology Magazine as J. Nakano, Innovation Engine, Japan part of their membership, beginning this fall. Published by the IEEE Nanotechnology Council, which the Society “IEEE-NANO 2007 Commercialization Workshop – A joined late last year, the first issue will feature the article Review” “Convergence of Bio, Nano, and Information Technolo- Louis J. Ross, gies,” by C. M. Ho and J. M. Chen, Institute for Cell Mimetic Space Exploration, UCLA, USA. “IEEE-NANOMED 2007 – A Review” Michael Dancu, Integrated Biodynamics, USA Other key articles will be: The Nanotechnology Magazine is edited by Dr. Wen J. Li, “Semiconductor Research Corporation (SRC) Roadmap Director, Centre for Micro and Nano Systems and Profes- in Nanotechnology” (tentative title) sor, Mechanical and Automation Engineering Dept. The Steven Hillenius, Vice President, SRC Chinese University of Hong Kong www.mae. cuhk.edu.hk/~wen. Dr. Meyya Meyyappan is Council Tutorial: “Carbon Nanotube Fabrication and President. SSCS representatives to the Council are Bill Applications” Bidermann and Ian Young. Please forward comments Chongwu Zhou, University of Southern California, USA and suggestions to either of these members of AdCom.

“The Market Maturation of Nanotechnology” Further information may be found at ttp://www. Louis J. Ross, Editor, Commercialization Section (IEEE ewh.ieee.org/tc/nanotech/.

TryEngineering.org Now Available in Seven Languages The IEEE pre-university portal, TryEngineer- English versions were derived from the English ing.org, once available in only English, is now edition with the help of professional transla- available in Chinese, French, German, Russian, tors. The translations were reviewed by engi- Japanese and Spanish. In addition, the portal's neers who are native speakers of the six lan- monthly newsletter is now featured in English, guages into which the site was rendered. French and Spanish. TryEngineering.org is a project of IEEE, IBM, Users can examine the seven versions by and the New York Hall of Science. The transla- checking the language box on the top right-hand side tions and monthly newsletters are supported by the Life of the entry page to www.TryEngineering.org. The non- Member Committee and the IEEE Foundation. Graduating Students Get a Discount on Dues Keep IEEE informed of your fall address IEEE Student Members who are scheduled to graduate in ify for the discount, the Student Member must transition 2007 will automatically receive a 50% discount off their directly to Higher Grade membership immediately after 2008 renewal bill. This discount is applicable regardless graduation, without any break in membership. The of the degree being received. Student members who graduate does not need to fill out any paperwork to have chosen to continue their education and pursue an qualify. Their 2008 renewal invoice will automatically advanced degree can reclaim their IEEE Student member reflect the discount. status as long as they continue to carry 50% of a full-time In order to receive their renewal notice graduates course load in an IEEE-designated. Under such a sce- need to keep IEEE informed of their fall address either nario, they would retain their eligibility for the 50% dis- online with a member web account www.ieee. count once they have completed their next degree and org/web/web/accounts/ or by sending new contact have not reached the eight-year student limit. information to [email protected]. Continuing This “recent graduate” discount is only available once SSCS members receive the SSCS News and are kept cur- in a lifetime. Therefore, any member who has previ- rent of upcoming conferences. Remember SSCS confer- ously taken the discount upon receiving an earlier ences might be a goal for submitting graduate research degree would not be eligible to claim it again. To qual- for acceptance and presentation.

40 IEEE SSCS NEWS Summer 2007 sscs_NLsummer07 7/13/07 9:21 AM Page 41

Summer 2007 IEEE SSCS NEWS 41 sscs_NLsummer07 7/13/07 9:21 AM Page 42

ADVERTISING INDEX Solid-State Circuits Society Newsletter Advertising Sales Offices 445 Hoes Lane, Piscataway NJ 08854 www.ieee.org/ieeemedia Impact this hard-to-reach audience in their own Society publication. For further information on product and recruitment advertising, call your local sales office.

MANAGEMENT Midwest/Ontario, Canada RECRUITMENT ADVERTISING James A. Vick Will Hamilton Midatlantic Staff Director, Advertising Phone: 269-381-2156 Lisa Rinaldo Phone: 212-419-7767 Fax: 269-381-2556 Phone: 732-772-0160 Fax: 212-419-7589 [email protected] Fax: 732-772-0161 [email protected] IN, MI. Canada: Ontario [email protected] NY, NJ, CT, PA, DE, MD, DC, KY, WV Susan E. Schneiderman Ohio Business Development Joe DiNardo New England/Eastern Canada Manager Phone: 440-248-2456 John Restchack Phone: 732-562-3946 Fax: 440-248-2594 Phone: 212-419-7578 Fax: 732-981-1855 [email protected] Fax: 212-419-7589 [email protected] OH [email protected] ME, VT, NH, MA, RI Marion Delaney Southwest Canada: Quebec, Nova Scotia, Prince Edward Advertising Sales Director Steve Loerch Island, Newfoundland, New Brunswick Phone: 415-863-4717 Phone: 847-498-4520 Fax: 415-863-4717 Fax: 847-498-5911 Southeast [email protected] [email protected] Thomas Flynn AR, LA, TX, OK Phone: 770-645-2944 PRODUCT ADVERTISING Fax: 770-993-4423 Midatlantic So. California/Mountain States [email protected] Lisa Rinaldo Marshall Rubin VA, NC, SC, GA, FL, AL, MS, TN Phone: 732-772-0160 Phone: 818-888-2407 Fax: 732-772-0161 Fax: 818-888-4907 Midwest/Texas/Central Canada [email protected] [email protected] Darcy Giovingo NY, NJ, PA, DE, MD, DC, KY, WV HI, AZ, NM, CO, UT, NV, CA 93400 & below Phone: 847-498-4520 Fax: 847-498-5911 New England/Connecticut Northern California/Western Canada [email protected]; Stan Greenfield Peter D. Scott AR, IL, IN, IA, KS, LA, MI, MN, MO, NE, ND, Phone: 203-938-2418 Phone: 415-421-7950 SD, OH, Fax: 203-938-3211 Fax: 415-398-4156 OK, TX, WI. Canada: Ontario, Manitoba, [email protected] [email protected] Saskatchewan, Alberta CT AK, ID, MT, WY, OR, WA, CA 93401 & above Canada: British Columbia West Coast/Southwest/Mountain States New England/Eastern Canada Tim Matteson Jody Estabrook Europe/Africa/Middle East Phone: 310-836-4064 Phone: 978-244-0192 Heleen Vodegel Fax: 310-836-4067 Fax: 978-244-0103 Phone: +44-1875-825-700 [email protected] [email protected] Fax: +44-1875-825-701 ME, VT, NH, MA, RI [email protected] AZ, CO, HI, NV, NM, UT, CA, AK, ID, MT, Canada: Quebec, Nova Scotia, Newfoundland, Prince Europe, Africa, Middle East WY, OR, WA. Canada: British Columbia Edward Island, New Brunswick Asia/Far East/Pacific Rim Europe/Africa/Middle East Southeast Susan Schneiderman Heleen Vodegel Bill Holland Phone: 732-562-3946 Phone: +44-1875-825-700 Phone: 770-436-6549 Fax: 732-981-1855 Fax: +44-1875-825-701 Fax: 770-435-0243 [email protected] [email protected] [email protected] Asia, Far East, Pacific Rim, Australia, New Europe, Africa, Middle East VA, NC, SC, GA, FL, AL, MS, TN Zealand

Midwest/Central Canada Dave Jones Phone: 708-442-5633 Fax: 708-442-7620 [email protected] IL, IA, KS, MN, MO, NE, ND, SD, WI Canada: Manitoba, Saskatchewan, Alberta sscs_NLsummer07 7/13/07 9:21 AM Page 43

TECHNICAL LITERATURE

PPOWERFULroven

IEEE Member Digital Library The information you need to succeed can be at your fingertips when you subscribe to the IEEE Member Digital Library.

■ The only way for individuals to access any IEEE journal or conference proceeding ■ Over a million full-text documents ■ The latest online research, plus a 50 year archive for select titles ■ Access to the top-cited publications you need to make your project a success Power up. Learn more at: www.ieee.org/ieeemdl

718-Qd MDL Proven 7x10 .indd 1 6/26/06 10:12:13 AM sscs_NLsummer07 7/13/07 9:21 AM Page 44

SSCS EVENTS CALENDAR Also posted on www.sscs.org/meetings Hot Chips 2007 IEEE/ACM International Conference on SSCS SPONSORED MEETINGS www.hotchips.org 2007 Custom Integrated Circuits Computer-Aided Design (ICCAD) 11 Sep - 13 Sep 2007 www.iccad.com/future.html Conference Palo Alto, CA, USA www.ieee-cicc.org/ Contact: John Sell, [email protected] 04 - 8 Nov 2007 16–19 September 2007 San Jose, CA San Jose, CA, USA ESSCIRC/ESSDERC 2007 - 37th European Solid Contact: Ms. Kathy Embler Contact: Ms. Melissa Widerkehr [email protected] [email protected] State Circuits/Device Research Conferences www.esscirc2007.org 2007 A-SSCC Asia Solid-State Circuits 11 Sep - 13 Sep 2007 2008 International Symposium on VLSI Conference Munich, Germany Technology, Systems and Applications www.a-sscc.org/ Contact: Mr. Philip Teichmann (VLSI-TSA) 12–14 November 2007 vlsidat.itri.org.tw Jeju Island, Korea [email protected] Contact: : [email protected] 21 - 23 Apr 2008 2007 IEEE Integrated Circuit Ultra-Wide Band Hsinchu, Taiwan 2007 ISSCC International Solid-State ICUWB Paper Deadline: 15 October, 2007 Circuits Conference www.icuwb2007.org Contact: Ms. Stacey C.P. Hsieh www.isscc.org [email protected] 3– 7 February 2008 24 – 26 Sep 2007 San Francisco, CA, USA Singapore Paper deadline: 17 September 2007 Contact: Michael Y.W. Chia, 2008 Design Automation Conference Contact: Courtesy Associates, [email protected] www.dac.com [email protected] 9–13 June 2008 2007 IEEE Bipolar/BiCMOS Circuits and Anaheim, CA, USA 2008 Symposium on VLSI Circuits Technology Meeting - BCTM Paper deadline: TBD www.vlsisymposium.org www.ieee-bctm.org Contact: Kevin Lepine, Conference Manager 19–22 June 2008 30 Sep - 02 Oct 2007 [email protected] Honolulu, HI Boston, MA Paper deadline: TBD Contact: Ms. Janice Jopke 2008 Radio Frequency Integrated Circuits Contact: Phyllis Mahoney, [email protected] [email protected] Symposium or Business Center for Academic Societies, Japan, www.rfic2007.org [email protected] 2007 IEEE Compound Semiconductor 15–19 June 2008 Integrated Circuit Symposium (CSICS) Honolulu, Hawaii SSCS PROVIDES TECHNICAL Paper deadline: TBD CO-SPONSORSHIP (Formerly GaAs IC Symposium) www.csics.org Contact: Dr. Luciano Boglione ISLPED International Symposium on Low 14 Oct – 17 Oct 2007 [email protected] Power Electronics and Design Portland, OR www.islped.org/ Contact: William Peatman [email protected] 27-29 Aug 2007 Portland, OR Contact: Diane Marculescu, [email protected]

SSCS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS is published quarterly by the Solid-State Circuits Society of The Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. $1 per member per year (included in society fee) for each member of the Solid-State Circuits Society. This newsletter is printed in the U.S.A. Application to mail Periodicals postage rates is pending at New York, NY and at additional mailing offices. Postmaster: Send address changes to SSCS IEEE Solid-State Circuits Society News, IEEE, 445 Hoes Lane, Piscataway, NJ 08854. ©2007 IEEE. Permission to copy without fee all or part of any material without a To maintain all your IEEE and SSCS copyright notice is granted provided that the copies are not made or distributed for direct commercial subscriptions, email address corrections to advantage and the title of publication and its date appear on each copy. To copy material with a copy- [email protected] right notice requires specific permission. Please direct all inquiries or requests to IEEE Copyrights Man- To make sure you receive an email alert, keep ager, IEEE Service Center, 445 Hoes Lane, Piscataway, NJ 08854. Tel: +1 732 562 3966. your email address current at sscs.org/e-news

Non-Profit Org. U.S. Postage Paid 445 Hoes Lane Easton, PA Piscataway, NJ 08854 Permit No. 7