Efficient Checker Processor Design

Total Page:16

File Type:pdf, Size:1020Kb

Efficient Checker Processor Design Efficient Checker Processor Design Saugata Chatterjee, Chris Weaver, and Todd Austin Electrical Engineering and Computer Science Department University of Michigan {saugatac,chriswea,austin}@eecs.umich.edu Abstract system works to increase test space coverage by proving a design is correct, either through model equivalence or assertion. The approach is significantly more efficient The design and implementation of a modern micro- than simulation-based testing as a single proof can ver- processor creates many reliability challenges. Design- ify correctness over large portions of a design’s state ers must verify the correctness of large complex systems space. However, complex modern pipelines with impre- and construct implementations that work reliably in var- cise state management, out-of-order execution, and ied (and occasionally adverse) operating conditions. In aggressive speculation are too stateful or incomprehen- our previous work, we proposed a solution to these sible to permit complete formal verification. problems by adding a simple, easily verifiable checker To further complicate verification, new reliability processor at pipeline retirement. Performance analyses challenges are materializing in deep submicron fabrica- of our initial design were promising, overall slowdowns tion technologies (i.e. process technologies with mini- due to checker processor hazards were less than 3%. mum feature sizes below 0.25um). Finer feature sizes However, slowdowns for some outlier programs were are generally characterized by increased complexity, larger. more exposure to noise-related faults, and interference In this paper, we examine closely the operation of the from single event radiation (SER). It appears the current checker processor. We identify the specific reasons why advances in verification (e.g., formal verification, the initial design works well for some programs, but model-based test generation) are not keeping pace with slows others. Our analyses suggest a variety of improve- these challenges. As a result, design teams are growing ments to the checker processor storage system. Through larger, development costs are increasing, and time-to- the addition of a 4k checker cache and eight entry store market lengthens. Without significant advances in the queue, our optimized design eliminates virtually all core quality and speed of verification, the burden of verifica- processor slowdowns. Moreover, we develop insights tion will likely slow the rate at which designers can cre- into why the optimized checker processor performs well, ate higher-performance computing devices, a significant insights that suggest it should perform well for any pro- source of value in our industry. gram. 1.1. Dynamic Verification 1. Introduction Recently we proposed the use of dynamic verifica- tion to reduce the burden of verification in complex The enormous complexity of modern microprocessor microprocessor designs [1,2]. Dynamic verification is design presents significant challenges in the verification an online instruction checking technique that stems of these systems. Architects and designers must ensure from the simple observation that speculative execution that designs operate correctly for all possible programs, is fault tolerant. Consider for example, a branch predic- and they must ensure that this correct functionality is tor that contains a design error, e.g., the predictor array maintained for all (including adverse) operating condi- is indexed with the most significant bits of the PC tions. If they fail to meet these challenges, the repercus- (instead of the least significant PC bits). The resulting sions can destroy profit margins, products, and even design, even though the branch predictor contained a companies. In the worse case, failure to meet these chal- design error, would operate correctly. The only effect on lenges could even result in loss of life. the system would be significantly reduced branch pre- To avoid releasing faulty parts, designers spend con- dictor accuracy (many more branch mispredictions) and siderable effort on functional and electrical verification. accordingly reduced system performance. From the Unfortunately, the complexity of modern microproces- point of view of a correctly designed branch predictor sors makes this verification process both incomplete and check mechanism, a bad prediction from a broken pre- imprecise. The test spaces of stateful design are simply dictor is indistinguishable from a bad prediction from a too immense to fully test, necessitating the development correct predictor design. Moreover, predictors are not of ad hoc test generation and coverage analysis tools to only tolerant of permanent errors (e.g., design errors), point to where to look for bugs, and when to stop look- but also transient errors (e.g., noise-related faults or nat- ing. Moreover, the lack of any formality in system defi- ural radiation particle strikes). nition often leaves verification teams with a hazy Given this observation, the burden of verification in a definition of correctness. Formal verification [8] of a complex design can be decreased by simply increasing Core Processor Checker Processor Prediction EX/ stream: MEM insts, inputs, addresses, results IF ID REN REG SCHEDULER CHK CT non-speculative inputs Architected Reg/Mem Figure 1. Dynamic Verification Architecture the degree of speculation. Dynamic verification does computation, reducing the verification of the core to this by pushing speculation into all aspects of core pro- simply the process of locating and fixing commonly gram execution, making the architecture fully specula- occurring design errors that could adversely impact sys- tive. In a fully speculative architecture, all processor tem performance. Moreover, the simplicity of the communication, computation, control and forward checker processor design (which must be completely progress is speculative. Accordingly, any permanent correct) lends itself to high-quality functional and elec- (e.g., design error, defect, or failure) and transient (e.g., trical verification. In addition, dynamic verification may noise-related) faults in this speculation does not impact render other benefits and opportunities in the design of correctness of the program. Figure 1 illustrates the complex microprocessors. A number of promising approach. directions that we are currently exploring (additional To implement dynamic verification, a microproces- details are available in [1,2] ) include: reduced time-to- sor is constructed using two heterogeneous internal pro- market and design cost, SER and transient fault toler- cessors that execute the same program. The core ance, aggressive core circuitry implementations, and processor is responsible for pre-executing the program reduced core processor complexity. to create the prediction stream. The prediction stream consists of all executed instructions (delivered in pro- 1.2. Contributions of this Paper gram order) with their input values and any memory addresses referenced. In a baseline design, the core pro- In this paper, we examine in detail the performance cessor is identical in every way to the traditional com- of our initial checker processor design. We found that plex microprocessor core up to (but not including) the for many programs, slowdowns from the checking pro- retirement stage. In this baseline design, the complex cess are minimal, but for others (especially floating core processor is ‘‘predicting’’ values because it may point codes) slowdowns were non-trivial. We attribute contain latent bugs that could render these values incor- the primary source of these slowdowns to a) core pro- rect. cessor decoder stalls because of checker processor back- The checker processor follows the core processor, pressure at retirement, b) storage hazards created as the verifying the activities of the core processor by re-exe- core and checker processor compete for storage access cuting all program computation in its wake. The checker ports, and c) cache misses experienced by the checker processor is assumed to be correct since its simple pipeline. Our analyses suggest the addition of a dedi- design lends itself to easy verification (including formal cated checker processor register file and store queue to verification). The high-quality stream of predictions relieve any retirement backpressure. Remaining storage from the core processor serves to simplify the design of hazards and checker processor cache misses are elimi- the checker processor and speed its processing. Pre-exe- nated with the addition of a dedicated checker processor cution of the program on the complex core processor cache. Using the core processor as a (near) oracle eliminates all the processing hazards (e.g., branch prefetcher, a dual ported 4k checker processor cache has mispredictions, cache misses, and data dependencies) virtually no misses and provides sufficient bandwidth that slow simple processors and necessitate complex for checker processor accesses. The resulting design microarchitectures. In the event the core produces a bad demonstrates that online instruction checking can be prediction value (e.g., due to a design errors), the implemented with no slowdowns, and moreover, our checker processor will detect the bad value and flush all results suggest that the checker should perform well for internal state from the core processor and restart it after any program. the errant instruction. Once restarted, the core processor In Section 2 we give a brief description of the base- will resynchronize
Recommended publications
  • Three-Dimensional Integrated Circuit Design: EDA, Design And
    Integrated Circuits and Systems Series Editor Anantha Chandrakasan, Massachusetts Institute of Technology Cambridge, Massachusetts For other titles published in this series, go to http://www.springer.com/series/7236 Yuan Xie · Jason Cong · Sachin Sapatnekar Editors Three-Dimensional Integrated Circuit Design EDA, Design and Microarchitectures 123 Editors Yuan Xie Jason Cong Department of Computer Science and Department of Computer Science Engineering University of California, Los Angeles Pennsylvania State University [email protected] [email protected] Sachin Sapatnekar Department of Electrical and Computer Engineering University of Minnesota [email protected] ISBN 978-1-4419-0783-7 e-ISBN 978-1-4419-0784-4 DOI 10.1007/978-1-4419-0784-4 Springer New York Dordrecht Heidelberg London Library of Congress Control Number: 2009939282 © Springer Science+Business Media, LLC 2010 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (www.springer.com) Foreword We live in a time of great change.
    [Show full text]
  • Understanding Performance Numbers in Integrated Circuit Design Oprecomp Summer School 2019, Perugia Italy 5 September 2019
    Understanding performance numbers in Integrated Circuit Design Oprecomp summer school 2019, Perugia Italy 5 September 2019 Frank K. G¨urkaynak [email protected] Integrated Systems Laboratory Introduction Cost Design Flow Area Speed Area/Speed Trade-offs Power Conclusions 2/74 Who Am I? Born in Istanbul, Turkey Studied and worked at: Istanbul Technical University, Istanbul, Turkey EPFL, Lausanne, Switzerland Worcester Polytechnic Institute, Worcester MA, USA Since 2008: Integrated Systems Laboratory, ETH Zurich Director, Microelectronics Design Center Senior Scientist, group of Prof. Luca Benini Interests: Digital Integrated Circuits Cryptographic Hardware Design Design Flows for Digital Design Processor Design Open Source Hardware Integrated Systems Laboratory Introduction Cost Design Flow Area Speed Area/Speed Trade-offs Power Conclusions 3/74 What Will We Discuss Today? Introduction Cost Structure of Integrated Circuits (ICs) Measuring performance of ICs Why is it difficult? EDA tools should give us a number Area How do people report area? Is that fair? Speed How fast does my circuit actually work? Power These days much more important, but also much harder to get right Integrated Systems Laboratory The performance establishes the solution space Finally the cost sets a limit to what is possible Introduction Cost Design Flow Area Speed Area/Speed Trade-offs Power Conclusions 4/74 System Design Requirements System Requirements Functionality Functionality determines what the system will do Integrated Systems Laboratory Finally the cost sets a limit
    [Show full text]
  • CSE 141L: Design Your Own Processor What You'll
    CSE 141L: Design your own processor What you’ll do: - learn Xilinx toolflow - learn Verilog language - propose new ISA - implement it - optimize it (for FPGA) - compete with other teams Grading 15% lab participation – webboard 85% various parts of the labs CSE 141L: Design your own processor Teams - two people - pick someone with similar goals - you keep them to the end of the class - more on the class website: http://www.cse.ucsd.edu/classes/sp08/cse141L/ Course Staff: 141L Instructor: Michael Taylor Email: [email protected] Office Hours: EBU 3b 4110 Tuesday 11:30-12:20 TA: Saturnino Email: [email protected] ebu 3b b260 Lab Hours: TBA (141 TA: Kwangyoon) Æ occasional cameos in 141L http://www-cse.ucsd.edu/classes/sp08/cse141L/ Class Introductions Stand up & tell us: -Name - How long until graduation - What you want to do when you “hit the big time” - What kind of thing you find intellectually interesting What is an FPGA? Next time: (Tuesday) Start working on Xilinx assignment (due next Tuesday) - should be posted Sat will give a tutorial on Verilog today Check the website regularly for updates: http://www.cse.ucsd.edu/classes/sp08/cse141L/ CSE 141: 0 Computer Architecture Professor: Michael Taylor UCSD Department of Computer Science & Engineering RF http://www.cse.ucsd.edu/classes/sp08/cse141/ Computer Architecture from 10,000 feet foo(int x) Class of { .. } application Physics Computer Architecture from 10,000 feet foo(int x) Class of { .. } application An impossibly large gap! In the olden days: “In 1942, just after the United States entered World War II, hundreds of women were employed around the country as Physics computers...” (source: IEEE) The Great Battles in Computer Architecture Are About How to Refine the Abstraction Layers foo(int x) { .
    [Show full text]
  • Processor Architecture Design Using 3D Integration Technology
    Processor Architecture Design Using 3D Integration Technology Yuan Xie Pennsylvania State University Computer Science and Engineering Department University Park, PA, 16802, USA [email protected] Abstract (4)Smaller form factor, which results in higher pack- ing density and smaller footprint due to the addition of The emerging three-dimensional (3D) chip architec- a third dimension to the conventional two dimensional tures, with their intrinsic capability of reducing the wire layout, and potentially results in a lower cost design. length, is one of the promising solutions to mitigate This tutorial paper first presents the background on the interconnect problem in modern microprocessor de- 3D integration technology, and then reviews various ap- signs. 3D memory stacking also enables much higher proaches to design future 3D microprocessors, which memory bandwidth for future chip-multiprocessor de- leverage the benefits of fast latency, higher bandwidth, sign, mitigating the “memory wall” problem. In addi- and heterogeneous integration capability that are offered tion, heterogenous integration enabled by 3D technol- by 3D technology. The challenges for future 3D archi- ogy can also result in innovation designs for future mi- tecture design are also discussed in the last section. croprocessors. This paper serves as a survey of various approaches to design future 3D microprocessors, lever- 2. 3D Integration Technology aging the benefits of fast latency, higher bandwidth, and The 3D integration technologies [25,26] can be clas- heterogeneous integration capability that are offered by sified into one of the two following categories. (1) 3D technology. 1 Monolithic approach. This approach involves sequen- tial device process. The frontend processing (to build the 1.
    [Show full text]
  • Processor Design:System-On-Chip Computing For
    Processor Design Processor Design System-on-Chip Computing for ASICs and FPGAs Edited by Jari Nurmi Tampere University of Technology Finland A C.I.P. Catalogue record for this book is available from the Library of Congress. ISBN 978-1-4020-5529-4 (HB) ISBN 978-1-4020-5530-0 (e-book) Published by Springer, P.O. Box 17, 3300 AA Dordrecht, The Netherlands. www.springer.com Printed on acid-free paper All Rights Reserved © 2007 Springer No part of this work may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electronic, mechanical, photocopying, microfilming, recording or otherwise, without written permission from the Publisher, with the exception of any material supplied specifically for the purpose of being entered and executed on a computer system, for exclusive use by the purchaser of the work. To Pirjo, Lauri, Eero, and Santeri Preface When I started my computing career by programming a PDP-11 computer as a freshman in the university in early 1980s, I could not have dreamed that one day I’d be able to design a processor. At that time, the freshmen were only allowed to use PDP. Next year I was given the permission to use the famous brand-new VAX-780 computer. Also, my new roommate at the dorm had got one of the first personal computers, a Commodore-64 which we started to explore together. Again, I could not have imagined that hundreds of times the processing power will be available in an everyday embedded device just a quarter of century later.
    [Show full text]
  • Design Software & Development Kit Selector Guide
    ® Design Software & Development Kit Selector Guide April 2004 Table of Contents Quartus II Design Software Leadership ................................ page 2 Selecting a Design Software Product ................................... page 5 System Design Technology Recommended System Configurations ................................. page 8 Altera’s Quartus II software is the industry’s only design Altera Programming Hardware........................................... page 8 environment that supports Intellectual Property (IP)-based Altera Development Kits................................................... page 10 system design—including complete and automated system definition and implementation—without requiring lower- Third-Party Solutions........................................................ page 10 level hardware description language (HDL) or schematics. This capability enables designers to turn their concepts into working systems in minutes. The Quartus II system design tools include: Quartus II Design Software SOPC Builder: A system development tool that automates Leadership adding, parameterizing, and linking IP cores—including Altera’s Quartus® II software leads the embedded processors, co-processors, peripherals, memories, industry as the most comprehensive and user-defined logic—without requiring lower-level HDL environment available for FPGA, CPLD, or schematics. (See Figure 1.) and structured ASIC designs, delivering DSP Builder: Shortens digital signal processing (DSP) design unmatched performance, efficiency, and ease-of-use.
    [Show full text]
  • Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor
    Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul Franzon Department of Electrical and Computer Engineering North Carolina State University, Raleigh, NC, USA frhwidial, rbasuro, zzhang18, jcledfo3, slipa, ericro, wdavis, [email protected] Abstract—With the end of Dennard scaling, three dimensional TSV (I/O pads) stacking has emerged as a promising integration technique to Bulk improve microprocessor performance. In this paper we present Active First metal layer a 3D-SIC physical design methodology for a multi-core processor using commercial off-the-shelf tools. We explain the various Metal High-performance core flows involved and present the lessons learned during the design Last metal layer process. The logic dies were fabricated with GlobalFoundries Face-to-face micro-bumps 130 nm process and were stacked using the Ziptronix face-to- Metal Low-power core face (F2F) bonding technology. We also present a comparative analysis which highlights the benefits of 3D integration. Results indicate an order of magnitude decrease in wirelengths for critical Active inter-core components in the 3D implementation compared to 2D Bulk implementations. I. INTRODUCTION Fig. 1. Cross-section of the face-to-face bonded 3D-IC stack. As performance benefits from technology scaling slows The primary advantage of 3D-stacking comes from reduced down, computer architects are looking at various architectural wirelengths leading to an improvement in routability and signal techniques to maintain the trend of performance improvement, delays. On the other hand, going 3D also increases design while meeting the power budget.
    [Show full text]
  • Modern Processor Design: Fundamentals of Superscalar
    Fundamentals of Superscalar Processors John Paul Shen Intel Corporation Mikko H. Lipasti University of Wisconsin WAVELAND PRESS, INC. Long Grove, Illinois To Our parents: Paul and Sue Shen Tarja and Simo Lipasti Our spouses: Amy C. Shen Erica Ann Lipasti Our children: Priscilla S. Shen, Rachael S. Shen, and Valentia C. Shen Emma Kristiina Lipasti and Elias Joel Lipasti For information about this book, contact: Waveland Press, Inc. 4180 IL Route 83, Suite 101 Long Grove, IL 60047-9580 (847) 634-0081 info @ waveland.com www.waveland.com Copyright © 2005 by John Paul Shen and Mikko H. Lipasti 2013 reissued by Waveland Press, Inc. 10-digit ISBN 1-4786-0783-1 13-digit ISBN 978-1-4786-0783-0 All rights reserved. No part of this book may be reproduced, stored in a retrieval system, or transmitted in any form or by any means without permission in writing from the publisher. Printed in the United States of America 7 6 5 4 3 2 1 Table of Contents PrefaceAbout the Authors x ix 1 Processor Design 1 1.1 The Evolution of Microprocessors 2 1.21.2.1 Instruction Digital Set Systems Processor Design Design 44 1.2.2 Architecture,Realization Implementation, and 5 1.2.3 Instruction Set Architecture 6 1.2.4 Dynamic-Static Interface 8 1.3 Principles of Processor Performance 10 1.3.1 Processor Performance Equation 10 1.3.2 Processor Performance Optimizations 11 1.3.3 Performance Evaluation Method 13 1.4 Instruction-Level Parallel Processing 16 1.4.1 From Scalar to Superscalar 16 1.4.2 Limits of Instruction-Level Parallelism 24 1.51.4.3 Machines Summary for Instruction-Level
    [Show full text]
  • Designing Digital Circuits a Modern Approach
    Designing Digital Circuits a modern approach Jonathan Turner 2 Contents I First Half 5 1 Introduction to Designing Digital Circuits 7 1.1 Getting Started . .7 1.2 Gates and Flip Flops . .9 1.3 How are Digital Circuits Designed? . 10 1.4 Programmable Processors . 12 1.5 Prototyping Digital Circuits . 15 2 First Steps 17 2.1 A Simple Binary Calculator . 17 2.2 Representing Numbers in Digital Circuits . 21 2.3 Logic Equations and Circuits . 24 3 Designing Combinational Circuits With VHDL 33 3.1 The entity and architecture . 34 3.2 Signal Assignments . 39 3.3 Processes and if-then-else . 43 4 Computer-Aided Design 51 4.1 Overview of CAD Design Flow . 51 4.2 Starting a New Project . 54 4.3 Simulating a Circuit Module . 61 4.4 Preparing to Test on a Prototype Board . 66 4.5 Simulating the Prototype Circuit . 69 3 4 CONTENTS 4.6 Testing the Prototype Circuit . 70 5 More VHDL Language Features 77 5.1 Symbolic constants . 78 5.2 For and case statements . 81 5.3 Synchronous and Asynchronous Assignments . 86 5.4 Structural VHDL . 89 6 Building Blocks of Digital Circuits 93 6.1 Logic Gates as Electronic Components . 93 6.2 Storage Elements . 98 6.3 Larger Building Blocks . 100 6.4 Lookup Tables and FPGAs . 105 7 Sequential Circuits 109 7.1 A Fair Arbiter Circuit . 110 7.2 Garage Door Opener . 118 8 State Machines with Data 127 8.1 Pulse Counter . 127 8.2 Debouncer . 134 8.3 Knob Interface . 137 8.4 Two Speed Garage Door Opener .
    [Show full text]
  • Academic Definitions
    School of Graduate studies calendar Fall 2009 ACADEMIC DEFINITIONS Prerequisite: Student must pass Course A before taking Course B. Corequisite: Student must take Course A prior to or concurrently with Course B. Course Credits: One course credit is equivalent to a one-term course taken for one term. It has a course weight of 1.00 for the purpose of GPA calculations. One module is equivalent to half of a one-term course and is normally taught in a 6 week session. Antirequisite: Students may not enrol in a course which lists, as an Antirequisite, one which they are also taking or in which they have already obtained standing. Pass/Fail Courses: Are not included in GPA calculations, but are included in promotion status. Milestone: a Milestone is a component of a program which is required for graduation, but is not offered in a traditional in-class course framework. Examples are theses, major research papers, major projects, comprehensive and candidacy examinations, dissertations, and WHIMIS certification. _________________________________________________________________________________________________ The following course descriptions are a guide to courses offered through the program from time to time. Not all courses will be offered every year. Courses are offered subject to faculty availability and are subject to change without notice. Courses followed by a second course number in brackets indicate that the course is offered through a joint program with another university. For example: CC8900 (CMCT 6000 3.0) Core Issues in Cultural Studies, indicates that the bracketed number is used at York University in the joint Ryerson/York Communication and Culture Program. Graduate Calendar Fall 2009 AEROSPACE ENGINEERING CURRICULUM Master of Applied Science DEGREE REQUIREMENTS Master's Thesis Five Elective credits 5 Master of Engineering DEGREE REQUIREMENTS Master's Project* Eight Elective credits 8 *students may apply to substitute 2 courses for the project.
    [Show full text]
  • Ultrafast Design Methodology Guide for the Vivado Design Suite
    See all versions of this document UltraFast Design Methodology Guide for the Vivado Design Suite UG949 (v2019.2) December 6, 2019 Revision History Revision History The following table shows the revision history for this document. Section Revision Summary 12/06/2019 Version 2019.2 Thermal Solution Considerations Added new section. Performance/Power Trade-Off for Block RAMs Updated examples. Using the CLOCK_LOW_FANOUT Constraint Updated examples. Using Incremental Implementation Flows Added information about automatic incremental implementation mode. Incremental Directives and Target WNS Added new section. Compile Time Considerations Added new section. Assessing the Maximum Frequency of the Design Added new section. Reducing Clock Delay in UltraScale and UltraScale+ Devices Added new section. Disable LUT Combining Updated example. ML Strategies Added new section. Using Incremental Implementation Added information about automatic incremental implementation mode. Using VIO Cores Added new section. 06/26/2019 Version 2019.1 About the UltraFast Design Methodology Added reference to UltraFast Design Methodology Timing Closure Quick Reference Guide (UG1292). SLR Utilization Considerations Updated example. Auto-Pipelining Considerations Added new section. Using Auto-Pipelining on Custom Interfaces Updated to show the hierarchy recommendation and USER_SLR_ASSIGNMENT constraints. Synchronous CDC Added note about safe timing between BUFGCE_DIV clocks. Incremental Synthesis Flows Added new section. Using Incremental Implementation Flows Added information on automatic incremental implementation. Optimization Analysis Added -debug_log option. Methodology DRCs with Impact on Timing Closure Added Severity column and TIMING-44 and TIMING-45 checks. Methodology DRCs with Impact on Signoff Quality Added Severity column and TIMING-46 check. Optimizing Paths with Dedicated Blocks and Macro Added optimization options. Primitives Interconnect Congestion Level in the Device Window Added enhanced reporting information.
    [Show full text]
  • Ultra-Low-Power Design and Implementation of Application-Specific Instruction-Set Processors for Ubiquitous Sensing and Computing
    Ultra-low-power Design and Implementation of Application-specific Instruction-set Processors for Ubiquitous Sensing and Computing NING MA Doctoral Thesis in Electronic and Computer Systems Stockholm, Sweden 2015 TRITA-ICT 2015:11 KTH School of Information and ISSN 1653-6363 Communication Technology ISRN KTH/ICT-2015/11-SE SE-164 40 Kista, Stockholm ISBN 978-91-7595-692-3 SWEDEN Akademisk avhandling som med tillstånd av Kungl Tekniska högskolan framlägges till offentlig granskning för avläggande av teknologie doktorsexamen i Elektronik och Datorsystem onsdag den 4 november 2015 klockan 10.00 i Sal B, Electrum, Kungl Tekniska högskolan, Kista 164 40, Stockholm. © Ning Ma, September 2015 Tryck: Universitetsservice US AB iii Abstract The feature size of transistors keeps shrinking with the development of technology, which enables ubiquitous sensing and computing. However, with the break down of Dennard scaling caused by the difficulties for further lower- ing supply voltage, the power density increases significantly. The consequence is that, for a given power budget, the energy efficiency must be improved for hardware resources to maximize the performance. Application-specific inte- grated circuits (ASICs) obtain high energy efficiency at the cost of low flexi- bility for various applications, while general-purpose processors (GPPs) gain generality at the expense of efficiency. To provide both high energy efficiency and flexibility, this dissertation ex- plores the ultra-low-power design of application-specific instruction-set pro- cessors (ASIP) for ubiquitous sensing and computing. Two application sce- narios, i.e. high-throughput compute-intensive processing for multimedia and low-throughput low-cost processing for Internet of Things (IoT) are imple- mented in the proposed ASIPs.
    [Show full text]