APRIL 2020 VOL. 27, NO. 2 ISSN: 1074 1879 EDITOR-IN-CHIEF: DANIEL TOMASZEWSKI

TABLE OF CONTENTS T ECHNICAL B RIEFS TECHNICAL BRIEFS ...... 1 • Marvels of Microelectronic Technology: From the Floating Gate and Charge Trapping concepts to the of Terabit class MARVELS OF MICROELECTRONIC UPCOMING TECHNICAL MEETINGS ...... 10 ECHNOLOGY FROM THE LOATING • 2020 IEEE Photovoltaic Specialists Conference (PVSC) T : F • 2020 IEEE International Interconnect Technology Conference (IITC) GATE AND CHARGE TRAPPING • 2020 IEEE Symposia on VLSI Technology and Circuits (VLSI) CONCEPTS TO THE FLASH MEMORY SOCIETY NEWS ...... 14 OF TERABIT CLASS • Message from Editor-in-Chief • Message from EDS Vice President of Regions BY SIMON DELEONIBUS, PAST CHIEF SCIENTIST CEA, LETI and Chapters • Announcement of Newly Elected Officers • In Memory of Harvey C. Nathanson Introduction Awards and Call for Nominations ...... 19 • Meyya Meyyappan wins Technology Champion Award In this Technical Brief, we are focusing on the discovery, inventions • 2020 IEEE Robert Bosch Award Winner Announcement and development of modern Non Volatile Memories (NVMs). Where- • IEEE Robert Bosch Award Call for Nominations • 2019 EDS Distinguished Service Award Winner as, we reported on the invention and development of Dynamic Ran- • 2019 EDS J. J. Ebers Award Winner(s) dom-Access Memory (DRAM) in the October 2019 Newsletter issue. • EDS J. J. Ebers Award Call for Nominations • 2019 EDS Education Award Winner Since the years 1990s to 2000s, NVMs, in their Flash Floating Gate • 2020 EDS Education Award Call for Nominations and Charge Trap (FFG&CT) versions, have become the market and • 2019 EDS Early Career Award Winners • EDS Early Career Award Call for Nominations technology driver for integrated circuits as they entered the mass • EDS Members Elected to IEEE Grade of Fellow market through the camera, automotive and, further on, commu- • Announcement of Newly Elected EDS Senior Members • Heterogeneous Integration Roadmap (HIR) nication markets. Who among us has not used a USB key, a Solid Event Resounding Success State Disk (SSD), stored data on a mobile phone, or used a digi-

YOUNG PROFESSIONALS ...... 30 tal camera? No one can ignore today the formidable expansion of • IEEE Young Professionals Spain presents “IEEE FFG&CT NVMs and the way they overcame the leadership on DRAM Inspiring Leaders” Event • IEEE Young Professionals Germany Social Meeting and Logic circuits as technology driver for Nanoelectronics. NVMs in Stuttgart have gone through the highest number of conceptual and architec-

CHAPTER NEWS ...... 33 tural changes in the past 50 years, evolving at the same time, from • COVID-19, How a Virus Affects the Science Community a niche product to a mass production driver. Just to give an exam- • Region 8 IEEE EDS Distinguished Lecture Reports • Region 10 IEEE EDS Distinguished Lecture Reports ple, Multi-Deca Gigabit (several tens of Gbit) USB keys, based on FFG&CT organized in a NAND architecture, are given almost for free REGIONAL NEWS ...... 38 nowadays as a storage and code share vector. Whereas, 256k NOR EDS VISION, MISSION AND FIELD OF Erasable Programmable Read-Only Memory (EPROM), which were INTEREST STATEMENTS ...... 48 necessarily erased by using a UV lamp, were used for professional applications, essentially for storing microcontroller programs in the 1980s! Many hurdles have appeared in their 2-dimensional (2D) scal- ing, and today 3-dimensional (3D) multilevel cells (up to 4bits/cell) integration can increase the bit density without requesting conven- tional device scaling. The NAND FFG&CT memories have reached the Terabit integration level, using either an intermediate floating gate or pseudo floating gate charge trapping. Their market ex- ceeded $52B in 2018, which is unprecedented. They are on the way (continued on page 3) ELECTRON DEVICES NEWSLETTER SOCIETY EDITORIAL STAFF

President Vice President of Membership Editor-In-Chief Meyya Meyyappan and Services Daniel Tomaszewski NASA Ames Research Center Patrick Fay Institute of Electron Technology E-mail: [email protected] University of Notre Dame Email: [email protected] E-mail: [email protected] President-Elect Ravi Todi Vice President of Publications Western Digital Technologies and Products REGIONS 1–6, 7 & 9 United Kingdom, Middle Email: [email protected] Joachim Burghartz Institute for Microelectronics Eastern, Northeastern & East & Africa Treasurer Stuttgart Southeastern USA Jonathan Terry Bin Zhao E-mail: [email protected] (Regions 1, 2 & 3) The University of Edinburgh Freescale Semiconductor Rinus Lee E-mail: [email protected] E-mail: [email protected] Vice President of Regions/ GlobalFoundries Chapters E-mail: [email protected] Western Europe Secretary Murty Polavarapu Mike Schwarz M.K. Radhakrishnan Space Electronics Solutions Robert Bosch GmbH NanoRel E-mail: [email protected] Central USA & Canada E-mail: [email protected] (Regions 4 & 7) E-mail: mike.schwarz1980@ Vice President of Strategic Michael Adachi googlemail.com Jr. Past President Directions Simon Fraser University Fernando Guarin Paul Berger E-mail: [email protected] REGION 10 GlobalFoundries The Ohio State University Australia, New Zealand & E-mail: [email protected] E-mail: [email protected] Southwestern & Western USA South East Asia (Regions 5 & 6) Sr. Past President Vice President of Technical P Susthitha Menon Samar Saha Committees Editor Vacancy Universiti Kebangsaan Malaysia Prospicient Devices John Dallessase E-mail: [email protected] E-mail: [email protected] University of Illinois at Urbana- Latin America (Region 9) Champaign Edmundo A. Guiterrez-D. North East and East Asia Vice President of Education Email: [email protected] INAOE Ming Liu Navakanta Bhat E-mail: [email protected] Institute of Microelectronics Indian Institute of Science E-mail: [email protected] Email: [email protected] REGION 8 Vice President of Meetings Eastern Europe South Asia Kazunari Ishimaru Kateryna Arkhypova Manoj Saxena Kioxia Corporation IRE NASU University of Delhi Email: [email protected] E-mail: [email protected] E-mail: [email protected]

Scandinavia & Central Europe IEEE prohibits discrimination, harassment, and bullying. For more information, Marcin Janicki visit http://www.ieee.org/web/aboutus/whatis/policies/p9-26.html. Lodz University of Technology E-mail: [email protected]

EDS Board of Governors (BoG) CONTRIBUTIONS WELCOME Elected Members-at-Large Elected for a three-year term (maximum two terms) with ‘full’ voting privileges Readers are encouraged to submit news items concerning the Society and its members. Please send your ideas/articles directly to either Editor-in 2020 TERM 2021 TERM 2022 TERM Chief or the Regional Editor for your region. The e-mail addresses of all Roger Booth (1) Paul Berger (1) Constantin Bulucea (1) Regional Editors are listed on this page. E-mail is the preferred form of Mukta Farooq (2) Navakanta Bhat (2) Daniel Camacho (1) submission. Edmundo (1) Merlyne De Souza (1) John Dallesasse (1) NEWSLETTER DEADLINES A. Gutierrez-D. Benjamin Iniquez (1) Kazumari Ishimaru (1) Mario Lanza (1) ISSUE DUE DATE Carmen M. Lilley (2) William (Bill) Nehrer (1) Geok Ing Ng (1) Durga Misra (2) Murty Polavarapu (2) Claudio Paoloni (1) Manoj Saxena (1) Camilo Velez Cuervo (1) Hitoshi Wakabayashi (1) July April 1st Sumant Sood (1) October July 1st January October 1st April January 1st

The EDS Newsletter archive can be found on the Society web site at http://eds.ieee.org/eds-newsletters.html. The archive contains issues from July 1994 to the present.

IEEE Electron Devices Society Newsletter (ISSN 1074 1879) is published quarterly by the Electron Devices Society of the Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016–5997. Printed in the U.S.A. One dollar ($1.00) per member per year is included in the Society fee for each member of the Electron Devices Society. Periodicals postage paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE Electron Devices Society Newsletter, IEEE, 445 Hoes Lane, Piscataway, NJ 08854.

Copyright © 2020 by IEEE: Information contained in this Newsletter may be copied without permission provided that copies are not used or distributed for direct commercial advantage, and the title of the publication and its date appear on each photocopy.

2 IEEE Electron Devices Society Newsletter ❍ April 2020 MARVELS OF MICROELECTRONIC TECHNOLOGY: FROM THE FLOATING GATE AND CHARGE TRAPPING CONCEPTS TO THE FLASH MEMORY OF TERABIT CLASS

(continued from page 1) to replacing hard disk drives (HDDs) in computers and equipping data centers with Solid State Disks (SSDs). Two major key persons that marked the history of Non Volatile Memories research and development, Professor Simon M. Sze, NCTU, IEEE Fellow, IEEE EDS Celebrated member (Figure 1a) and Professor Fujio Ma- suoka, , IEEE Fellow (Figure 1b), have kindly accepted to testify on the birth of the discovery of the floating gate effect and the inven- tion of Flash Memories, respectively. Their testimonies are reported in sec- tions 1 and 2. In section 4, members of the former team at Memory (spun into Kioxia) who invented and first announced the 3D BICS-FLASHTM Figure 1 Recent portraits of: (a) Simon M. Sze (by courtesy of Simon Sze) architecture graciously accepted to (b) Fujio Masuoka (by courtesy of Fujio Masuoka) share their recollection. Memory (FGM) effect [2]. The device Electrically Erasable Programmable

1. Simon Sze and the early cradle featured a 50 Å SiO2 gate oxide, 1000 Å Read-Only Memory (EEPROM). Many age of Floating Gate memories Zr floating gate, 1000 Å ZrO2 coupling options and many divergent interests Everybody in the semiconductor busi- insulator and an Aluminum control existed around the table without a ness knows Professor Simon Sze for gate. Pulses at 50 V and 0.5 µs were dominant application really emerg- his very popular and authoritative necessary to inject charge through the ing at the beginning. books, discoveries and inventions. The gate oxide from the Insulated Gate Since then, the Floating gate mem- author of this Technical Brief accom- Field Effect Transistor (IGFET) channel ory cell has proven to be the smallest panied his learning of semiconductor into the floating gate, by capacitive memory device based on one transis- devices as an undergraduate student coupling between the control gate and tor. It has beaten all predictions after through Simon Sze’s book titled Phys- the floating gate (Figure 2a). Depend- being unrecognized or criticized as ics of Semiconductor Devices [1], first ing on the insulator, the charge injec- being expensive to make, unreliable published in 1969, and is still using it tion (Figure 2b) was believed to be due and incompatible with logic devic- daily as a reference just as many other to a Fowler-Nordheim (for example in es, due to high program/erase volt- scientists and engineers worldwide. SiO2 or Al2O3) or Poole-Frenkel (Si3N4) ages, and thus necessarily using a Among the many seminal discoveries, mechanisms. thick gate oxide susceptible to being inventions, studies and papers that Si- This was the very beginning of 60 stressed and suffering from induced mon Sze authored in his career, the co- years in research and development defects. Nowadays, on the contrary, it discovery of the Floating Gate Memory on the basic principle of charge stor- has become a commodity product of- effect has certainly brought a revolu- age/trapping in a stacked gate (1 con- fering programmable/erasable mass tion in our business and changed the trol gate and 1 floating gate) device, storage media after 50 years of con- societal way of living worldwide. Da- and neutralization of the charge by an tinuous struggle and studies. won Kahng and Simon Sze, Bell Labs erasure mechanism, for example by In the frame of our exchanges, researchers at that time, published a applying an opposite voltage on the Prof. Simon Sze explained the mo- seminal paper in the Bell System Tech- control gate. Without naming it ex- tivations that led him and D. Kahng nical Journal in June 1967, in which plicitly, Kahng and Sze believed that to invent the floating gate device they explained the Floating Gate such a device was announcing the for memory applications: “In the

April 2020 ❍ IEEE Electron Devices Society Newsletter 3 again. That is why the devices were pointed out as “alterable memories”. Coincidentally with Kahng and Sze’s discovery, in December 1967, H.A.R. Wegener from Sperry Rand Research Center published research on the Metal, Nitride Oxide Silicon (MNOS) transistor [3] in which charges were stored in silicon nitride layer traps by the Poole-Frenkel transport, af- ter injection through the underlying tunnel oxide (Figure 3a). It resulted (a)(b) in a memory device with low charge retention capabilities, due to leak- Figure 2(a) First floating-gate memory (FGM) by Dawon Khang (left) and Simon Sze (right). age through the gate. Other authors (Courtesy of Simon Sze) and Figure 2(b) Band diagram of a Floating gate transistor reported similar structures [4]. Kes- structure during: (a) charge trapping,(b) storage and (c) detrapping havan and Lin reported on the band (discharging) (Courtesy of Simon Sze) gap engineering of the insulating stack by introducing an extra oxide layer between the gate and channel, resulting in a MONOS structure [5]. The extra layer avoided charge leak- age from the nitride to the gate and modified the transistor threshold voltage as well. From the 1960’s to the beginning of the 1970s, only mask writable memories (Read Only Memories or ROMs) were available. Electrically programmable/erasable devices were still confined in their infancy. (a) In 1971, proposed a 2kBit Float- ing gate Avalanche-injection MOS (FAMOS) memory [6], without a con- trol gate, to store charge from the (b) MOSFET drain (Figure 3b) by using a carrier injection from the avalanche Figure 3(a) MNOS structure (Wegener et al.): discrete charge trapping devices current at the drain. Pulses of 50 V for (Courtesy of Simon Sze) and Figure 3(b) Floating gate Avalanche-injection 5 ms, with a load current of 50 mA, MOS (FAMOS) structure [6] were necessary to program 1 bit. The memory was UV erasable collectively mid 1960’s, magnetic core memory ered in the 4th Industrial Revolution but still needed to be programmed (MCM) was used in computers. It and brought unprecedented benefit bit by bit, due to its NOR gate archi- took a long time to turn on the main- to the mankind.” The paper intro- tecture. However, the absence of a frame computer (m/c). We thought a duced not only the basic concept control gate could not make it electri- should be of non-volatility in semiconductor cally erasable. faster than the MCMs to boot up the devices, but also the floating-gate mainframe computer (m/c).” Simon structure which is still the dominant 2. The advent of Flash memories Sze adds: “When we submitted our technology for nonvolatile informa- Fujio Masuoka was an intellectually paper to BSTJ in May 1967, we re- tion storage. precocious child, especially good at ally did not know that FGM would In those days, many engineers and math, had overwhelming capacities eventually supersede all prior researchers wanted to use Read Only by three years in advance at the school non-volatile memory technologies Memories for code storage by an and high school levels. He was thus a (e.g. magnetic tapes, HDDs, optical electrical action, without necessar- very curious spirit and certainly keen to disks) and in early 21st century ush- ily being able to erase and program taking risky paths for innovation. Early

4 IEEE Electron Devices Society Newsletter ❍ April 2020 in his career at Toshiba, he worked on DRAM as a development engineer as well as a salesman. At that time, Ultraviolet (UV)- EPROM and EEPROM were available. However, there were cost per bit is- sues with UV-EPROM and EEPROM. EEPROM needed a much more com- plex architecture (two transistors) than UV-EPROM, which were more compact and scalable. However, UV- (a) EPROMs posed more constraint at the system level (UV collective eras- ing) and made them less popular. “My idea on EPROM was that programming can be performed at a lower voltage and a higher speed than that of the conventional EPROM, by using a control gate. In the inven- tion USP 3,825,945 I claimed that the capacitance between the floating gate and control gate should be larg- er than that between the substrate and floating gate [7]” says Masuoka. An increased coupling ratio (ratio between the control gate/floating gate capacitance and floating gate/ (b) (c) substrate capacitance) resulted in enhanced charge injection into the Figure 4 (a) SAMOS structure [9] programmable by charge injection resulting from drain avalanche floating gate from the device chan- breakdown and electrically or UV erasable—partially presented at IEDM 1972 late news; (b) First nel. Moreover, Masuoka and Iizuka paper on Triple poly Flash Memory (NOR gate), presented at IEDM 1984 [12]; (c) First paper on proposed to use stacked polysilicon NAND type Flash Memory, presented at IEDM 1987 [15]. Comparison between NOR and NAND electrodes separated by a coupling gates layout. will be details of Fig.4b visible after printing? insulator to implement the control and a floating storage gate [8–10], in at this point, still the “battle was not intuitive even for many professionals a one transistor cell programmable in won”! because erasing all bits in a sector to a drain avalanche mode. The Stacked- In the early 1980s, the EEPROM change one was seen as a “waste of gate Avalanche-injection MOS (SA- required two transistors per bit (a time”. The erasure was obtained by MOS) memory established a direct non-volatile memory transistor and injecting electrons by field emission link with polysilicon gate CMOS, a select transistor) necessarily bit by between the control gate and a third which was becoming the mainstream bit rewritable. How could each bit be polysilicon erase gate. Actually, at the technology (Figure 4a). These con- reduced to one non-volatile memory small scale of writing/erasing times, ditions, put together, formed the transistor only to reduce the bit cost? collective Flash erasure was not concept for a future commodity-like “The cost per bit could drop much an issue and was energy wise effi- product that was never thought, but lower to a quarter,” declares Masuoka cient. The working principles behind finally proven to be capable of com- who paradoxically underlines: “I no- NOR flash memory were presented peting with DRAM in terms of density ticed that by erasing all bits at once, at the 1984 IEDM [12] (Figure 4b), and and cost per bit, even though not as and then writing bits on a per-bit basis, 256-kbit NOR flash memory was an- fast. The success of the digital cam- a select transistor would not be need- nounced at the 1985 ISSCC [13]. eras, of the flexibly mobile mass stor- ed. This marked the advent of electri- Masuoka adds: ”In 1986, I eventu- age in the form of USB keys, and of cally-rewritable Flash Memory, which ally noticed that NOR flash memory mobile phones, boosted the demand can be erased all at once, with only was so insufficiently low cost that it for such devices easily usable by the one non-volatile memory transistor could not drive out magnetic disks, public at large. This demand was en- for each bit, granted as USP 4,437,174 and that the Flash Memory should be abled by Flash Memories. However, [11].” This conclusion was counter- needed to further reduce the cell area

April 2020 ❍ IEEE Electron Devices Society Newsletter 5 per-bit. The answer was NAND Flash ry but also the HDDs is accounted. vertical gate-all-around transistor ar- Memory, granted as USP 5,245,566 And also, many power stations in chitecture with discrete traps (pseudo [14]. In April 1987, I successfully veri- the world can be saved by replac- floating gates) storage had a higher fied the basic properties of the NAND ing the HDD by the SSD. For this is electrostatic integrity than the con- flash memory, namely writing, read- what the final target of the NAND ventional 2D architecture. Moreover, ing and erasure by bulk injection.” Flash Memory is all about, and there the vertical gate-all-around structure The results were presented at the is no doubt at all that the NAND did not require such aggressive li- 1987 IEDM [15] (Figure 4c) Flash Memory is an earth-conscious thography capabilities to increase the Masuoka wanted to quickly pro- ‘Green Technology’.” final circuit capacity and resulted in a totype a 4Mbit NAND Flash circuit, highly competitive cost per bit. but had a hard time to convince his 3. Looking for new break- management to provide mask fund- throughs in process integration 4. Three Dimensional (3D) Flash ing. He failed to get any funding from At the beginning of the years 2000, Memory: BiCS FLASHTM at the computer division, because they the quest for an efficient solution to TOSHIBA’s memory division1 found the concept too ambitious to increase the capacity of floating gate At Toshiba, the BiCS FLASHTM tech- replace magnetic storage memories. based Flash Memories beyond the nology was developed as soon as “If I’d given up there, all my work 70–50 nm node has been an ongo- July 2005 when the first idea came up to then would have come to noth- ing question. As a matter of fact, the out. Toshiba announced the first ex- ing,” confesses Masuoka. He found high voltage used for cell program- ample of a vertical channel based an ally at the Consumer Electronics ming/erasing and the floating gate high density Flash memory array, Division to financially support his architecture itself, imposed insula- featuring gate all-around transistors project: “I explained to Mr. Tajiri, Di- tors thicker than for logic devices to and a SONOS like gate stack struc- rector of the Consumer Electronics withstand the reliability constraints. ture. As in all major memory com- Laboratory, that if we managed to Such a situation made the floating panies, there were discussions on produce 4-Mbit NAND flash memory, gate transistors more sensitive to different options to solve the scaling cameras would no longer need film. I short channel effects and to a re- issues of 2D Flash Memories: an in- was indeed explaining that the digital tention and endurance degradation creasing transistor variability, a pro- cameras which we know today would due to Stress Induced Leakage Cur- gram disturbance due to neighboring become possible. We successfully de- rent (SILC). Cell to cell disturbance cell interferences, and an increasing veloped 4-Mbit NAND flash memory increased with spacing reduction. fabrication tools cost. Options such in 1988 and announced 4-Mbit NAND At such a point, several alternatives as 3D stacked floating gates, FeRAM, flash memory at the ISSCC in Feb- were making sense to move aside ReRAM, PCRAM were being consid- ruary 1989 [16]. Thereafter, Mr. Tajiri the necessity of 2D linear scaling ered in the discussion. In that con- used the 4-Mbit NAND Flash memory to increase memory capacity. Be- text, a cross-point architecture was to launch the world’s first digital cam- yond stacking 2D memory arrays in published by Matrix Semiconductor era to replace conventional film with a package, other device architecture (now Sandisk), composed of cross- NAND flash memory. At the time, the or integration based solutions were bar type one-time programmable price of the flash memory was high being developed. One idea was to memory cells, stacked repeatedly and the world’s first flash memory- increase the number of bits per cell [20]. At that time, Hideaki Aochi was based camera didn’t sell well.” [17] by programming several states leading a non volatile memory pro- Masuoka finally concludes: “Now, corresponding to several threshold cess integration team at Toshiba. Flash Memory is penetrating into voltages to read, the limit being the Note that the company has recently the whole economic framework. The threshold voltage distribution vari- spun off its memory business by cre- world-wide market size of the NAND ability and the associated noise mar- ating KIOXIA. Flash Memory last year surpassed gins. Nowadays, up to 4 bits per cell Aochi, now a KIOXIA engineer, re- $52B. In the near future it is ex- are implemented in large capacity calls: “The cross point architecture pected to become more than $100B, SSD circuits (see hereunder). Anoth- needed a large number of process which is unmatched in the history of er way was to stack 2D arrays at the steps, because of the large number the world, when all the HDD’s in the chip level [18], with a limit in a cost of repetitions of the similar cross- personal computers are replaced by per bit reduction approach. bar shaped memory structure. A the SSDs, and the HDD’s of the data Finally, a multiplication of verti- large fabrication chip cost was a se- station of Google and FaceBook, etc. cal 3D strings Flash architecture was vere issue.” are partially replaced by the SSDs. proposed by Toshiba [19] by the so This is because the market share of called Bit Cost Scalable (BiCS) tech- 1 Currently spun off from Toshiba and renamed as not only the semiconductor memo- nology, renamed BiCS FLASHTM. The KIOXIA.

6 IEEE Electron Devices Society Newsletter ❍ April 2020 Figure 5 First publication of the BiCS FLASHTM Memory technology at VLSI Technology Symposium in June 2007 [19].

Aochi remembers: “One day, dur- nel on variability and the process in- ity of transistor performance had ing my ride in the commuter train, I tegration of a vertical gate-all-around been caused by two major factors, had gotten the basic idea of punch transistor. Since the 1980s, at the time one was due to the poly-Si channel, and plug process to make a hole of advent of thin film p-channel transis- as we had expected, and the other thru many stacked layers of two dif- tors load for Static RAMs or displays was the contact resistance of the ferent materials. Then our team had row- and column-select transistors, connection of the poly-Si channel to started to construct all the elements the use of polysilicon channels in the the substrate.” of the basic concept of BiCS FLASHTM, fabrication of logic or memory circuits The solution was to give a hollow which are: detailed process integra- of ULSI densities was highly chal- tubular shape to the memory strings tion, device design, array structure, lenging and many questions existed and to thin down the polysilicon chan- staircase layout, and row/column wir- around on a possible variability is- nel to keep electrostatic integrity, just ing connection to driver and sensing sue. These types of devices were bet- as in a thin body SOI transistor. Typi- circuit.” (Figures 5, 6). ter known in the field of displays but cal macaroni shaped channels were Many questions arose while start- for much larger geometries. thus implemented. A pipe-shaped ing to implement such a seductive Aochi says: “After some experi- string structure could eliminate the idea: the impact of a polysilicon chan- ments, we realized that the variabil- bottom contact of the poly-channel

April 2020 ❍ IEEE Electron Devices Society Newsletter 7 Figure 6 Members of the BiCS FLASHTM Memory team celebrated at KIOXIA. From left to right: (Lower row) Masaru Kito*, Tomoharu Matsushita**, Hideaki Aochi*, Hiroyasu Tanaka*, Akihiro Nitayama*, Ryota Katsumata* and (Upper row) Masaru Kido*; *Inventor, ** Chief Production Executive of KIOXIA Corporation (by permission of KIOXIA) to the substrate and stabilize the stacking up to 128 layers, featuring The discovery of the Floating Gate variability of the contact resistance. up to 4 bits/cell and a bit surface den- and discrete trapping concepts aug- The first results of an experimen- sity approaching 10 Gbit/mm2. The mented by the invention of Flash tal 512 kbit test chip were presented memory circuits can be handheld in Memories are with no doubt major at the 2007 VLSI Symposium [19]. a solid state disk or equip mass stor- events in the history of microelec- The team was successful in present- age systems in data centers. The 3D tronics and its success. They have ing the results of functional 32 Gbit string-type Flash Memories have sustainably impacted our daily life density memory arrays, obtained in been adopted among Toshiba/KIOXIA with broad societal usage. Their most 2008, at the 2009 VLSI Technology competitors as well, either with a SO- recent success for 3D mass storage Symposium [21]. NOS/p+-like TaNOS or vertical Float- was possible thanks to a succession Aochi underlines: “That announce- ing gate structures [23]–[26]. of microelectronics engineering mar- ment impacted all of flash memory Many other candidates featuring al- vels developments. manufacturing and accelerated similar ternative structures or materials have Acknowledgements to Prof. Simon type of flash memory development. been trying to take over their success. Sze (NCTU), Prof. Fujio Masuoka (To- We had made the first production chip These are floating gate-inspired (i.e. hoku University), Dr. Koji Sakui (Hon- of 48-layers of BiCS FLASHTM with Si Nanocrystal FG, split gate, NROM da), Prof. Masaaki Inutake (Tohoku 256 Gbit memory density in 2015.” structures, etc.) or based on 1 to 2 University), Drs. Hiroyasu Tanaka, Ryo- Obtaining reproducible hole shape transistors associated to a resistor or ta Katsumata, Hideaki Aochi, and Kazu- strings was a major critical path. capacitor(s) (i.e. MRAMs, STT RAMs, nari Ishimaru (KIOXIA). Toshiba announced at ISSCC 2019 ReRAMs, FeRAMs, etc.) to reduce the a 1.33 Tbit chip based on the 3D BiCS SILC issue or address a storage node. References FLASHTM technology stacking 96 lay- Their compatibility or co-integration [1] S.M.Sze, “Physics of Semiconduc- ers and 4 bit/cell [22]. with Si CMOS is sometimes a strong tor Devices”, Ed. John Wiley and Sons Inc., After the successful implementa- requirement. Some of these alternative New York (1969). tion of the BiCS-FLASHTM technology solutions could be or have already been [2] D. Khang and S.M. Sze, “A floating by Toshiba and continued by Kioxia, adopted as application specific, field gate and its application to memory de- 3D vertical Flash memories have be- programmable gate arrays (FPGAs), vices,” Bell Syst. Tech. J. Briefs, pp.1288– come very popular for mass storage embedded memories or distributed in 1295, vol. 46, Aug. 1967. among the main memory makers. Af- CMOS for new computing paradigms [3] H. A. R. Wegener, A. J. Lincoln, H. ter producing stacked 2D structures and ultra low power circuit architec- C. Pao, M. R. O’Connell, R. E. Oleksiak, [18], Samsung followed an approach tures (i.e. neuromorphic computing H.Lawrence, “THE VARIABLE THRESHOLD close to Toshiba’s and demonstrated and programmable circuits, non-vola- TRANSISTOR, A NEW ELECTRICALLY- a vertical 3D structure integrating a tile logic and RAMs, etc.) [27]. These ALTERABLE, NON-DESTRUCTIVE READ- damascene p+-like W gate, ancestor latter topics have not been addressed ONLY STORAGE DEVICE,” Digest. Tech. of a vertical TaNOS structure [23]. in this issue, due to the vast extent of papers IEDM 1967, #11.4, p.70. Nowadays, 3D Terabit class mem- the subject, as they would request an- [4]. J.R. Szedon and T.L. Chu “Tunnel ory can be integrated in a single chip, other article. Inspection and Trapping of Electrons in

8 IEEE Electron Devices Society Newsletter ❍ April 2020 Aluminum-Silicon Nitride- Silicon Dioxide-Sil- EEPROM using triple polysilicon technol- [21] Ryota Katsumata, Masaru Kito, icon (MNOS) Capacitors,” Abs. Solid State ogy,” IEEE ISSCC 1985, pp. 168–169. Yoshiaki Fukuzumi et al., “Pipe-shaped Device Research Conference, p 631, 1967. [14] F. Masuoka, “Programmable Semi- BiCS Flash Memory with 16 Stacked Lay- [5] B.V. Keshavan and H. C. Lin, ”MONOS conductor,” USP 5,245,566, Sep. 14, 1993. ers and Multi-Level-Cell Operation for Ul- MEMORY ELEMENT,” IEDM Digest of Tech- This patent showed NAND Flash Memory. tra High Density Storage Devices,” Symp. nical Papers, pp. 140–141, Oct 1968. [15] F. Masuoka, M. Momodomi, Y. Iwa- on VLSI Tech. Dig, pp 136–137, 2009. [6] D. Frohman-Bentchkowsky “A fully- ta, and R. Shirota, “New ultra high den- [22] N. Shibata , K. Kanda, T. Shimizu decoded 2048-bit electrically-program- sity EPROM and Flash EEPROM cell with et al., “A 1.33Tb 4-bit/Cell 3D-Flash Memory mable MOS ROM,” IEEE ISSCC Digest of NAND structure cell,” in IEEE Tech. Dig. on a 96-Word-Line- Layer Technology,“ ISS- Technical Papers, pp. 80-81, Feb. 1971 and IEDM 1987, pp. 552–555. CC 2019 Tech Digest,pp 210-212. IEEE JSSC pp 301–306, Oct 1971. [16] Y. Itoh, M. Momodomi, R. Shirota, [23]. Jaehoon Jang, Han-Soo Kim, Won- [7] F. Masuoka, “Field Effect Semicon- Y. lwata, R. Nakayama, R. Kirisawa, T. Tana- seok Cho, et al., “Vertical Cell Array us- ductor Memory Apparatus with a Floating ka, K. Toita, S. Inoue, and F. Masuoka, “An ing TCAT (Terabit Cell Array Transistor) Gate,” USP 3,825,945, July 23, 1974. experimental 4Mb CMOS EEPROM with Technology for Ultra High Density NAND [8] H. Iizuka, T. Sato, F. Masuoka, K. a NAND structured cell,” IEEE Int. Solid- Flash Memory,” Symp on VLSI Technol- Ohuchi, H. Hara and Y. Takeishi, “A Fully- State Circuits Conf., 1989, pp. 134–135. ogy Symp. Digest of Technical papers, Decoded 2048-Bit Avalanche-Injection [17] M. Bauer, R. Alexis, G.Atwood, et (2009), pp 192–193. Type, Electrically Alterable ROM,” IEDM al., “A Multilevel-Cell32Mb Flash Memo- [24] D-H. Kim, H. Kim, S. Yun, Y. Song Tech Digest pp. 4–5, 1972. ry,” Tech Digest IEEE Int. Solid-State Cir- et al., “A 1Tb 4b/Cell NAND Flash Memory

[9] H. Iizuka, T. Sato, F. Masuoka, K. cuits Conf, 1995 , p132–133. with tPROG = 2ms, tR = 110μs and 1.2Gb/s High- Ohuchi, H. Hara and Y. Takeishi, “A Fully- [18]. SM Jung, J Jang, W Cho et al., Speed IO Rate,” To be published at IEEE ISS- Decoded 2048-Bit Avalanche-Injection “Three dimensionally stacked NAND flash CC 2020. Type, Electrically Alterable ROM,” IEEE memory technology using stacking single [25] H. Huh, W. Cho, J. Lee, Y. Noh et al. TRANSACTIONS ON ELECTRON DE- crystal Si layers on ILD and TANOS struc- “A 1Tb 4b/Cell 96-Stacked-WL 3D NAND VICES, VOL. ED-23, NO. 4, pp.379–387 ture for beyond 30nm node,” Tech Digest Flash Memory with 30MB/s Program [10] F. Masuoka and H. Iizuka, “Semi- IEDM, 2006 , p37–40. Throughput Using Peripheral Circuit Un- conductor Memory Device,” USP 4,115,795, [19]. H.Tanaka, M.Kido, K.Yahashi et al., der Array Technique,” To be Sep. 19, 1978. “Bit Cost Scalable Technology with published at IEEE ISSCC 2020. [11] F. Masuoka, “Semiconductor Mem- Punch and Plug Process for Ultra High [26] K. Parat and A. Goda, “Scaling ory Device,” USP 4,437,174, Mar. 13, 1984. Density Flash Memory,” Symp. on VLSI Trends in NAND Flash,” IEDM Tech. Dig., [12] F. Masuoka, M. Asano, H. Iwahashi, Tech. Dig., pp14–15, 2007. pp. 2.1.1-2.1.4, 2018. T. Komuro and S. Tanaka,“A new Flash EE- [20] Mark Johnson, Ali Al-Shamma, [27] S. Deleonibus, O. Faynot, T. Ernst PROM cell using triple polysilicon tech- Derek Bosch et al., “512-Mb PROM With et al.,“Future Challenges and Opportuni- nology,” IEEE Tech. Dig. IEDM 1984, pp. a Three-Dimensional Array of Diode/An- ties for Heterogeneous Process Technol- 464–467. tifuse Memory Cells,” IEEE JOURNAL OF ogy. Towards the Thin Films, Zero Intrinsic [13] F. Masuoka, M. Asano, H. Iwahashi, SOLID-STATE CIRCUITS, VOL. 38, NO. 11, Variability Devices, Zero Power Era,” IEDM T. Komuro and S. Tanaka, “A 256K Flash pp.1920–1928, NOVEMBER 2003. Tech. Dig., pp. 9.2.1-9.2.4, 2014.

April 2020 ❍ IEEE Electron Devices Society Newsletter 9 IMPORTANT: THE CONFERENCE NOTICES IN OUR SOCIETY NEWSLETTER WERE PUBLISHED BEFORE THE ESCALATION OF THE COVID-19 PANDEMIC. MANY IEEE CONFERENCES ARE ALTERING THEIR ARRANGEMENTS. PLEASE CONSULT EACH CONFERENCE WEBSITE FOR THE MOST UP-TO-DATE INFORMATION.

U PCOMING T ECHNICAL M EETINGS

2020 IEEE PHOTOVOLTAICS SPECIALIST CONFERENCE (PVSC)

BY SETH HUBBARD AND GEOFFREY BRADSHAW

It is our great pleasure to invite you to attend the 47th IEEE Photovoltaics Specialists Conference, which will be held from June 14–19, 2020, at the Telus Convention Center in Calgary, Alberta, Canada. We live at a special time when so- lar electricity has become cost com- petitive with conventional electricity in many locations, enabling remarkable Calgary, Canada skyline growth of the industry. As was the case in 2017, solar was the biggest con- tributor to net expansion of electricity be divided into 12 technical areas cov- made significant contribution at an generating capacity in 2018. In fact, ering cutting-edge developments in early stage of their career. the IEA PVPS has recently reported science and engineering of photovol- The conference Exhibition will that worldwide solar installed capac- taics, ranging from fundamentals to showcase the latest developments in ity has now crossed the half TW mark! applications, with an emphasis on ma- PV characterization and manufactur- The 47th IEEE PVSC will help sustain terial science, devices, systems, solar ing equipment, and is set to further this fantastic momentum with over resources and policy related matters. enhance attendee awareness on latest 800 technical papers from around the PVSC-47 aims to be a highly interactive tools and instrumentation as well as world, reflecting today’s dynamic and venue for both seasoned PV experts as to facilitate exchange between scien- expanding markets. The PVSCs have a well as entry-level professionals and tists, technical experts and exhibitors. rich tradition of bringing together stu- students. The conference provides a We are very excited to host the first dents, innovators, researchers and PV unique opportunity to meet, share and ever PVSC to be held in Canada. Calgary leaders in a vibrant and highly integra- discuss PV-related developments in a is a beautiful city in the heart of Canada tive forum to share information, gain timely and influential forum. Please situated on the eastern slope of the knowledge, strengthen collaborations consider contributing to the PVSC’s magnificent Canadian Rockies moun- and move forward photovoltaic sci- tradition as the premier international tain range. As the fourth-largest and the ence and technology from basic and conference on PV science and tech- sunniest city in Canada, Calgary has applied research into large scale man- nology and help us propel the world a lot to offer visitors from around the ufacturing and deployments. towards power from the Sun. As well, world. Calgary has one of the highest If you would still like to contribute we will be presenting the prestigious concentrations of green space in North technically, please submit your ab- William R. Cherry Award, honoring the America, and is the first municipality stract before our late news deadline photovoltaics communities’ most out- in Canada to power its infrastructure on April 20, 2020. standing scientist or engineer as well solely by renewable energy. Continuing with the tradition of the as the Stuart R. Wenham Young Profes- past few years, the 47th IEEE PVSC will sional Award for individuals who have (continued on page 13)

10 IEEE Electron Devices Society Newsletter ❍ April 2020 REGISTER NOW FOR THE IEEE IITC 2020 CONFERENCE

IITC 2020 will be held June 1-4, 2020 • Regina Freed, Applied Materials in the heart of Silicon Valley at the • Arnaud Furnemont, imec newly renovated campus of the Holi- • Oleg Gluschenkov, IBM day Inn San Jose—Silicon Valley, • Chris H. Kim, University of Min- easily accessible from San Jose In- nesota ternational Airport. One of the high- • Eiich Kondoh, University of Ya- lights will be a special evening event means to predict the performance of manashi at The Tech Interactive in downtown continuous scaling and integration • Nick Lanzillo, IBM San Jose. of new interconnects and memories • Takeshi Nogami , IBM IITC is the premier conference for on chip. They will also show bench- • Jon Reid, Lam Research interconnect technology and will of- marking of various materials and de- • Harsono Simka, Samsung fer leading-edge research in the field vice technologies. • Shintaro Yamamichi, IBM Japan of advanced metallization and 3D Presentation topics for invited integration for ULSI IC applications. We are excited to have the speakers may be found on at https:// The conference will highlight innova- following Keynote Speakers: iitc-conference.org/invited-speakers/ tive research and development in the • Dr. H.-S. Philip Wong, VP of Cor- Full conference and workshop de- critically important field of on-chip porate Research at TSMC and tails may be found at https://iitc-con- interconnects, integration and met- the William R. and Inez Kerr ference.org allization, including design, unit pro- Bett Professor at Stanford cess, integration and reliability. University. IITC 2020 is sponsored by the The conference will kick off with a • Dr Michael Mayberry, Chief Tech- IEEE Electron Devices Society. one-day workshop on June 1st: nology Officer and Senior VP and Registration: GM of Technology Development Conference registration is now open at Model Your Way to a Better at Intel. https://iitc-conference.org/registration/ Backend Technology Early Registration deadline: May 8, Workshop Program Our Invited Speakers include: 2020 In this workshop, leading scientists • Jeong-Hoon Ahn, Samsung and innovators will review the mod- • Kristof Croes, imec IITC-2020 Conference Co-Chairs: eling approaches used in industry • Suman Datta, University of Notre Paul Besser, Robert Socha and Soo- and academia, providing a powerful Dame Hyun Kim

April 2020 ❍ IEEE Electron Devices Society Newsletter 11 THE 2020 SYMPOSIUM ON VLSI TECHNOLOGY LOOKS AHEAD TO “THE NEXT 40 YEARS OF VLSI FOR UBIQUITOUS INTELLIGENCE” IN HONOLULU, HAWAII

The premier international confer- ence on VLSI semiconductor tech- nology is celebrating its 40th year of delivering unique perspectives on the convergence of VLSI technology in the microelectronics industry. The 2020 Symposia on VLSI Technology & Circuits is organized on the theme: “The Next 40 Years of VLSI for Ubiq- uitous Intelligence,” and will be held from June 14th to 19th, 2020, at the Hilton Hawaiian Village in Honolulu, Hawaii, USA. The symposia are joint- ly sponsored by the IEEE Electron Device Society (EDS) in cooperation with the IEEE Solid-State Circuits So- ciety (SSCS) and the Japan Society of Applied Physics. Rainbow Tower, Hilton Hawaiian Village, Honolulu, Hawaii - a venue of the 2020 VLSI Symposia Held jointly on a fully-overlapping schedule from June 14th to 19th, 2020 Technology and Circuits attendees • Takehiro Nakamura, senior vice in Hawaii with the Symposium on to exchange ideas on areas of joint president & general manager of VLSI Circuits, the two Symposia inte- interest that enable ubiquitous intel- 5G Laboratories, NTT DOCOMO, grate advanced technology develop- ligence, smart mobility, and other “5G Evolution and 6G,” ments, innovative circuit design, and VLSI applications, including: “MRAM • Shigeo (Jeff) Ohshima, tech- the applications they enable, such Future: Beyond STT, Beyond Embed- nology executive at KIOX- as machine learning, IoT, artificial in- ded,” “Silicon Photonics,” “5G/mm- IA (formerly Toshiba Memory), telligence, wearable/implantable wave,” “System/Design-Technology “Empowering Next-Generation biomedical applications, big data, Co-Optimization,” “Artificial Intelli- Applications through FLASH cloud/edge computing, virtual reality gence/Machine Learning,” and “Het- Innovation” (VR)/augmented reality (AR), robotics, erogeneous Integration.” • Jen Lloyd, vice president for the and autonomous vehicles. As such, Once again, the Symposia will be Precision Technology & Platforms the combined Symposia provide a followed by a full-day Friday Forum Group, Analog Devices, “Perfor- unique perspective that promotes session dedicated to “Technologies mance that Matters at the Real interactions between technologists & Circuits for Edge Intelligence,” led World Interface.” and circuit/system designers, reflect- by experts in the field who will help ing the close relationship between guide participants in discussions on Three Evening Panel Discussions: R&D in both areas required to achieve the contributions of technology and • “40 Years of VLSI to Enable the continuous improvement in perfor- circuits needed to drive the future of Future of Computing” mance, power efficiency, connectivity, advanced edge computing. • “Memory & Logic Technology Di- and cost reduction. This integration vergence: Will AI/ML Bring Them between innovations in devices and Additional highlights include: Back Together? circuits helps foster new technologies, Plenary sessions for both Technol- • “Human vs. Machine: The Future processes, and applications. A single ogy and Circuits with four talks: Role of AI/Machine Learning in registration fee covers both events. • Dr. Michael C. Mayberry, chief Circuit Design” As part of this integration, this technology officer at Intel Corpo- year the Symposia will once again ration, “The Future of Compute: Full-day short courses. Attendees will feature special joint focus sessions How the Data Transformation is learn about recent trends and chal- to provide opportunities for both Reshaping VLSI,” lenges in advanced microelectronics

12 IEEE Electron Devices Society Newsletter ❍ April 2020 technology and its adaptation into • “Quantum Computing: Maximiz- Applied Materials new computing systems: ing the Impact of the Semicon- Technology Symposium Co-Chair- • “Future of Scaling for Logic & ductor Industry” man: Memory Yamakawa Shinya (Japan) • “System, Technology, & Design Satellite workshops (held before the Sony Semiconductor Solutions Solutions for Heterogeneous In- technical session of the symposium): Technology Program Chairman: tegration” • “IEEE Silicon Nanoelectronics Tomas Palacios (USA) • “Trends & Advancements in Cir- Workshop” (June 14th & 15th) Massachusetts Institute of Tech- cuit Design” • “Spintronics Workshop on LSI” nology (June 14th) at the same location. Technology Program Co-Chairman: Sunday Workshops. (Held June 14th Katsura Miyashita (Japan) before the technical sessions): We cordially invite you to attend Toshiba Electronic Devices & • “Know Where You Are Going: Me- the 2020 Symposium on VLSI Technol- Storage trology in the New Age of Semi- ogy. For further information please conductor Manufacturing” visit the VLSI Symposia website: www • “Analog Computing Technologies .vlsisymposium.org. & Circuits for Efficient Machine Technology Symposium Chairman: Learning Hardware” Chorng-Ping Chang (USA)

2020 IEEE PHOTOVOLTAICS SPECIALIST CONFERENCE (PVSC) (continued from page 10)

Don’t miss out on the opportuni- gary’s Glenbow Museum, with exhib- Seth Hubbard ties offered by the conference social its and performances that bring the art Conference General Chair gatherings and networking events to and culture of Calgary to life. Rochester Institute of Technology get to know your colleagues better, On behalf of the Organizing, Cher- reconnect with old friends and make ry, and International Committees, we Geoffrey Bradshaw new ones. The week kicks off with our look forward to welcoming you to the Conference Publicity Chair Exhibitor Reception on Monday eve- 47th PVSC in Calgary, Canada! Pike Engineering, LLC ning at the Convention Center and will Further details and registration can conclude with a Conference Reception be found at https://www.ieee-pvsc on Thursday evening to be held at Cal- .org/PVSC47/

Dear EDS Newsletter Readers,

Due to the global pandemic, many conferences are altering their arrangements. Due to this fact, we did not publish the Technical Meeting Calendar in this issue. We are very sorry for this. Please consult each confer- ence website for the most up-to date information. We do hope that people and organizations involved in a campaign against the SARS-CoV-2 worldwide will succeed in the near future. We wish all the best to you and to your beloved.

EDS Newsletter Editorial Team

April 2020 ❍ IEEE Electron Devices Society Newsletter 13 S OCIETY N EWS

A MESSAGE FROM EDITOR-IN-CHIEF

Dear EDS Members and Readers, EDS Chapters in Georgia, Macedonia, As well, following the first message The IEEE Elec- Ukraine, Romania, Russia with whom from Carmen as the EiC, I would like tron Devices So- I was collaborating since 2015. They to invite the EDS members and read- ciety (EDS) is a do have a significant contribution to ers to share with the Editorial Board world-wide com- this nomination. their ideas on themes they would like munity of experi- So, a new challenge for me with to appear in the Newsletter and feed- enced researchers an incomparably larger scope of re- back on changes you see in the News- and engineers, sponsibilities has appeared. I am letter in the coming years. Daniel Tomaszewski young profession- aware, that I will be able to fulfill my Finally, let me share a message Editor-in Chief, EDS als, and students duties and meet the requirements with you, that a new member is join- Newsletter working in the area only if I follow the rules and proce- ing the Editorial team. Dr. Kateryna of electron device dures, and closely collaborate with Arkhypova from O.Ya. Usikov Insti- (ED) technology. Our community the Editorial team. In order to imple- tute for Radiophysics and Electronics needs a platform for sharing news ment the mission indicated above of the National Academy of Sciences about global and local initiatives I am going to continue the work of of Ukraine (IRE NASU) has been ap- and undertakings in this field and for M.K.R. and Carmen. I also hope that pointed as the Regional Editor for sharing information on the Society they will share their experience with Region 8 Eastern Europe. It is my growth with emphasis on the EDS me, if I ask them for help, in particu- pleasure to welcome her in our team. chapter activities, taking into account lar if plans to enhance the Newsletter Dear Kateryna, I wish you a fruitful their professional and societal as- appear. This Issue of the Newsletter work for the EDS community. pects. EDS Newsletter serves as such has been edited jointly by Carmen Kateryna a platform for the benefit of the EDS and myself with an invaluable sup- Arkhy pova (M’15- healthy and sustainable growth. port by Joyce. SM’19) received the I have been involved in the EDS I am looking forward to working M.S. and Ph.D. de - Newsletter activity since 2015. Then with the EDS Officials, who provide grees in Biophysics Dr. Wladek Grabinski recommended messages to the Society through the from V.N. Karazin me for a position of EDS Newsletter Newsletter, with the EDS Technical Kharkiv National Regional Editor for Region 8 Eastern Committees and with the EDS Staff, Kateryna Arkhypova University, Ukraine, Europe. After a positive evaluation of providing the Newsletter issues with a in 2006 and 2012, my candidacy by the Newsletter Over- lot of technical information on the Soci- respectively. Since 2005 she has been sight Committee, Dr. M.K. Radhakrish- ety daily activities. I am looking forward with O.Ya. Usikov Institute for Ra- nan, Editor-in-Chief invited me to join to collaborating with the Regional Edi- diophysics and Electronics, NAS of the Editorial Board. Since then, I have tors who publicize various activities, Ukraine, where she is now a Senior constantly experienced a very kind news, and highlights of Young Profes- Researcher. Her research interests are support and leadership from M.K.R. sionals, EDS chapters and members. oriented towards clinical applications and next, from Dr. Carmen Lilley as I am also looking forward to commu- of microwaves for diagnostic and Editors-in-Chief, as well as a kind as- nicating with organizers of the tech- therapeutic purposes. Dr. Arkhypova sistance from Joyce Lombardini coor- nical meetings and conferences, to has more than 10 years of experience dinating a work of the Editorial Board. publicize in advance and report these in multidisciplinary scientific coop- I am deeply grateful to them for the events for the benefit of EDS members eration with life scientists (primarily, collaboration and guidance. In Octo- and Newsletter readers. I also would medical doctors, biologists, and bio- ber 2019 I was asked, surprisingly for like to maintain, and enhance, if possi- chemists). She is an active IEEE volun- me, whether I agreed to candidate for ble, information on EDS Distinguished teer. She is a Chairperson of the IEEE the Editor-in-Chief position. I agreed Lectures, DL Mini-Colloquia, webinars, Ukraine Section (East) AP/MTT/ED/ … and was approved for a three-year i.e. platforms helpful for publiciz- AES/GRS/NPS Societies Joint Chap- term. Here I have to express my grati- ing ED technology issues among the ter as well as a TPC member of vari- tude and thanks to colleagues from Newsletter readers. ous international conferences such

14 IEEE Electron Devices Society Newsletter ❍ April 2020 as European Microwave Conference, also serves as the EuMA General As- Sincerely, IEEE MTT-S International Microwave sembly member representing Group 10 Daniel Tomaszewski Bio-Conference, IEEE Ukrainian Mi- (Armenia, Azerbaijan, Georgia, Moldova, Editor-in Chief, EDS Newsletter crowave Week, etc. Since 2019, she and Ukraine). e-mail: [email protected]

MESSAGE FROM EDS VICE PRESIDENT FOR REGIONS AND CHAPTERS

It is my privi- Each IEEE Chapter is connected to probably will volunteer for the longer lege to serve the a local geographic Section. As such, term. Give it a try! members of EDS a Chapter has two parents—Section From the governance perspective as Vice Presi- and Society. Financial support for ac- at the Society level, these geographic dent for Regions tive Chapters (also known as annual activities come under the purview and Chapters for rebate) is available through Sections of Regions/Chapters Committee and 2020–2021. It is from IEEE Member and Geographic at a Regional level by the Subcom- Murty Polavarapu particularly grati- Activities (MGA). Sections are also mittees for Regions and Chapters EDS Vice President fying for me since expected to provide support to Chap- (SRCs). I am deeply thankful for all of Regions and my first IEEE vol- ters in financial management and the senior volunteers who have ac- Chapter unteer role was communications to all local mem- cepted the responsibilities on these as the EDS Chap- bers (beyond the EDS members). The Committees. The SRCs are expected ter Chair in the Washington, DC area. vTools platform provided by MGA is to play an active role in nurturing Chapters are geographical units of a valuable resource to all local units Chapters in their geographical areas our Society and provide resources to in organizing meetings and in reach- and provide resources as needed. members at local level for professional ing the target audience electronically. SRCs are also called upon to help as- networking and learning. At Chapter For more information visit https://site. sess the viability of new chapters and meetings, you can develop and nur- ieee.org/vtools/. mentor weak chapters. I hope to le- ture professional relationships with your EDS also has many Student Branch verage the knowledge and networks peers in the local area and get to meet Chapters based at educational insti- of the members of the Regions/Chap- leading authorities in your field who tutions. With the normal expectation ters Committee and the SRCs in re- volunteer their time to share their knowl- of student turnover, these units face energizing our Chapters. edge of latest developments in an infor- severe challenges in organizing activi- I urge you to seek out your local mal setting. As a volunteer at Chapter ties and maintaining membership. The chapter and get involved as a volun- level, you can further develop your faculty advisors can play an important teer or at least offer to share the re- IEEE network and be able to assume role here in mentoring students and in sults of your work at a meeting. You roles with increasing responsibility in instilling in them the value of belong- will find it a rewarding experience! the world’s largest professional society. ing to a professional society. There are over 200 EDS Chapters Lack of new volunteers is frequent- Murty Polavarapu worldwide and chances are that there ly cited as the main reason for many EDS Vice President of Regions is an EDS Chapter in your geographi- chapters being inactive. Volunteer re- and Chapter cal area. You can find your local chap- cruitment is not easy if you are trying Space Electronics Solutions ter at https://eds.ieee.org/chapters/ to get someone to make a commit- global-chapters-list. The EDS provides ment to fill a role at the Chapter level More information available at https:// significant resources to Chapters in for a full year. You may want to ease eds.ieee.org/about-eds/governance/ the form of funding for Distinguished a potential volunteer into Chapter standing-committees/regions-chap Lecturers, Mini-colloquia and Chapter activities by asking for help with a ters-committee subsidies. In addition, the best per- small task, for example, for finding a Subcommittee Roster for Regions forming Chapters are recognized an- venue for the next Chapter meeting. and Chapters available at https:// nually with awards. More information This is called ‘microvolunteering’ and eds.ieee.org/about-eds/governance/ on these resources is available on the if you can get ten people to help with standing-committees/subcommittee- EDS website https://eds.ieee.org/. small tasks, at least one of them most for-regions-chapters

April 2020 ❍ IEEE Electron Devices Society Newsletter 15 ANNOUNCEMENT OF NEWLY ELECTED OFFICERS & BOG MEMBERS

The Electron Devices Society (EDS) ence presentations, and over 50 Secretary Officers and Board of Governors invited distinguished lectures, Ravi is MK Radhakrishnan (BoG) members-at-large election well known in the semiconductor in- is an academician was held on December 8, 2019 in San dustry as a technical/business leader. and technical con- Francisco, CA. I am pleased to pres- He is a Fellow of the IEEE, a distin- sultant in the field ent the results of this election and guish lecturer of IEEE EDS and serves of electron device short biographical information of the as an editor of the IEEE Transactions failure analysis incoming team that will lead EDS in on Electron Devices. He has served as and reliability, and the years to come. an IEEE EDS Treasurer for four years, founder director an elected BOG member, and as the of NanoRel LLP Technical consultants OFFICERS Vice President of Technical Activities Singapore from 2004. Previously, he The following volunteers were elect- and conferences. Currently, he is the was a Senior Member of the Technical ed as Officers beginning 1/1/2020: President-Elect of IEEE EDS. Staff at the Institute of Microelectron- ics, Singapore, an Adjunct Professor at President-Elect Treasurer the National University of Singapore, Ravi Todi received Bin Zhao received and a Scientist at the Indian Space Re- his doctoral de- his Ph.D. degree search Organization. Currently he is an gree in electrical from California In- Editor of the IEEE Journal of Electron engineering from stitute of Technol- Devices Society (JEDS). He has served the University of ogy. He has been as Editor of the Journal of Semicon- Central Florida with SEMATECH, ductor Technology and Science and (UCF), Orlando, Rockwell, Conex- Guest Editor to IEEE TDMR. He was Florida. In his ear- ant, Skyworks, the Technical Chair of the IEEE IPFA in ly career as an advisory engineer/sci- Freescale, Fairchild, ON Semiconduc- 1995 and 1997, General Chair of IPFA entist at the semiconductor research tor, and has worked on advanced VLSI 1999 and IEEE IEDST 2009, and Co and development center at IBM mi- technology development and design General Chair of IEEE EDTM 2019. As croelectronics, his work was focused implementation of analog/mixed-sig- an IEEE EDS volunteer he served as on high performance eDRAM integra- nal, power management, and RF IC an IEEE EDS Region 10 SRC Vice Chair, tion on 45-nm silicon-on-insulator products. He has authored and coau- Regional Editor and the Editor in Chief logic platform. For his many contribu- thored over 200 journal publications of the EDS Newsletter, a member of tions to the success of eDRAM pro- and conference presentations, has the IEEE EDS Board of Governors, and gram at IBM, Ravi was awarded IBM’s authored three book chapters, and IEEE EDS Vice President of the Regions prestigious outstanding technical holds over 70 issued US patents. He & Chapters. He is an IEEE Life Senior achievement award. In 2012, Ravi has served as the Founding Co-Chair, member, Fellow of IETE, Member of Joined Qualcomm for 20-nm product Technical Working Group of RF and the EDFAS and ESDA, and serves as development and foundry manage- Analog/Mixed-Signal IC Technologies an IEEE EDS Distinguished Lecturer. ment group, responsible for Qual- for Wireless Communications, Inter- comm’s foundry engagement with national Technology Roadmap for BoG Members-At-Large leading foundries. In 2015, he joined Semiconductors (ITRS); IEEE EDS VP A total of seven members were elect- GLOBALFOUNDRIES, as the director of Conferences; IEEE EDS VP of Pub- ed for a three-year term (2019–2021). of 14-nm product-line management, lications; Chair, Editorial Steer- Two of the seven electees are serv- where he and his team were respon- ing Committee, IEEE Journal of ing a second term, while the other sible for driving the technical and Microelectromechanical Systems five have joined the board for the first business results of the 14-nm FinFET (J-MEMS); and Chair, IEEE Johnson time. The backgrounds of the electees product offerings. Currently he is with Technology Award Committee. He is span a wide range of professional and the Western Digital as a Sr. Technolo- an IEEE Fellow and currently serving technical interests. The following are gist responsible for foundry technol- as the Chair of IEEE Conference Com- the results of the election and brief ogy development for global ASICs. mittee. He is a member of the IEEE biographies of the individuals elected. With over 60 US granted patents, over Technical Activities Board, IEEE Publi- 30 peer-reviewed journal publica- cations Services and Products Board, Constantin Bulucea received his MS tions, over 40 international confer- and IEEE IoT Activities Board. (1962) and PhD (1974) degrees in EE

16 IEEE Electron Devices Society Newsletter ❍ April 2020 from the Poly- the Chief Technol- world-class awards in his field of re- technic Institute ogy Officer, Vice search, and he is a Distinguished Lec- of Bucharest. In President, and co- turer of the Electron Devices Society. 1969, he won a founder of Skor- one-year scholar- pios Technologies Geok Ing Ng re- ship for gradu- developing inno- ceived his Ph.D ate studies at UC vative methods degree from the Berkeley. for heterogeneous University of Between 1970 and 1986, he led the integration of compound semiconduc- Michigan, Ann Ar- R&D activities of Romania’s Research tors with silicon. His technical contri- bor in 1990. Since Institute for Electronic Components, butions include, with Nick Holonyak, joining the Nan- ICCE. In 1978, following the model of Jr., the discovery of III V Oxidation, yang Technologi- IEDM, he founded the Annual Confer- which has become an enabling pro- cal University (NTU) Singapore in the ence for Semiconductors, now an inter- cess technology for the fabrication of School of EEE in 1996, he has held sev- national IEEE event. In the US, Dr. Vertical-Cavity Surface-Emitting La- eral key appointments including the Bulucea worked for Siliconix, National sers (VCSELs) for optical networking, Head of Microelectronics Division and Semiconductor, and TI (1987-2012) de- 3D imaging, and LIDAR applications. Founding Directors of Microsystem veloping the first trench DMOS power John has a strong publication history Technologies Development Centre. He transistors of the industry (Siliconix), and 45 issued patents. He serves as the is currently the Director of the Center and several high-performance analog Secretary of the Steering Committee for Microsystems in TL@NTU and the CMOS processes (National Semicon- for the IEEE Journal of Lightwave Tech- Centre of Micro- and Nano-Electronics ductor). His inventions are protected in nology and is the Chair of the Steering in EEE. He has published more than 69 US patents. Dr. Bulucea has been an Committee for the IEEE Transactions 300 international journal and confer- editor of SSE (1978-2012), IEEE EDL on Semiconductor Manufacturing. He ence papers and delivered plenary (1995-2012), and IEEE J-EDS (2013 -). He is a Fellow of the IEEE and OSA. and invited talks at several interna- is an Honorary Member of the Roma- tional conferences in the areas of III-V nian Academy and an IEEE Life Fellow. Mario Lanza re- low bandgap (InP) and large bandgap In 2018 and 2019 he was on the IEEE/ ceived his PhD (GaN) compound semiconductors. EDS Fellow Evaluation Committee. (with honors) in He has been active in serving IEEE in Electronic Engi- various capacities including Steering Daniel Camacho neering in 2010 at Committee Member, 2020 IEEE Elec- M.Sc. Received the Universitat Au- tron Device Technology and Manufac- his BSEE from the tonoma de Barce- turing (EDTM) Conference, General Pontificia Univer- lona. In 2010–2011 Co-Chair, 2019 IEEE EDTM Conference, sidad Javeriana in he was NSFC postdoc at Peking Uni- Guest Editor of Special Section of IEEE Bogota, Colombia versity, and in 2012–2013 he was a Ma- Transactions on Nanotechnology. in September 2007. rie Curie fellow at Stanford University. He was awarded Currently, he is a Full Professor in Na- Claudio Paoloni the 2008 IEEE EDS Master Student Fel- noelectronics as Soochow University, received the de- lowship for his research work. In 2009 where he leads a group formed by 17- gree cum laude in he received his Master’s degree from 20 graduate students and postdocs. Electronic Engi- Southern Methodist University in Dal- His research focuses on the improve- neering from the las, Texas. He joined the Intel Corpora- ment of electronic devices using 2D University of tion in 2010, where he has been since. materials. Prof. Lanza has published Rome “Sapienza”, His expertise is focused on high-perfor- over 120 research papers (including Italy, in 1984. Since mance analog and mixed-signal circuits, Science, Nature Electronics, IEDM) ed- 2012, he has been Cockcroft Chair with and clock generation and distribution. ited a book for Wiley-VCH, and regis- the Engineering Department, Lancast- tered four patents, one of them er University, U.K. Since 2015, he has John Dallesasse is a Professor of Elec- granted with 1 million USD invest- been the Head of Engineering Depart- trical and Computer Engineering at ments. He is member of the technical ment. He is IEEE Senior member and the University of Illinois at Urbana- committee of several conferences Senior Fellow of the Higher Education Champaign and has over 20 years (IEDM, IRPS, IPFA) and journals (Ad- Academy. He is Chair of the IEEE Elec- of industry experience in technology vanced Electronic Materials, Nature tron Devices Society Vacuum Electron- development and executive manage- Scientific Reports, Nanotechnology). icsTechnical Committee (2017 – present). ment. Prior to joining UIUC he was Prof. Lanza has received several He was a Guest Editor for the Special

April 2020 ❍ IEEE Electron Devices Society Newsletter 17 Issue of the Transactions on Electron Hitoshi Wakabayashi for the Symposium on VLSI Technology Devices on Vacuum Electronics (June (M’00) received the 2013, EDTM 2018, and IWJT 2017/2019. 2014). He organized numerous inter- M.E. and Ph.D. de- I welcome all electees and urge national conferences and workshops, grees in electrical them to get fully engaged in the af- on vacuum electronics, millimetre engineering from fairs of the Electron Devices Society. wave and terahertz communications the Tokyo Insti- EDS is a volunteer-led volunteer- and technology. He is coordinator of tute of Technology, driven organization and we expect two European Commission Horizon Japan, in 1993 and the incoming volunteer leaders will 2020 projects, TWEETHER and ULTRA- 2003, respectively. He was with NEC continue this tradition going forward. WAVE. He is the author of more than Corporation from 1993 to 2006, the Mas- 230 articles in international journals sachusetts Institute of Technology from Samar Saha and conferences in the field of high 2000 to 2001, and Sony Corporation 2019 EDS Nominations frequency electronics, millimetre from 2006 to 2012. He has been with and Elections Chair waves and THz vacuum electronics de- the Tokyo Institute of Technology since Prospicient Devices vices, and wireless communications. 2013. He has served as the General Chairs Milpitas, CA

IN MEMORY OF HARVEY C. NATHANSON BY JOACHIM N. BURGHARTZ

On Friday, November 22, 2019, at age inghouse Research Labs in Churchill. In 83, Harvey C. Nathanson peacefully 1965 he thought of a microscopic de- passed away at home while with his vice used as a tuner for microelectronic loving family. Shortly prior to that date I radios. The invention, known as the happened to finish the article “Marvels resonant-gate transistor, became the in MEMS Evolvement and Develop- first device in the field of micro-elec- ment—Silicon as a Micromechanical tro-mechanical systems (MEMS). This Material has been Driving More-than- technology is now found in consumer Moore“ which recently appeared in the products ranging from iPhones to au- EDS Newsletter of January 2020 as part tomobiles. Harvey Nathanson also pio- of the series “Marvels of Microelec- neered a method of mass production tronic Engineering“. Harvey Nathanson that would later become a mainstay of played the most significant role in that MEMS manufacturing. In 1973, he pat- article in which the key achievements ented the use of tiny mirrors to create and developments as well as the peo- a video display of the type now found ple behind MEMS were highlighted. in digital projectors. In 1988 he be- Harvey Nathanson was the father of which already was highlighted in an came Chief Scientist of Westinghouse the MEMS. His work can be consid- obituary in the Pittsburgh Gezette on Research Labs, from where he retired ered the first step into surface micro- November 23/24, 2019: He grew up in in 2001 but continued to consult for machining, in which the planar wafer Pittsburgh as the son of a pharmacist another decade, completing a 50-year processes were exploited for shallow and remained to stay there for all his career in which he was awarded more three-dimensional micromechanical life. As a boy in the 1950s, he taught than 50 patents in the field of solid- structures above of the bulk silicon wa- himself about electrical circuits and state electronics. In 2000 Nathanson fer. What he started in the 1960’s has be- built hi-fi music systems from mail- was awarded the Millennium Medal by come the foundation of MEMS devices order kits. Instead of stepping into the the Institute of Electrical and Electron- which today can be found in virtually footsteps of his father and take over ics Engineers for “outstanding contri- any commercial and consumer appli- the family pharmacy business he went butions to the Society and to the field cation. Reaching the high age of 83, to Carnegie Tech, now Carnegie Mellon of electron devices“. Harvey Nathanson could enjoy seeing University, where he earned his MS Harvey Nathanson is one of the the blossom of his work. and Ph.D. degrees, both in electrical great pioneers in the broader field of Esther Mishelevich Nathanson, his engineering. He joined Westinghouse electron devices. We consider it an wife, told me about his life and career, Electric in Pittsburgh, working at West- honor to further build on his ideas.

18 IEEE Electron Devices Society Newsletter ❍ April 2020 AWARDS AND CALL FOR NOMINATIONS

MEYYA MEYYAPPAN WINS TECHNOLOGY CHAMPION AWARD FOR FLEXIBLE ELECTRONICS

SEMI-FlexTech Meyya Meyyappan, current EDS on February 26, 2020. FLEXIs have gives the FLEXI President, received the 2020 Tech- been the industry’s premier award Awards each year nology Champion FLEXI Award for for distinguished organizations and to the winners “his technical leadership in printed individuals in the FHE sector since for outstanding and flexible electronics, outreach ac- 2009. The awards are sponsored achievements in tivities and tireless volunteering to by SEMI-Flex Tech, an organization Flexible Hybrid promote the field” at the FLEX 2020 dedicated to the success of the FHE Electronics (FHE). Conference in San Jose, California, sector.

2020 IEEE EDS ROBERT BOSCH MICRO AND NANO ELECTRO MECHANICAL SYSTEMS AWARD WINNER

The 2020 IEEE EDS Robert Bosch Mi- cro and Nano Electro Mechanical Sys- tems Award was presented to Ming C. Wu, University of California, Berkeley, CA, USA, at the 2020 IEEE MEMS Con- ference, Vancouver, Canada, January, 2020. This prestigious award rec- ognizes and honors advances in the invention, design, and/or fabrication of micro- or nano-electromechanical systems and/or devices. Ming C. Wu is the Nortel Distin- guished Professor of Electrical Engi- neering and Computer Sciences at University of California, Berkeley, and Co-Director of Berkeley Sensor and Ming C. Wu Actuator Center (BSAC). He received For pioneering contributions in MEMS optical switches and optoelectronic tweezers his PhD from UC Berkeley in 1988 and joined its faculty in 2004 after work- group extended the switch array size recognized by the 2007 Paul F. Forman ing at AT&T Bell Laboratories and to 240 x 240 by combining MEMS with Engineering Excellence Award (OSA), UCLA. He has published 600 papers silicon photonics. In 2011, Prof. Wu co- the 2016 William Streifer Scientific and holds 30 US patents in Optical founded Berkeley Lights, Inc. to com- Achievement Award (IEEE), and the MEMS, optofluidics, silicon photonics mercialize optoelectronic tweezers 2017 C.E.K. Mees Medal (OSA). and optoelectronics. In 1997, Prof. Wu (OET) for single cell biology applica- co-founded OMM to commercialize tions. Prof. Wu is an IEEE and Optical Kurt Petersen MEMS optical switches. Recently, his Society Fellow. His work has been EDS Bosch Award Chair

April 2020 ❍ IEEE Electron Devices Society Newsletter 19

IEEE ROBERT BOSCH MICRO AND NANO ELECTRO MECHANICAL SYSTEMS AWARD

Robert Bosch (1861-1942) Inventor, Entrepreneur, Founder of Robert Bosch GmbH The Robert Bosch Micro and Nano Electro Mechanical Systems Award was established by the IEEE Electron Devices Society in 2014, to recognize and honor advances in the invention, design, and/or fabrication of micro- or nano-electromechanical systems and/or devices. The contributions to be honored by this award should be innovative and useful for practical applications.

This award is sponsored by the IEEE Electron Devices Society, with financial support from Robert Bosch LLC. It is intended that the award will be presented annually to an individual or to as many as three individuals whose achievements and contributions are judged to meet the selection criteria for the award. The award will be presented at an IEEE conference of the winner's choice. It is not necessary for the recipient(s) to be a member(s) of IEEE.

The recipient will receive a US$10,000 honorarium, travel expenses to attend the award presentation, a bronze medal, and a certificate. In the event that more than one awardee is selected the cash honorarium will be equally divided among the recipients. Each recipient will receive a bronze medal and a certificate.

Please visit the EDS website for more information on this award: http://eds.ieee.org/robert-bosch- micro-and-nano-electro-mechanical-systems-award.html.

Nominations for this award should be made using our online nomination form, and submitted before midnight (EST) on October 2nd.. Letters of recommendation must be sent directly to [email protected] according to the same schedule.

20 IEEE Electron Devices Society Newsletter ❍ April 2020 2019 IEEE EDS DISTINGUISHED SERVICE AWARD

The IEEE Electron Devices Society joining the Faculty of Illinois Institute (EDS) is extremely proud of the servic- of Technology. He is a Professor of ECE es that it provides to its members. Its at University of California, Riverside, members generate the premier new USA. His research covers RF/AMS ICs, developments in the field of electron Integrated Design-for-Reliability, 3D devices and share these results with Heterogeneous Integration, Emerging their peers and the world-at-large by Nano Devices and Circuits, and LED- publishing their papers in EDS jour- based Visible Light Communications. nals and presenting results in its Wang received NSF CAREER Award. meetings. This is a global activity that He published one book and 260+ pa- is effective because of the efforts of pers, and holds 16 US patents. He numerous volunteers. Many of these was editor for IEEE EDL, TED, TCAS volunteers labor in relative obscurity, I&II, and JSSC. Wang was IEEE Dis- with their only reward being the sat- tinguished Lecturer for IEEE Electron isfaction that they receive in being an Devices Society, IEEE Solid-State Cir- important part of a successful orga- cuits Society and IEEE Circuits and Albert Wang, 2019 IEEE EDS Distinguished nization, namely of the IEEE Electron Service Award winner and Fernando Guarin, Systems Society. Wang was Sr. Past Devices Society. One means of thank- 2019 IEEE EDS President President (2018–2019), and was Jr. ing these volunteers is to recognize Past President (2016–2017) and Presi- their contributions through the EDS Electron Devices Meeting (IEDM) in San dent (2014–2015) of IEEE Electron De- Distinguished Service Award. Francisco, CA on December 9, 2019. vices Society. Wang is IEEE Fellow, The recipient of the 2019 EDS Dis- Albert Wang received BSEE from AAAS Fellow and a Fellow of National tinguished Service Award was Albert Tsinghua University, China, and PhD Academy of Inventors. Wang, University of California, River- EE from State University of New York side, CA, USA, and the award presen- at Buffalo, USA. He was with National Samar Saha tation took place at the International Semiconductor Corporation before EDS Awards Chair

2019 IEEE EDS J.J. EBERS AWARD WINNER

The 2019 J.J. Ebers Award, the presti- image sensors, and managed explor- gious Electron Devices Society award atory research on high-k/metal gate, for outstanding technical contribu- strained silicon, alternative channel tions to electron devices, was pre- materials such as Ge, multi-gate FET, sented to Professor H.-S. Philip Wong FinFET, ultra-thin SOI, phase change of Stanford University, Stanford, CA, memory—some of these early works USA, at the International Electron have now become product technolo- Devices Meeting in San Francisco, gies at various companies. At Stan- CA, on December 9, 2019. This award ford, his research aims at translating recognizes Professor Wong “For pio- discoveries in science into practical neering contributions to the scaling technologies. His works have contrib- of silicon devices and technology”. H.-S. Philip Wong, 2019 IEEE EDS J.J. Ebers uted to advancements in nanoscale H.-S. Philip Wong is Professor of Elec- Award Winner and Fernando Guarin, 2019 science and technology, semiconduc- trical Engineering at Stanford University IEEE EDS President tor technology, memory and logic de- since 2004. He holds the Willard R. and vices, and electronic imaging. Inez Kerr Bell Professorship in the School serve as Vice President of Corporate Re- of Engineering at Stanford University. search at TSMC, the largest semiconduc- Joachim N. Burghartz From 1988 to 2004, he was with the IBM tor foundry in the world. 2019 EDS J.J. Ebers Award Chair T.J. Watson Research Center. Since 2018, During his time at IBM, he conduct- Institute for Microelectronics Stuttgart he has been on leave from Stanford to ed research on silicon transistors and Stuttgart, Germany

April 2020 ❍ IEEE Electron Devices Society Newsletter 21

IEEE ELECTRON DEVICES SOCIETY J.J. EBERS AWARD

Nominate: J.J. Ebers Award on-line nomination form:

https://ieeeforms.wufoo.co m/forms/xl0lxns05xzwir/

CALL FOR NOMINATIONS Submission Deadline: July 1, 2020 The IEEE Electron Devices Society (EDS) invites the submission of nominations for the 2020 J.J. Ebers Award. This award is Contact: presented annually by EDS to honor an If you have any questions regarding the individual(s) who has made either a single EDS J.J. Ebers Award, or a series of contributions of recognized please contact Laura scientific, economic, or social significance to Riello of the EDS the broad field of electron devices. The Executive Office at recipient(s) is awarded a plaque and a [email protected] check for $5,000, presented at the

International Electron Devices Meeting Visit: (IEDM) or any one of the EDS’s flagship https://eds.ieee.org/awar conferences (EDTM, VLSI or PVSC). ds/j-j-ebers-award

22 IEEE Electron Devices Society Newsletter ❍ April 2020 2019 IEEE ELECTRON DEVICES SOCIETY EDUCATION AWARD WINNER

of interest of the IEEE Electron De- World Academy of Sciences, US Na- vices Society.” tional Academy of Inventors, Indian Professor Chennupati Jagadish is National Science Academy, Indian a Distinguished Professor and Head National Academy of Engineering, In- of Semiconductor Optoelectron- dian Academy of Science, European ics and Nanotechnology Group in Academy of Sciences, Andhra Pradesh the Research School of Physics and Akademi of Science, IEEE, APS, MRS, Engineering, Australian National OSA, AVS, ECS, SPIE, AAAS, FEMA, University. He has served as Vice- APAM, IoP (UK), IET (UK), IoN (UK), and President and Secretary Physical Sci- the AIP. He received many awards in- ences of the Australian Academy of cluding IEEE Pioneer Award in Nano- Science during 2012–2016. He is cur- technology, IEEE Photonics Society rently serving as President of IEEE Engineering Achievement Award, Professor Chennupati Jagadish Photonics Society, Past President OSA Nick Holonyak Jr. Award, Welk- of Australian Materials Research er Award, IUMRS Somiya Award, The EDS Education Award recog- Society. Prof. Jagadish is an Edi- UNESCO medal for his contributions nizes an IEEE/EDS Member from tor/Associate editor of 5 Journals, 3 to the development of nanoscience an academic, industrial, or gov- book series and serves on editorial and nanotechnologies and Lyle Medal ernment organization with distin- boards of 19 other journals. He has from Australian Academy of Science guished contributions to education published more than 920 research for his contributions to Physics. He within the fields of interest of the papers (640 journal papers), holds 5 has received Australia’s highest civil- IEEE Electron Devices Society. Pro- US patents, co-authored a book, co- ian honor, AC, Companion of the Order fessor Chennupati Jagadish was edited 17 books and edited 12 con- of Australia, as part of 2016 Australia recognized at the IEEE Electron De- ference proceedings and 17 special day honors from the Governor Gener- vices Technology and Manufactur- issues of Journals. He won the 2000 al of Australia for his contributions to ing (EDTM) Conference in Penang, IEEE Millennium Medal and received physics and engineering, in particular Malaysia, March 16, 2020, as the Distinguished Lecturer awards from nanotechnology. 2019 EDS Education Award winner. IEEE NTC, IEEE Photonics Society The award cites Professor Jagadish and IEEE EDS. He is a Fellow of the Durga Misra “For distinguished and sustained Australian Academy of Science, 2019 EDS Education Award Chair contributions globally to education, Australian Academy of Technologi- NJIT training and mentoring in the field cal Sciences and Engineering, The Newark, NJ, USA

April 2020 ❍ IEEE Electron Devices Society Newsletter 23

2020 EDS EDUCATION AWARD CALL FOR NOMINATIONS

The IEEE Electron Devices Society invites the submission of nominations for the EDS Education Award. This award is presented annually by EDS to honor an individual who has made distinguished contributions to education within the field of interest of the Electron Devices Society. The recipient is awarded a plaque and a check for $2,500, presented at the IEEE International Electron Devices Meeting (IEDM). The nominee must be an EDS member engaged in education in the field of electron devices, holding a present or past affiliation with an academic, industrial, or government organization. Factors for consideration include achievements and recognition in educating and mentoring students in academia or professionals in the industrial or governmental sectors. Specific accomplishments include effectiveness in the development of innovative education, continuing education programs, authorship of textbooks, presentation of short-courses at EDS sponsored conferences, participation in the EDS Distinguished Lecturer program, and teaching or mentoring awards. Since this award is solely given for contributions to education, the nomination should exclude emphasis on technical contributions to engineering and physics of electron devices.

The nomination form can be found on the EDS website: https://eds.ieee.org/awards/education-award

The deadline for the submission of nominations for the 2020 award is September 1, 2020.

24 IEEE Electron Devices Society Newsletter ❍ April 2020 2019 IEEE ELECTRON DEVICES SOCIETY EARLY CAREER AWARD WINNERS

The EDS Early Career Award rec- ognizes young IEEE/EDS members who have made outstanding contri- butions in an EDS field of interest during the early years of their pro- fessional career after graduation. The 2019 EDS Early Career Award was presented to the following young members: Luisa Petti of the Free Uni- versity of Bolzano, Bolzano, Italy; Pra- gya Kushwaha of the University of California Berkeley, Berkeley, CA, USA and Qianqian Huang of Peking University, Beijing, China at the EDS awards dinner held in conjunction with the International Electron Devic- es Meeting in San Francisco, CA, on December 8, 2019.

Luisa Petti, Free University of Bolzano, Bolzano, Italy Luisa Petti re- ceived her B.Sc. and M.Sc. in Elec- tronic Engineering from Politecnico 2016 and then FlexEnable Ltd in De- Advanced Materials, Advanced Func- di Milano, Milan, cember 2017 in Cambridge, UK as a tional Materials and Advanced Elec- Italy in July 2009 research scientist. Since July 2018 tronic Materials. The invited Applied and December Luisa is an Assistant Professor at the Physics Review “Metal oxide semicon- 2011, respectively. In February 2012 Faculty of Science and Technology at ductor thin-film transistors for flexible she joined the Wearable Computing the Free University of Bolzano, Bol- electronics” she first-authored in 2016 Group at ETH Zurich, Zurich, Swit- zano, Italy. Luisa’s research interest has been cited more than 200 times. zerland as a Ph.D. student to work on includes the design, fabrication and Other contributions include 30 pro- flexible oxide semiconductor thin-film characterization of a wide range of ceedings at well-known international transistors and circuits. In 2014, Lu- flexible electronic devices, ranging conferences in the field. In particular, isa first spent six months at the Ad- from sensors, energy harvesters and Luisa has published 3 proceedings at vanced Materials & Devices Group at storage devices, to thin-film transis- the IEEE International Electron Device Imperial College London, London, UK tors and circuits based on both print- Meeting (IEDM), 2 of which she has and then four months at the Emerg- ing and micro-fabrication technique. presented as first author. Her presen- ing Display Technologies Group at Since 2012, Luisa has published 40 tation record includes 5 contributed Apple Incorporated, Cupertino, US. articles in prestigious peer-reviewed presentations and 8 invited talks. Ac- In June 2016 Luisa obtained her Ph.D. journals in the field including IEEE cording to Scopus (Google Scholar), from ETH Zurich with a thesis entitled Transaction on Electron Devices, IEEE her h-index is 20 (21) with a number “Metal oxide semiconductor thin- Electron Device Letters, IEEE Journal of citations of 1206 (1531). film transistors for flexible electron- of the Electron Devices Society, IEEE Luisa’s early career also included ics”, for which she was awarded the Sensors, IEEE Sensor Journal, Nature two maternity breaks: one for her ETH medal for outstanding doctoral Communications, ACS Nano, ACS daughter Sofia (from July 2015 to theses. After a short post-doc at ETH Applied Materials and Interfaces, Ap- January 2016) and the other one for Zurich, she joined first Cambridge plied Physics Review, Applied Physics her daughter Matilde (from October Display Technology Ltd in October Letters, Journal of Applied Physics, 2018 to March 2019).

April 2020 ❍ IEEE Electron Devices Society Newsletter 25 Pragya Kushwaha, University Hisilicon’s Kirin 970 SoC has used Dr. ing with the state-of-the-art electrical of California Berkeley, Berkeley, Kushwaha’s research on RF-FinFET behavior, and the novel tunneling CA, USA model in their process design kit. technology of her work has been With the ad- Healthcare devices like blood pres- transferred to Semiconductor Manu- vancement in sure measurement, heart rate mea- facturing International Corporation technology, semi- surement ECG machines etc. demand (SMIC) and is being developed on conductor industry high precision, low frequency oper- standard foundry platform. She has faces new chal- ated sensors. Dr. Kushwaha’s re- also identified the physical mecha- lenges every day search on low frequency noise model nism of negative capacitance FET with device per- for advanced node FinFETs has been and presented its intrinsic conflict formance and de- used by Qualcomm for their low between switching and hysteresis vice-circuit co-optimization. SPICE power and low frequency circuit ap- optimization. More recently, she is modeling is the medium of informa- plications. The models developed by working on the energy-efficient hard- tion exchange from foundry to circuit Dr. Kushwaha have been implement- ware implementation of artificial designer. Dr. Kushwaha is currently ed in the industry standard models neurons for brain-inspired neuro- involved in the development of in- after comprehensive testing by sev- morphic applications. dustry standard compact models or eral companies under the aegis of She has authored/co-authored SPICE (Simulation Program with Inte- Compact Model Coalition. The pro- more than 70 technical papers in in- grated Circuit Emphasis) models for posed models have been successful- ternational journals and conferences, emerging devices to be used in cir- ly tested for various geometries including 10 IEDM and VLSI papers (6 cuit design. These models are imple- including scalability, thus paving the papers as the first author, 3 papers mented in almost all the commercial way for future applications like next- as the corresponding author, 7 times simulators and used by IC chip de- generation communication network as the presenter), and 11 EDL and signers as well as semiconductor 5G and wearable gadgets. This is cer- TED papers, among others. She has foundries, like Apple, TSMC, Sam- tainly a huge accomplishment given applied more than 70 domestic and sung, Intel, Qualcomm, Broadcom, that the modern devices have very overseas patents, including 12 autho- GlobalFoundries etc. She is leading complicated architecture and needs a rized US patents and 44 authorized the efforts to develop Nanosheet deep understanding of the physics, Chinese patents. Her honors include Gate-All-Around FET, FinFET, FDSOI semi conductor device, as well as the Forbes 30 under 30 in Science in and PDSOI transistor models for digi- compact modeling technique. China (2019), National Science Foun- tal, analog and RF circuit designs dation of China for Excellent Young where the core is derived from Pois- Qianqian Huang, Peking Univer- Scientists (2018), Young Talents of the son’s solution and short channel ef- sity, Beijing, China L’Oréal-UNESCO For Women in Sci- fects e.g. channel length modulation, Qianqian Huang ence Award in China (2017), Excellent velocity saturation, quantum me- is an assistant pro- Doctor Degree Dissertation Awards chanical effect etc. are added on top fessor at Peking from the Chinese Institute of Electron- of the core. At high frequencies, the University (PKU) ics (CIE) (2016) and PKU (2015), Bei- semiconductor device characteristics in Beijng, China jing Outstanding Graduates (2015), have frequency dependence via sev- and selected as National Scholarship for graduate eral inherent phenomena, like self- the Boya Young students from the Ministry of Edu- heating effect, substrate effect, and Fellow of PKU. cation of China (2013, 2014), and Ex- gate resistance effect. Hence, DC She received her PhD. from the In- cellent Doctoral Graduate Academic compact model is not sufficient to stitute of Microelectronics, PKU in Award from the Ministry of Educa- predict correct device behavior ob- 2015, and B.Sc. from the School of tion of China (2012). She is currently served from measured data over a Electronic Engineering and Computer a member of the Board of Governors wide frequency range. Dr. Kushwaha Science, PKU in 2010. Her research of the Women Scientist Association of addressed this issue and developed interests is in the area of emerging CIE (2019-2021). She has served as the the physical understanding behind ultralow-power device technology for reviewer for a number of IEEE jour- these frequency dependent phenom- logic applications and neuromorphic nals, including EDL, TED, J-EDS and ena. Dr. Kushwaha’s research work in computing, focusing on tunnel FET, TNANO, etc. the field of sub-10nm semiconductor negative capacitance FET and ferro- device modeling has influenced our electric FET, and FET variability, reli- Meyya Meyyappan daily life as the most advanced smart- ability and noise. She has proposed 2019 EDS Early Career Award Chair phones today in market have proces- and demonstrated the all-Si tunnel NASA Ames Research Center sor of 7 nm node FinFET process. FETs through mechanism engineer- Moffett Field, CA

26 IEEE Electron Devices Society Newsletter ❍ April 2020 CALL FOR NOMINATIONS

2020 IEEE EDS Early Career Award

Description: Awarded annually to an individual to promote, recognize and support Early Career Technical Development within the Electron Devices Society’s field of interest

Prize: An award of US$1,000, a plaque; and if needed, travel expenses not to exceed US$1,500 for a recipient residing in the US and not to exceed US$3,000 for a recipient residing outside the US to attend the award presentation.

Eligibility: Candidate must be an IEEE EDS member and must have received his/her first professional degree within the 10th year defined by the August 15 nomination deadline and has made contributions in an EDS field of interest area. Nominator must be an IEEE EDS member. Previous award winners are ineligible.

Selection/Basis for Judging: The nominator will be required to submit a nomination package comprised of the following:

- The nomination form that is found on the EDS web site, containing such technical information as the nominee’s contributions, accomplishments and impact on the profession or economy and a biographical description. - A minimum of two and a maximum of three letters of recommendation from individuals familiar with the candidate’s technical contributions and other credentials, with emphasis on the specific contributions and their impacts.

The basis for judging includes such factors as: the demonstration of field leadership in a specific area; specific technical contribution(s); impact on the profession or economy; originality; breadth; inventive value; publications; honors; and other appropriate achievements.

Schedule: Nominations are due to the EDS Executive Office on August 15th each year. The candidate will be selected by the end of September, with presentation to be made in December.

Presentation: At the EDS Awards Dinner that is held in conjunction with the International Electron Devices Meeting (IEDM) in December. The recipient will also be recognized at the December EDS BoG Meeting.

Nomination Form: Complete the nomination form by August 15, 2020. All endorsement letters should be sent to [email protected] by the deadline.

For more information contact: [email protected] or visit: http://eds.ieee.org/early-career-award.html

April 2020 ❍ IEEE Electron Devices Society Newsletter 27 CONGRATULATIONS TO THE 28 NEWLY ELECTED IEEE ELECTRON DEVICES SOCIETY FELLOWS

EFFECTIVE JANUARY 1, 2020

Barbara De Salvo Yiannos Manoli for contributions to device physics for contributions to the design of in- of nonvolatile embedded and stand- tegrated analog-to-digital interface alone memories circuits and energy harvesting systems Mool Gupta for contibutions to laser material in- Durgamadhab Misra teractions for contributions to the reliability of CMOS gate stacks with high-k Cor L. Claeys Muhammad Hussain dielectrics 2019 EDS Fellows Chair for contributions to flexible and stretchable electronic circuits Bich-Yen Nguyen Geoffrey Burr for contributions to silicon on insula- for contributions to neuromorphic com- Benjamin Iniguez tor technology puting using non-volatile memories for contributions to physics-based compact models of semiconductor Shouleh Nikzad Ting-Chang Chang devices for contributions to ultraviolet detec- for contributions to non-volatile tors for space applications memory and thin-film transistor Akira Inoue Technologies for development of inverse class-F Byung-Gook Park power amplifiers for mobile phones for contributions to charge trap flash Jiann-Fuh Chen memory and multiple patterning for contributions to power electron- M Saiful Islam technology ics in sustainable energy and high for development of sensors and ul- power systems tra-fast photodetectors Jae-Sung Rieh for contributions to silicon-germa- Pr Chidambaram Martin Kuball nium integrated circuits for wireless for contributions to strain engineer- for contributions to Raman thermog- communications ing in and to designtech- raphy in semiconductor devices nology co-optimization Steven Ringel Gong-Ru Lin for contributions to compound semi- Chion Chui for contributions to ultrafast fiber la- conductor phototovoltaics for contributions to high-mobility sers and highspeed laser diodes for germanium metal-oxidesemiconductor optical communications Ravi Todi devices for contributions to innovative de- Wallace Lin sign and commercialization of high Kukjin Chun for contributions to understanding performance eDRAM for leadership in microelectrome- transistor charging mechanisms chanical systems technology Laurence Tianruo Yang development Po-Tsun Liu for contributions to modeling and for contributions to thin film transis- design for cyber-physical-social Thomas Crowe tor technologies systems for leadership in the development of terahertz devices and Instrumentation Kartikeyan Machavaram Cor Claeys for contributions to high-power 2019 EDS Fellows Chair Ravinder Dahiya millimeter wave and terahertz KU Leuven, Belgium for contributions to tactile sensing sources

28 IEEE Electron Devices Society Newsletter ❍ April 2020 EDS MEMBERS RECENTLY ELECTED TO IEEE SENIOR MEMBER GRADE

The IEEE Electron Devices Society would like to recognize the excellence of its valued members, especially when they have performed for a long time at a particularly high-quality level. Congratulations to the following EDS members who have become IEEE Senior Members!

Ashok Bansiwal Kung-Yen Lee Marco Rolandi Rongsheng Chen Min Hung Lee Murilo Romero Chris Deline Gourab Majumdar Shin-Ichi Takagi Joseph Friedman Maqsood Ali Mughal Cedric Virmontois Olusoji Ilori Joyeeta Nag Larg Weiland Ziaul Karim Maria Nikolic Shu Yang Andras Kis Mirko Poljak Ding Zhao Vadym Korotieiev Haroon Rashid Chengkuo Lee Biswajit Ray

Do you know an outstanding IEEE member who is not yet an IEEE Senior Member? Do you feel that you are qualified for such recognition? Are you interested in becoming a Senior Member or nominating a fellow IEEE Member? Visit the IEEE website for an application and for qualification requirements: https://www.ieee.org/membership/senior/

HETEROGENEOUS INTEGRATION ROADMAP (HIR) EVENT RESOUNDING SUCCESS

BY WILLIAM CHEN

The 3rd Annual Heteroge- Kehlet from Intel. This was neous Integration Roadmap followed by TWG collabora- (HIR) meeting, Symposium tion sessions in preparation and Workshop, held on Feb- for the HIR 2020 edition. The ruary 20–21, 2020 at SEMI total attendance of more than world headquarters, Milpitas, 180, included representatives California, was a resounding from all 22 TWG teams as well success. The HIR is collab- as participation from a broad oratively sponsored by three cross-section from the elec- IEEE Societies (EDS, EPS, Pho- tronics industry, academia, tonics) together with SEMI Attendees of the HIR Workshop at SEMI government and research & ASME EPPD. The two-day institutes, demonstrating the event was held to celebrate the re- Computing Lab spoke on “Virtuous increasingly high interest and crucial lease of the HIR 2019 edition released Cycle of AI,” and Dr. Hong Liu from relevancy in the Heterogeneous Inte- on October 10, 2019 and to kick-off Google Infrastructure spoke on “The gration Roadmap. This two-day event the preparation of the HIR 2020 edi- Role of Optics on Computing.” HIR was sponsored by Google, Cisco, In- tion. The HIR Symposium on February Global Advisory Council members, Ajit tel, Promex, ASE Group, Samsung, Si- 20th featured presentations from all 22 Manocha gave the opening remarks litronics, together with SEMI and IEEE Technical Working Groups (TWG), in- in the morning, and Nicky Lu did the EPS, and co-organized by EPS Santa cluding a talk presented by EDS Presi- wrap-up in the afternoon. The TWG Clara Valley Chapter and SEMI. dent Meyya Meyyapan on “Emerging collaboration workshop agenda on Download the Roadmap here: https:// Research Devices.” They were comple- February 21st started with a “Chiplet eps.ieee.org/technology/heterogeneous mented by two plenary speakers, Dr. on the Rise Forum” with two speakers: -integration-roadmap/2019-edition Predeep Dubey from Intel Parallel Bapi Vinnakota from ODSA, and David .html

April 2020 ❍ IEEE Electron Devices Society Newsletter 29 Y OUNG P ROFESSIONALS

YOUNG PROFESSIONALS SPAIN PRESENTS ITS “IEEE INSPIRING LEADERS” EVENT AT IEEE DAY 2019

BY ARTURO MEDINA

During the weekend of October 11–13, tion, pushing it to achieve its goals and which sought to approach a given as- 2019, the city of Valencia hosted the carry out its mission. pect of the work of our participants: celebration of the traditional IEEE Day That is why we created the IEEE • Inspiring Leadership. 2019 edition. However, this year this Inspiring Leaders event. For this we • Your relationship with IEEE. celebration had an even more special had the valuable collaboration of 5 • IEEE as a volunteering activity. character, given that it was held in IEEE leaders: • The future of technology. conjunction with the annual meeting Distinguished leaders from the R8 In the first section we met different of Region 8, that is, Europe, Africa and chapters participated in the IEEE In- professional profiles of the collabora- the Middle East. Therefore, we had the spiring Leaders event. tors, being able to witness the enor- opportunity to immerse ourselves in Through the discussions we tried mous variety of roles and tasks that the international spirit of IEEE, sharing to get answers to the questions what?, can be performed in all engineering venue and experiences with members how?, and above all, why? standing fields. Despite this diversity, every- from South Africa to Sweden. behind the immeasurable value of one agreed on the main skills that Owing to this, a special atmo- the Leaders for IEEE. an engineer must have, beyond their sphere was continuously perceived There were four sections in which technical background, highlighting during the meeting, because not ev- the questions were divided, each of above all the capacity for collaboration ery day one has the opportunity to at- tend in person the internal, complex but enormously interesting operation of a meeting of such a high level. From Young Professionals Spain we wanted to capture the unique spirit of the occasion, looking for an activity that really did justice to the event. For this purpose, we wanted to emphasize the energy of the key members of IEEE, which through their continuous efforts make possible the work of this insti- tution; they are the IEEE leaders, and they have the power to inspire both fu- ture generations and current members to continue their work in the organiza-

30 IEEE Electron Devices Society Newsletter ❍ April 2020 and communication with people from different disciplines. In the second section we delved into the role that different members play within IEEE, and how they have reached this point. Most of them start- ed as students, which highlights a fact that must always be kept in mind: the present, past and, above all, IEEE’s fu- ture begins with the student members. It is essential to take care of them and keep them active and motivated. Also in this section, the idea of From right to left, the round table members were: Mona Ghassemian, Ana Cigarán, Maike Luiken, how IEEE can benefit its members on Péter Nágy and George Michael, accompanied by the moderator, Arturo Medina a professional and personal level was reiterated. Of course, in all the cases Finally, we wanted to ask more Unfortunately, time was running out IEEE has had an undeniable impact open questions in the last section, and we could not continue to enjoy the on the lives of our IEEE leaders, as seeking to know what they expect ideas of our speakers, which we could this acronym is already an indelible from the future. Key technologies have been listening to and discussing element of their career. such as renewable energy and its dis- for hours, given their great experi- Moving onto the third section, in tribution, or more daring ones such as ence and, of course, their charismatic which unfortunately we had to start teleportation were discussed, as well personality. It was a pleasure to have reducing the number of questions, as as the great challenges of humanity, members so distinguished and yet so time was pressing, we investigated how such as the elimination of plastics in close. They showed us once again how our participants organize their lives to our oceans. IEEE is a community that not only has achieve success in a professional career Finally, we wanted to ask the par- members of a very high technical level, and at IEEE. Some ideas that were fre- ticipants what motivates them to but also has an open and charming quently mentioned were the need to move forward. In this sense, never people, always willing to lend a hand have enough rest time dedicated to stop enjoying what we do was an to anyone who needs help or advice. oneself, which requires having a clear idea that arose, and to which we all We hope that this energy has organization and keeping in mind at all agreed, as well as the self-imposed served to inspire us all to continue times what tasks you have to do in the need to devote time to our personal our work at IEEE, and not let that spir- short and medium term. development beyond our work. it of effort and solidarity ever die.

IEEE YP GERMANY SOCIAL MEETING IN STUTTGART

BY MIKE SCHWARZ

The IEEE YP Germany AG organized a social meeting in the Stuttgart metropolitan area, which was open for IEEE and non-IEEE members to attend. The meeting took place at the Burger House in the Stuttgart city center. Some nice conversations regarding past and future activities took place. It was a pleasant event for the new ExCom members of the IEEE YP Germany AG.

~Mike Schwarz, Editor

Sevda Adapour and Alan Blumenstein during the social meeting

April 2020 ❍ IEEE Electron Devices Society Newsletter 31 Engineer Your Future

Over 700 online courses from IEEE designed to advance your career

On-Demand Professional Cost Effective and Development Convenient

Online, self-paced courses taught by the world’s Find the training you need, when you need it, leading experts from IEEE all in one place

Stay up-to-date on core and emerging technologies Avoid the cost of travel with cost-effective and standards online training options

Easily discover content from across IEEE on one site Learn online, any time

Many courses offer CEUs and PDHs that enable you Browse by topic, course provider, or content to maintain your professional license and type, or search for a specific topic or title advance in your career IIEEE Member discounts available

Start learning today! Visit: iln.ieee.org

32 IEEE Electron Devices Society Newsletter ❍ April 2020 C HAPTER N EWS

MQ, DL AND CONFERENCE REPORTS

COVID-19, HOW A VIRUS AFFECTS THE SCIENCE COMMUNITY

BY MIKE SCHWARZ AND FRANCIS BALESTRA

Since mid of February, following ap- event. Due to very recent regulations time. Let’s say for instance in Europe proaching signs of COVID-19 pan- no events gathering external attend- we think that in May the situation demia, the organizers of the Joint ees are allowed in the next few weeks. should be much better, therefore the Technical Meeting consisting of the These rules are purely precautionary conferences could be planned after MOS-AK Workshop, the Symposium measures, which apply until April 30 summer vacation. on Schottky Barrier Devices and the and should help to minimize the infec- We also discussed having the confer- IEEE DL MQ “Non-Conventional Tech- tion risk. Several months of organiza- ence virtually. But we decided against nologies and Devices”, have been tion have been dissolved in the fog. such a solution, because what makes discussing how to deal with the CO- We contacted the MQ lecturers conferences so attractive? These are net- VID-19 situation. First feedback of and arranged a new date in Septem- working, meeting friends, creating new attendee’s in our mail inbox was stat- ber or October. These are the good collaborations, etc. They are missing ing a cancellation of participation, be- news, the game starts again. How- in virtual events. Was it the right deci- cause the risk was too high. We are ever, no one can predict the situation sion? We do not know, but we will see observing the news regarding larger in half a year. We wish to hope for the in autumn. conferences, e.g. DATE, EuroSOI/ best. We know how everyone feels in We know that the decision to can- ULIS, etc. DATE went virtual, EuroSOI/ such situations. Looking at the pres- cel and/or postpone the event was the ULIS was finally postponed to the be- ent situation in China, where there are right solution at the moment we had ginning of September. now only very few infections every to decide. Finally, we hope you all stay In this situation we have reached day, a similar situation should happen healthy and see you during the up- the decision that we need to cancel the elsewhere in the world, with a shift of coming events.

“TRENDS AND CHALLENGES IN NANOELECTRONICS FOR THE NEXT DECADE” BY ELENA GNANI

BY FERNANDA IRRERA AND MIKE SCHWARZ

On December 2, 2019 the IEEE Elec- for the next decade” and treated with life. The research related to nanoelec- tron Devices Society Distinguished nanoelectronics devices employed as tronics can be grouped in three main Lecturer, Professor Elena Gnani of a driving force for social applications directions, i.e., More Moore, Beyond the University of Bologna gave a and for a green sustainable world. Key CMOS and More than Moore. Further- Lecture at the Department of Elec- fields such as security, energy, health- more, general trends and challenges tronics Engineering of the Sapienza care, transport, communication and in- have been addressed in the Lecture. University of Rome (Italy). fotainment are gaining more and more The lecture started at 12:00 and The Lecture was entitled “Trends market so that microelectronics is be- lasted one hour. Approximately 60 and challenges in Nanoelectronics coming an inherent part of everyday persons attended the lecture, most

April 2020 ❍ IEEE Electron Devices Society Newsletter 33 DL Elena Gnani during the lecture “Trends and challenges in Nanoelectronics for the next decade”

of which were Master class students made to the DL regarding specific be- The event ended approximately and PhD Italian and foreign students. yond CMOS devices and the perspec- at 13:30. After the lecture, some questions were tive of arriving on the market. ~Mike Schwarz, Editor

“SILICON CARBIDE DEVICE TECHNOLOGY FOR POWER DEVICES AND HIGH TEMPERATURE APPLICATIONS” BY MIKAEL OSTLING

BY FERNANDA IRRERA AND MIKE SCHWARZ

On November 8, er Devices and High Temperature most of which were Master class 2019 the IEEE EDS Applications”. The lecture discussed students and PhD students. After Distinguished the properties of SiC as a semicon- the lecture, a lot of questions were Lecturer Professor ductor, and the current status of made to the DL regarding the phys- Mikael Ostling of the technology for power devices/ ics, the applications and the market the Royal Insti- drivers and high temperature op- of SiC and also some comments tute of Technol- eration. Insights by examples of ef- were made about the competitive Mikael Ostling, ogy in Stockholm ficient SiC power devices capable role of SiC respect to GaN and Si it- EDS Distinguished gave a lecture at of handling up to 20 kV breakdown self. The event ended approximate- Lecturer the Department of voltage and integrated SiC devices ly at 5 pm. Electronics Engi- capable of handling up to 500 °C In the next days, students were in- neering of the Sapienza University were provided. forming the professors that they had of Rome (Italy). The lecture started at 3:15 pm appreciated the lecture. The lecture was entitled “Silicon and lasted one hour. Approximate- Carbide Device Technology for Pow- ly 40 people attended the lecture, ~Mike Schwarz, Editor

34 IEEE Electron Devices Society Newsletter ❍ April 2020 PROF. VICTOR VELIADIS DISTINGUISHED LECTURE

BY FRANCIS BALESTRA

On October 16, 2019, the IEEE Distin- of processing, and proven reliability. electronics, grid applications, vari- guished Lecture “SiC Power Devices Si power devices continue to make able frequency drives for efficient and Applications” by Prof. Victor Ve- progress but they are approaching high power electric motors at re- liadis, IEEE Fellow, Executive Director their operational limits primarily due duced overall system cost, and novel and CTO, PowerAmerica, Professor to their relatively low bandgap and data center topologies with reduced of Electrical and Computer Engineer- critical electric field that result in high cooling loads and higher efficiencies. ing, North Carolina State University, conduction and switching losses, and Foundry considerations and design was held at IMEP-LAHC, Grenoble poor high temperature performance. of SiC MOSFETs, currently being in- INP-Minatec. Within the lecture the The favorable material properties of serted in the majority of SiC based attendees learned the importance Silicon Carbide (SiC) devices allow power electronic systems, has also of power electronics in an increas- for highly efficient power electronic been discussed. Cost reduction strat- ingly electrified technology driven systems with reduced form factor egies has been outlined elucidating world. Si power devices have domi- and reduced cooling requirements. the path to the projected $1.5B SiC nated power electronics due to their Emphasis has been placed on high im- device market by 2023. low cost volume production, excel- pact application opportunities, in- lent starting material quality, ease cluding aerospace, automotive power ~Mike Schwarz, Editor

IEEE EDS INVITED LECTURE—ED TSINGHUA UNIVERSITY STUDENT BRANCH CHAPTER

BY YANCONG QIAO

The ED Tsinghua University Student Zheng of Carnegie Mellon Univer- and chemical sensing” Dr. Zheng dis- Branch Chapter held on November sity. In the talk entitled “Micro/nano cussed first two latest endeavors of 19th an invited talk by Dr. Siyang engineering for medical diagnostics his group to isolate cell-released extra- cellular vesicles (EVs) and viruses for cancer diagnosis and virus discovery, respectively. In one approach they designed a nanomaterial, lipid nano- probe (LNP), and an LNP-integrated microdevice to isolate EVs from blood plasma of cancer patients with high efficiency and speed. DNA mutations could be detected in the isolated EVs. Alternatively, they invented carbon nanotube (CNT)-integrated microflu- idic devices for tunable size-based virus and EV isolation, followed by on- chip sample preparation. By applying this technology for environmental and clinical samples, they were able to suc- cessfully discover emerging viruses. Then, toward highly selective chemi- cal sensing, Dr. Zheng’s group has re- Dr. Siyang Zheng’s ivited talk on November 19th, for ED Tsinghua University cently developed a high temperature Student Branch Chapter MEMS flow control device to enable

April 2020 ❍ IEEE Electron Devices Society Newsletter 35 chopper-modulated gas chromatog- recently by Prof. Chung’s group, IEEE EDS Invited Lecture—EDS raphy electroantennography (GC- especially on HKMG structures, UCAS Shanghai Student EAG), an interesting new approach can be used to develop not only Branch Chapter to detect volatile chemicals using OTP but also PUF. Then he demon- —by Binbin Pei insect antenna. The chopping opera- strated a novel concept of 2-bit-per- tion significantly boosted the signal- cell (2B/C) to realize a high-density In order to provide a platform to to-noise ratio in an intrinsically noisy OTP PUF from a new scheme of the learn from each other, and improve environment. Further development of dielectric breakdown. Finally, he our presentation skills, an Academ- this technology can provide a new ap- addressed possible applications ic Salon was held every Tuesday, proach for chemical sensing with ultra- to system security. About 30 at- from September 9, 2019 to Janu- high sensitivity and specificity. tendees and several professors at- ary 14, 2020, hosted by EDS UCAS tended this talk. 30 researchers and Shanghai Student Branch Chap- IEEE EDS Distinguished several professors attended this ter. We were inviting doctoral and Lecture—ED Beijing Chapter Distinguished Lecture. graduate students from the State —by Kangwei Zhang

The ED Beijing Chapter held a DL talk on October 21 by Prof. Steve S. Chung, the Chair Professor of National Chiao Tung University. He gave a talk entitled “The Concept of Oxide-Breakdown Based PUF (Physical Unclonable Function) for Security in AI Era”. First, the pre- senter discussed a concept of ox- ide-breakdown and its role for the understanding of how to generate an OTP (One Time Programming) memory for storage and/or security applications. Next, he introduced the concept to generate PUF. A breakdown mechanism discovered EDS UCAS Shanghai Student Branch Chapter

Prof. Steve S. Chung DL on October 21st for ED Beijing Chapter; Speaker (1st row, 4th from right) with the audience

36 IEEE Electron Devices Society Newsletter ❍ April 2020 Key Laboratory of sensor technol- Arkansas, and Prof. John T.W. Yeow, Consequently, at the 2nd session, ogy to share their research experi- a Director of Advanced Micro/ Prof. J. T. W. Yeow gave a lecture “High- ence and academic achievements. Nanodevices Laboratory at the Uni- ly Miniaturized Biomedical Imaging In addition, this Academic Salon versity of Waterloo. Devices”. This lecture was focused on was inviting experts from MEMS At the 1st session, Prof. J.-W. Kim micromachining technology and nano- academia and industry to make gave a talk on “Programmable Mo- materials in the field of biomedical special invited reports. On Decem- lecular/Nanoscale Building Blocks instrumentation, and on current de- ber 16, 2019, Mr. Wang Yi, CEO of and Development Strategies for Mul- velopment of miniaturized x-ray CT MEMS Consulting Company, was tifunctional Hybrid Soft Nanomate- machines, and ultrasound imaging invited to make a report on MEMS rials in Bio/Nano Medicine.” In this devices in the Advanced Micro/Nanode- market and industrialization. lecture, Prof. J.-W. Kim presented vices Laboratory at the University of the progress in and challenges to Waterloo. The speaker emphasized that IEEE EDS Invited Lecture—ED the controlled assembly of nanopar- the small size and low mass provided by Yeongnam (Taegu) Chapter ticles (NP) into structures with spe- micro/nanodevices make medical instru- —by Chang-Ki Baek cific shape and function. He also ments portable, power-efficient, and, in discussed the “programmable and many cases, more effective. On November 20, 2019, the IEEE customizable” integrations of highly This event was organized by the Region 10 seminar was held at Po- functional bio-hybrid systems in de- ED Yeongnam (Taegu) Chapter. A tech- hang University of Science & Tech- sired patterns and geometries, and nical support for this seminar was nology (POSTECH) with invited drive innovations in the novel hybrid provided by Pohang University of Distinguished Lecturers Prof. Jin- fused technologies, particularly for in Science & Technology (POSTECH). Woo Kim, a Director of Bio/Nano vivo, real-time molecular/nano imag- Technology Group at University of ing and sensing in medicine. ~Ming Liu, Editor

Prof. J.-W. Kim and Prof. J. T. W. Yeow’s invited talks on November 20th for ED Yeongnam (Taegu) Chapter

April 2020 ❍ IEEE Electron Devices Society Newsletter 37 R EGIONAL N EWS

USA, CANADA sign and Related Electron Device Is- EUROPE, MIDDLE sues” and “Evolution and Applications & LATIN AMERICA of Micro/Nanoelectronics.” The lectures EAST & AFRICA (REGIONS were attended by over 60 people each. (REGION 8) This year a request for partial fund- 1–6, 7 & 9) ing of the EMicro-NE school by EDS was submitted and approved for the Experts gathered in Aachen to ED/SSC Recife Chapter, first time. The event had free registra- discuss the challenges of wafer- Natal, Brazil tion for students and received some scale integration of graphene —by Vincent Bourguet support from the organizing universi- and 2D materials ties, such as the venue, lodging and —by Frederica Haupt EMicro-NE (Escola de Microeletronica transport of students from other uni- do Nordeste) is an event held annually versities in the region. in the Northeast of Brazil, as an intro- 250 students attended the school. duction to Microelectronics for under- They were all very enthusiastic about the graduate students, aiming to contribute contents and learning during the event. to education and motivate students for Good results are seen along many years the field of electron devices and inte- of the EMicro-NE school,, because many grated circuits. The 14th edition was participants followed afterwards with held this year on November 15 and 16, graduate studies in the microelectron- in the city of Natal, capital of the state ics area and some of them became aca- of Rio Grande do Norte. The event was demics at the local universities. composed of a series of twelve mini- An additional, though important courses and two invited talks: https:// outcome of the event is a promo- emicrone.ect.ufrn.br/index.php tion of EDS, aiming to disseminate a The invited talks were delivered by knowledge about the Society goals two EDS Distinguished Lecturers: Ja- and activities and to increase the EDS cobus Swart and João Antonio Mar- member community in the region. tino. The titles of these two talks were In the past ten years, graphene and respectively: “Innovation by ASIC de- ~ Edmundo Guiterrez, Editor other two-dimensional (2D) materi- als have allowed prototyping devices with exceptional performances and a potentially huge impact in electron- ics, photonics and sensor technology. Success in real-world applications requires, however, not only outstand- ing performances at the single-device level, but also mass-production pro- cesses. The next big challenge in the field is therefore the development of large-scale fabrication processes ideally compatible with conventional silicon technology. This challenge has been the topic of a workshop that took place in Aachen on November 12–13, 2019. The workshop has been organized DL’s and organizing committee, from left to right: Wallace Pimenta, Vincent Bourguet, by Daniel Neumaier (AMO GmbH) and Francisco Vidal, João Martino, Jacob us Swart, Raimundo Freire, Max Lemme (AMO GmbH & RWTH Gutenberg dos Santos Jr. and Bruno Leonardo Aachen University) within the

38 IEEE Electron Devices Society Newsletter ❍ April 2020 Participants of the Wafer-Scale Integration Workshop of 2D materials framework of the Aachen Graphene and 2D Materials Center. It counted about 100 participants, including many leading experts from European industry and academia. “Devising strategies to integrate 2D materials into conventional electronic devices is an effort that requires input from very many perspectives”, says Neumaier. “Two days of open exchange with col- leagues and friends like the ones we just had in Aachen are always a good source for new ideas, motivation and collaborations.” The workshop has been financially supported by the European Union via the projects G-IMAGER, QUEFOR- DL Prof. Benjamin Iniguez during the distinguished lecture on Schottky Barrier MOSFETs MAL, ORIGENAL and ULISSES, and by the German BMBF via the projects GIMMIK and NOBLENEMS. and what models are present avail- Germany. By a “strange coincidence” able. The lecture was well attended more than 100 years ago, working in Benjamin Iniguez Distinguished by 20 IEEE participants and approxi- the metal laboratory of Kabelwerk Lecture on SB-MOSFETs device mately 10 non-IEEE members. Oberspree of AEG he drew the first physics and modeling aspects single crystal from a liquid tin. The —by Mike Schwarz Remembering Jan Czochralski process based on this invention is still —by Mike Schwarz used to produce silicon monocrystals Within the time frame of the 3rd and has become the basic technology Symposium on Schottky barrier MOS One of the most important technologi- of the digital age. Almost half of all devices on October 4, 2019, at the cal discoveries of the 20th century is solar cells installed worldwide today Amphi Bloch of CNRS in Paris, Prof. known only to insiders. That it was are made of monocrystalline silicon Benjamin Iniguez (DEEEA, Univer- invented in Oberschöneweide (Berlin, produced using the Czochralski pro- sitaet Rovira I Virgili) gave a distin- Germany) by Jan Czochralski, a plaque cess. Czochralski’s invention makes a guished lecture on Schottky Barrier on the campus Wilhelminenhof of the huge contribution to climate protec- MOSFET devices. HTW Berlin helps to recognize since tion and contributes to the rapid de- The lecture was focused on the 15th November 2019. The plaque is velopment of the chip industry, which device physics, in particular on how dedicated to Jan Czochralski. significantly shapes our everyday to model these devices, what are the Jan Czochralski was born in Poland lives. Just think of smartphones and key physics issues to be modeled, and was a successful researcher in microprocessors.

April 2020 ❍ IEEE Electron Devices Society Newsletter 39 concentrating on the device physics with a special focus on modeling. After a discussion and coffee break, the symposium continued with an inspiring retrospective starting with the work of Walter Schottky in the late 1930s, followed by a review of the cur- rent state of the art on SB-MOSFET technology by Dr. John Snyder (JCap, LLC). Afterwards, Dr. Philippe Blaise (Silvaco Inc., France) explained the new atomistic simulation function- ality of the Silvaco tool chain, espe- cially for applications concerning 2D materials and the semi-quantitatively extraction of specific resistances of metal-semiconductor interfaces. The morning session ended with a presen- Ceremony of Jan Czochralski plaque in Berlin by IEEE Poland and Germany Sections tation by Dr. Radu Sporea (Surrey, UK) about TFT transistors, the pos- This was a reason for IEEE Poland and introduction of the attendees. sibility of using source gating and its and Germany sections to jointly ac- Afterwards, Prof. Benjamin Iniguez ability to realize very high gain and knowledge in 2019 the importance (DEEEA, Universitaet Rovira I Vir- increased power-efficiency. of the invention by Jan Czochralski gili) gave a distinguished lecture on The afternoon session began with in the form of the “Milestone Event Schottky Barrier MOSFET devices a presentation by Dr. Satender Warsaw—Kcynia—Berlin”. More de tails of this Milestone are avail- able at the website https://ethw.org/ Milestones:Czochralski_Process.

Symposium on Schottky barrier MOS devices “Towards neuromorphic and quantum computing applications” —by Mike Schwarz, Laurie Calvet and John Snyder

The 3rd Symposium on Schottky barrier MOS devices was held on Dr. Satender Kataria during the talk Graphene/Si Schottky diodes and participants October 4, 2019 at the Amphi Bloch, Orme de Merisiers-CEA, Gif-sur Yvette, France. It was sponsored by LabEx Nanosaclay, the ED France Chapter, IEEE Young Professionals Germany Affinity Group, Silvaco Europe Ltd., Robert Bosch GmbH and hosted by Drs. Laurie E. Cal- vet and Francesca Chiodi (Center of Nanoscience and Nanotechnol- ogy, CNRS-Université Paris-Sud). It was attended by 25 IEEE mem- bers, with approximately 10 non- IEEE members. The symposium started with a welcome breakfast at Amphi Bloch Some participants of the Symposium on Schottky Barrier Devices 2019

40 IEEE Electron Devices Society Newsletter ❍ April 2020 Kataria (RWTH Aachen, Germany) on Graphene/Si Schottky diodes and the impact of quantum efficiency of pho- tondetectors. Next, Prof. Denis Flan- dré (UC Louvain, Belgium) provided a detailed and thorough impressive investigation on the figures of merit of cryogenic nanoscale transistors, with the idea of using them for quan- tum computing applications. Dr. Fran- cesca Chiodi (C2N, CNRS-Université Paris-Sud) then gave an overview on how laser doping using excimer lasers can be used to realize superconducting Participants of the 32nd AKB Workshop silicon and a discussion of all silicon Josephson junctions. Next, Dr. Fran- Crolles, France. Initially, the “Arbe- ments, technology and simulations/ çois Lefloch (CEA, Grenoble) provided itskreis Bipolar” (AKB) started off as simulators. an overview of how SB-MOSFETs can an industry-oriented compact mod- This year, the workshop was orga- be turned into Josephson junctions eling workshop dating back to 1986. nized in two parts: the second day was for quantum computing applications As a remnant, the original name dedicated to bipolar transistors only, when the PtSi silicide becomes super- still relates to the German-speaking whereas the first day had no specific conducting below 1K. The quantum roots. At the time, the “Institut für focus and addressed generic model- computing section then concluded Mikroelektronik Stuttgart” led the ing topics instead. Next year, our new with a very clear seminar by Dr. Fabrice group as a neutral institution within member Rohde & Schwarz will host Nemouchi (CEA, Grenoble) on Qubits a group of industry members. Over the meeting in Munich on November using SB-MOSFETs. He referred to en- time, more and more companies 19th and 20th. Until then, the inter- gineering of the metal-semiconductor and institutions from all over Eu- ested reader may refer to the publicly interface, spin qubits and technology rope joined and expanded the yearly available presentations online: aspects e.g. annealing impacts in met- meeting. In 2000, Infineon Technolo- https://www.iee.et.tu-dresden.de/ al-semiconductor interfaces. gies AG (“Siemens Halbleiter” be- iee/eb/forsch/AK-Bipo/ak_bipo_bei The last two talks on neuromor- fore) took over the responsibility and .html phic applications were given by Dr. has been chairing the working group Laurie Calvet (C2N, Palaiseau, France) till this day. Executive Committee Meeting and Dr. Mike Schwarz (Robert Bosch Even though the AKB is still a bipo- of IEEE Germany Section GmbH, NanoP THM, Germany). Af- lar transistor focused workshop (this —by Sevda Abadpour and ter an introduction to neuromorphic year, slightly over half of the presen- Mike Schwarz hardware, Dr. Calvet discussed why tations were BJTs/HBTs related), the SB-MOS devices have advantages decision was taken in 2015 to widen The IEEE ExCom Germany organized over conventional devices. After- the topics to all device types. In com- an annual meeting in Frankfurt from wards, Dr. Schwarz closed the sympo- parison to other conferences and October 24th–25th. The host was sium with his talk on SB-MOS device workshops, the AKB is focused more VDE-Haus in Frankfurt/Main. During modeling and its application to neuro- on concrete applications and also the meeting, each committee and stu- morphic computing, focusing on how tackles issues faced by daily compact dent branch reported past activities to extract important characteristics modeling. This is taken into account during the last year and the planning from device simulations for the opti- by additional discussion slots ap- for 2020. Also, Sevda Abadpour from mization of neuromorphic circuits. pended to the actual presentations. IEEE Young Professional Germany Looking at the statistics, over 200 committee participated in the ExCom 32nd Bipolar Working presentations were given since the meeting and presented the reformed Group (AKB) Workshop, beginning of AKB – of which one third YP Germany group. STMicroelectronics, Crolles concentrated on generic modeling, The ExCom committee presented —by Tommy Rosenbaum followed by 25% of actual compact the result of the IEEE R8 meeting, model development (HICUM, HiSIM, which was held October 11th–13th. The 32nd AKB Workshop took place diode CMC, etc.) and 15% of parame- The IEEE Germany ExCom has in- on November 14–15, 2019, at the ter extraction. Most of the remaining troduced the IEEE Learning Network facilities of STMicroelectronics in portion can be attributed to measure- (https://iln.ieee.org/public/Training

April 2020 ❍ IEEE Electron Devices Society Newsletter 41 determine accurately all three coor- dinates of a flying airplane, whereas at that time all the existing systems were able to determine only two co- ordinates of airborne targets. Zenit had a skillful combination of two principal innovations: it was using pulsed method and was working with waves of the 60-65 cm wave- length, i.e. shorter than commonly used before. The ceremony gathered many Ukrainian scientists and engineers, IEEE representatives and volunteers: Prof. Jan Machac, IEEE MTT-S Region

Participants of the Executive Committee Meeting of IEEE Germany Section 8 coordinator, Prof. Vil Bakirov, rector of KhNU, Prof. Petro Melezhik, direc- tor of IRE NASU, Prof. Vyacheslav Catalog.aspx) which is the place for and Computer Systems (SRBECS) IEEE membership to find IEEE con- of the V. N. Karazin Kharkiv National tinuing education. There was more University (KhNU). discussion about the reporting by ev- The Zenit radar was designed ery committee about their activities at the Ukrainian Institute of Phys- in IEEE vTools. Additionally, potential ics and Technologies by a group of chapter opportunities were present- prominent microwave scientists led ed. To summarize, it was a great event by Abram Slutskin and his former for the YP Germany AG, especially students Oleksandr Usikov and Se- by participating and communicating mion Braude. The first successful with other committees. field test of Zenit, two-parabolic- antenna L-band pulsed radar, was ~ Mike Schwarz, Editor performed in Kharkiv on October 14, IEEE Milestone Plague “Zenit Parabolic 1938. It demonstrated the ability to Reflector L-band Pulsed Radar 1938” Recent activities of the IEEE Ukraine Section (East) AP/MTT/ ED/AES/GRS/NPS Societies Joint Chapter —by Dr. Kateryna Arknypova and Ms. Daryna Pesina, IEEE Ukraine Section (East) Joint Chapter Chairperson and Secretary (2019-2020) http://www.rocket.kharkov.ua/ ~euachapter/

The First IEEE Milestone in Ukraine to celebrate “Zenit Para- bolic Reflector L-band Pulsed Radar 1938” in Kharkiv

On November 21, 2019, a commem- orative plaque recognizing the IEEE Milestone “Zenit Parabolic Reflec- tor L-band Pulsed Radar 1938” was finally unveiled at the School of Ra- diophysics, Biomedical Electronics IEEE Milestone unveiling ceremony: Prof. F. Yanovsky is speaking

42 IEEE Electron Devices Society Newsletter ❍ April 2020 developed for emerging applications, such as neural recoding and stimu- lating devices for treating neuro- logical problems caused by nervous system disorder or injury. Prof. Xu introduced the principle of human nerve cells and explained the gen- eration and transmission of the nerve signals. By discussing a few case studies of cutting-edge implantable medical devices, he explained how to use advanced microelectronic tech- nology and integrated circuit design to record neural signals and stimulate Prof. J. Machac (the third from the right) and members of IEEE from the Ukrainian nerve cells with high efficacy. Prof. research community at the IEEE Milestone plaque Xu introduced, how a system-on-chip (SOC) device is designed to be ca- Zakharenko and Prof. Leonid Lit- University of Science and Technol- pable of altering the neural activities vinenko, present and former direc- ogy (HUST), China, held a technical through neuromodulation, and hence tors of IRA NASU, respectively, Prof. talk by Professor Yong Ping Xu of Na- performing neurotherapy and nerve Felix Yanovsky, IEEE Fellow and IEEE tional University of Singapore on 23 repair, or acting as a neuroprosthesis. Ukraine Section vice-chair, Mr. Iev- July 2019. Prof. Xu’s talk was entitled He explained the design approach of gen Pichkalyov, IEEE Ukraine Section “Implantable Medical Devices—In- some exemplary circuits including chair, and others. terface with the Human Nervous Sys- neural recording amplifiers and elec- In his welcome address, Prof. F. tem”. This was the first-time SSCS trical simulator circuits developed by Yanovsky noted, “Out of almost 200 technical event held in the campus his team at the National University of IEEE milestones worldwide, only six of HUST, after the formation of the Singapore (NUS). These novel circuit have been granted to the develop- joint chapter was approved in early solutions were discussed thoroughly, ments of radar technologies, and one July 2019. to explain how to address the strin- of them is now located in Ukraine. Prof. Xu began with a brief intro- gent challenges in the neural inter- This was a huge achievement and to- duction on the history of implant- face design, such as ultra-low noise, day this is a great honor.” able medical devices that interface high input impedance, large input Following the unveiling ceremony, with the human nervous systems. dynamic range and low power con- Prof. A. Nosich delivered a lecture First, Prof. Xu highlighted the com- sumption for neural recording ampli- on the work of the Zenit radar inven- mercialized devices which have been fiers, sufficient stimulation strength tors. The event was finished with a widely used in various medical ap- with high efficacy and safety com- small welcome reception organized plications, e.g., implanted cochlear plaint for electrical stimulators. by IEEE Ukraine Section and its and pacemaker. Then, he also dis- The audience enthusiastically Kharkiv subunits. cussed the advanced devices being listened to the talk, asked many

~ Kateryna Arkhypova, Editor

ASIA & PACIFIC (REGION 10)

IEEE CAS/ED/SSC Wuhan Chapter and Huazhong University of Science and Technology Organized a Technical Talk —by Chao Wang

The IEEE CAS/ED/SSC Wuhan Chap- ter, along with the School of Optical Prof. Yong Ping Xu’s talk “Implantable Medical Devices—Interface with the Human Nervous and Electronic Information, Huazhong System,” Huazhong University of Science and Technology (HUST), Wuhan

April 2020 ❍ IEEE Electron Devices Society Newsletter 43 established Chapter. Specifically, he introduced the newly-formed com- mittee from eight founding organi- zations including the HUST, Wuhan University, Hubei University, Hubei University of Technology, XMC, Synopsys Wuhan Limited, Tianma Microelectronics, and FiberHome Microelectronics. Then, on behalf of IEEE, Prof. Yong Lian, the Presi- dent of IEEE CAS Society, officially announced the formation of the IEEE CASS-EDS-SSCS Wuhan Joint Chapter and awarded the Chapter Banner to the Chapter Chair, Prof. Chao Wang. Prof Yong Ping Xu with the organizers from HUST after the lecture (From left): Afterwards, Prof. Guilin Zheng, Dr. Min Run, Dr. Xiaojun Bi, Prof. Benpeng Zhu, Prof. Yong Ping Xu, Prof. Xuecheng Zou, the Chair of IEEE Wuhan Section, Prof. Chao Wang, Dr. Zhige Zou, and Dr. Guoyi Yu from Wuhan University, introduced the IEEE Wuhan Section and the questions triggering interactive dis- IEEE CAS/ED/SSC Wuhan Chap- IEEE Region 10. He talked about the cussions, during which Prof. Xu gave ter Held Opening Ceremony and benefits of IEEE membership includ- very thoughtful answers and provid- Organized Advanced Integrated ing the access to IEEE publication, ed an insight to the brain-machine in- Circuits Forum professional networking, career op- terface system designs in the future. —by Chao Wang portunities, continuing education, Bingqiang Liu, a Year-3 under- etc. Then, Prof. Yong Lian, the IEEE graduate from HUST, said “Prof. Xu On 10 October 2019, an opening cer- CASS President introduced IEEE and taught us how to design appropriate emony was held at the campus of promoted the CAS Society. He en- stimulation circuits to enable people Huazhong University of Science and couraged the faculty and students to to regain control of the limbs for the Technology (HUST), Wuhan, China, join the IEEE and its affiliated societ- broken human nerves. From the to celebrate the formation of the ies and participated in the various lecture, we can see many scientific IEEE CASS-EDS-SSCS Wuhan Joint IEEE-sponsored activities around problems and technical challenges in Chapter (Wuhan Joint Chapter). The the world. Prof. Yoshifumi Nishio, the fields of biomedical circuits and opening ceremony was organized the Vice President of IEEE CAS So- devices. Prof. Xu’s talk is meticulous together with a technical forum on ciety, from Tokushima University, and inspiring, which makes me touch advanced integrated circuit (IC) and spoke next to promote the CASS. the cutting-edge research field and an advanced IC poster competition Prof. Nishio introduced the activi- technology direction in the solid-state for students. The event was jointly ties of CAS Society in Region 10 and circuit designs.” Another undergradu- hosted by the Wuhan Joint Chapter, encouraged the students to join the ate, Qirun Hong, said, “From the lec- the School of Optical and Electronic CAS Society on the spot. Following ture, I was quite surprised to see the Information (SOEI), and the Wuhan the CASS representatives, Dr. Shuji similar circuit structures with those International Institute of Microelec- Ikeda, a BoG member of IEEE ED in the CMOS analog integrated cir- tronics (WHIME), HUST. Society, promoted the IEEE ED So- cuit design textbooks. It can be seen In the morning session, Prof. Ji- ciety by introducing the society and that many classical circuits we have ang Tang, the dean of SOEI, HUST, its regional activities in Region 10. learned in the undergraduate classes firstly delivered an opening address Prof. Hanjun Jiang, the former chair are still practical in the actual design and congratulated the formation of of IEEE SSCS Beijing Chapter, from and still have great potential. I believe the Wuhan Joint Chapter. He talk- Tsinghua University, promoted the that operational amplifiers, as the ed about the important role of the IEEE SSC Society and also shared basis of analog circuits, will continue Joint Chapter and how it will help the growing experience of the SSCS playing a very important role in the the faculty and students to advance Beijing Chapter to the newly-formed design of advanced analog circuits for their careers in either academia or Wuhan Joint Chapter. As the Vice many emerging applications!” industry. Next, Prof. Chao Wang, Chair of an outstanding chapter of the founding chair of the Chapter, the IEEE CAS Society, Dr. Kwen- ~ Ming Liu, Editor from HUST, introduced the newly- Siong Chong from CASS Singapore

44 IEEE Electron Devices Society Newsletter ❍ April 2020 A group photo of the newly-formed IEEE CASS-EDS-SSCS Wuhan Chapter

Chapter also shared the success ex- various universities (HUST, Wuhan Long Cheng, “Functional demonstra- perience by introducing the chapter University, Hubei University of Tech- tion of in-memory arithmetic logic unit organization and activities in the nology, and Nanyang Technological in memristive crossbar array”. past few years. University, etc) who had submitted About 100 research faculty and The afternoon session was the ad- a poster to present his/her research students attended the opening cer- vanced integrated circuit forum con- work in the fields of integrated sys- emony and technical forum. The sisting of five technical talks. Firstly, tems, circuits and devices. All post- event was well received and very Prof. BahHwee Gwee, the committee ers were assessed by a panel of six successful. member of CASS Singapore Chapter, professors through one round of on- Wuhan the biggest city in the cen- from Nanyang Technological Univer- line examination and another round tral China, is famous as the one of the sity, gave a talk on machine learning of assessment in the poster session four key semiconductor bases as well for automatic analysis of delayered IC during a tea break. Based on the as one of the higher education hubs images. Then, Prof. Guoxing Wang, scores of the panel members, the in China, which has many famous the Vice President of IEEE CAS So- first-class, second-class, and third- semiconductor companies and more ciety, from Shanghai Jiaotong Uni- class winners received award certifi- than 80 universities. The Wuhan Joint versity, gave a lecture titled “A New cates and cash prizes. The results of Chapter plans to build a platform for Signal Processing Paradigm: Continu- the competition are as follows: the academia and industry in Wuhan ous-Time Discrete-Amplitude (CTDA) One first-class prize (RMB 500): area in the field of integrated circuits, Systems”. Afterwards, Prof Runsh- Zhicheng Wang, “A Time-Division- and also to help to promote academic eng Wang, from Peking University, Multiplexing (TDM) Scheme for Si- exchanges between Wuhan and the gave a talk titled “The Smaller, the multaneous Wavelength Locking of rest of the world. Bigger—Understanding Variability in Multiple Silicon Micro-rings”; Nanoscale CMOS Devices and Cir- Two second-class prizes (RMB ~ Ming Liu, Editor cuits”. Prof. Chun Zhang, from Tsing- 300 each): Nian Duan, “An Electro- hua University, talked about sensors Photo-Sensitive Synaptic Transistor ED Malaysia Kuala Lumpur and integrated circuits for robots. Fi- for Edge Neuromorphic Visual Sys- Chapter nally, Prof Qiang Li, the Chair of CASS tem”; Yi Zhan, “A 180nW 100KHz ul- —by S.N. Ibrahim, H. Mamat, Chengdu Chapter, from University tra-low power relaxation oscillator.” & N. Soin of Electronic Science and Technol- Three third-class prizes (RMB 100 ogy, talked about ultra-low voltage each): Jia Chen, “A Comprehensive IEEE EDS Malaysia Membership ADC design. Mixed Hardware-Software Study on Drive at IEEE Open Day 2019 at The one-day event was conclud- 1T1R RRAMs based Convolution Neural MAGIC Cyberjaya ed by the award ceremony of the Network”; Kaixuan Ye, “A 0.5-V Capless This programme was executed at advanced IC poster competition. In LDO with 30-dB PSRR at 10-kHz Using a MaGIC (Malaysian Global Innovation total, there were 19 students from Lightweight Local Generated Supply”; and Creativity Centre) Cyberjaya on

April 2020 ❍ IEEE Electron Devices Society Newsletter 45 IEEE Malaysia Open Day 2019 Photo Session

12 October 2019 and was attended by about 100 participants. The event was organised by the IEEE Malaysia Section and EDS Malaysia Chapter as co-sponsors. The membership drive was carried out in conjunction with the IEEE Day 2019 celebration and IEEE Malaysia Section Student Congress 2019. There were a few activities conducted on the day such as Town Hall Session, Malay- sia Distinguished Lecturer Program (MyDLP), Senior Membership Work- shop, Teacher In-Service Program, Student Congress, Humanitarian Activities Sharing session and the Participants at the technical talk (Prof. Wing Cheung Mak standing in the middle) ceremony for IEEE Malaysia Sec- tion Final Year Project (FYP) Award. During the event, the representa- Technical Talk: Advanced Design sor Performance”. The talk was given tives of the IEEE Malaysia Section and Fabrication Strategies to on the 15 October 2019 by Assoc. Prof. took the opportunity to display the Improve Biosensor Performance Wing Cheung Mak (Martin), the Head new logo of the Section. About 300 at University Malaya of Biosensor and Bioelectronics Unit, participants joined the event on the In collaboration with the University of Linkoping University, Sweden. About day. Many participants were eager Malaya and the International Islamic 15 participants attended the talk and to know more about IEEE and EDS University Malaysia, the IEEE EDS Ma- derived an immense knowledge from Chapter. The attendees were mostly laysia Chapter conducted a Technical the presentation. students, school teachers, lecturers Talk on “Advanced Design and Fabri- and industry experts. cation Strategies to Improve Biosen- ~ P. Susthitha Menon, Editor

46 IEEE Electron Devices Society Newsletter ❍ April 2020 Increase Your Knowledge of Technical Standards The foundation for today’s global high-tech success

Prepare yourself to enter the high-tech industry by learning how technical standards develop, grow, and relate to technologies worldwide.

IEEE Standards Education is dedicated to helping engineers and students increase their knowledge of technical standards, applications, and the impact standards have on new product designs.

Begin your journey into the high-tech world: http://trystandards.org

Stay current with Discover learning Understand the access to regularly opportunities role of technical updated educational through tutorials standards in programs and case studies the world

For information about IEEE Standards Education, visit http://standardseducation.org

April 2020 ❍ IEEE Electron Devices Society Newsletter 47 EDS VISION, MISSION AN D FIELD OF INTEREST STATEMENTS

Vision Statement Promoting excellence in the field of electron devices for the benefit of humanity.

Mission Statement To foster professional growth of its members by satisfying their needs for easy access to and exchange of tech- nical information, publishing, education, and technical recognition and enhancing public visibility in the field of Electron Devices.

EDS Field of Interest The EDS field-of-interest includes all electron and ion based devices, in their classical or quantum states, using environments and materials in their lowest to highest conducting phase, in simple or engineered assembly, interacting with and delivering photo-electronic, electro-magnetic, electromechanical, electro-thermal, and bio- electronic signals. The Society sponsors and reports on education, research, development and manufacturing aspects and is involved in science, theory, engineering, experimentation, simulation, modeling, design, fabrica- tion, interconnection, reliability of such devices and their applications.

48 IEEE Electron Devices Society Newsletter ❍ April 2020