<<

Vision The Research Corporation (SRC) will be the world’s premier research management consortium for providing university-based research results in semiconductor science and technology to its members. Mission As the research arm of the Association (SIA), the SRC is a not-for-profit, tax-exempt research management consortium which helps solve the North American semiconductor Industry’s technical challenges, focusing on long-range university research.

Charter The SRC manages a low-overhead, industry-driven, pre-competitive research program that addresses the research needs of the SIA's Technology Roadmap for . Funding for this research IS derived primarily from member fees. The SRC is not a government contractor; however, it does encourage government participation and funding support of key research programs.

T ABLE OF CONTENTS

What is the SRC? 1 Message from the President and the Chairman 2 1995 SRC Accomplishments

● Refocusing SRC Research – Aligning With the Roadmap and Leveraging Industry’s Investment 4

● Reinforcing Student Services – Meeting Industry’s Demand for Qualified Graduates 5

● Redefining Technology Transfer -The New SRC Research Catalog 6 SRC Members and Participants 7 SRC-Participating Universities and Research Institutions 8 The SRC Research Program 9

● Design Sciences 10

● Environment, Safety & Health Sciences 11

● Factory Sciences 12

● Interconnect Sciences 13

● Lithography Sciences 14

● Materials and Bulk Processes Sciences 15

● Packaging Sciences 16

● Process Integration and Device Sciences 17 SRC Technical Excellence Awards 18 SRC Industrial Mentor Program 19 SRC Intellectual Property 20 Financial Report 21

The Annual Report of the Semiconductor Research Corporation is published each year to summarize the directions and results of the SRC Research Program, present the formal financial report, and provide information on activities and events of the SRC industry/government/university community for the previous calendar year.

This report is available to any interested person by requesting SRC Publication Number S96011.

Cover: Wafer image in word “RESEARCH” courtesy of Inc. SEMICONDUCTOR RESEARCH CORPORATION What is theSRC?

“For the U.S. semicon- The Semiconductor Research Corporation is The semiconductor industry’s participation in ductor industry, a flow of a consortium of more than 65 companies and the SRC research program has resulted in: government agencies that plays a crucial role in new products is the key High-quality university research on planning, directing and funding the semiconductor mainstream semiconductor technology; to staying alive; and new industry’s pre-competitive, long-term research. products come from This integrated program of applied research is Unified research goals addressing industry research . . . .” conducted by faculty and graduate students at dozens needs, incorporated into the SIA Technology of leading universities and research institutions in Roadmap; the and Canada. Through the SRC, Robert M. Burger Timely and effective transfer of research participating companies, government agencies, results to SRC participants for commercial- SRC Vice President & national laboratories and universities work together ization; and Chief Scientist to advance semiconductor capabilities. Graduate students - industry’s future The SRC has invested and managed leaders - educated to meet industry needs. more than $340 million in semiconductor industry funds for research since its formation by the Semiconductor Industry Association in 1982. SRC research dollars have sponsored hundreds of faculty members and more than 1,000 graduate students hired by the semicon- ductor industry. Building upon its commitment to transfer research results to its participants, the SRC has spon- sored more than 1,000 conferences and workshops, and researchers supported by the SRC have published more than 8,000 research reports. Since 1988, the SRC has assisted its university partners in obtaining more than 120 U.S. patents on research resulting from SRC sponsorship, with another 50 applications pending.

As part of its broad program of The SRC plays a key role in planning, directing and funding the semiconductor industry-relevant research, the SRC sup- industry’s pre-competitive, long-term research. ports the education of graduate students, many of whom enter and strengthen the semicon- ductor industry’s workforce. Through their research and their exposure to corporate engineers and sci- entists in the SRC Industrial Mentor Program, the more than 150 graduates who enter the workforce each year have very strong backgrounds in micro- and are capable of contributing to their companies’ products almost immediately. The SRC accounts for about 10 percent of all electrical and engineering Ph.D.s granted in the United States each year. Overall, the SRC supported more than 800 students at 51 universities in 1995. Message from the President and the Chairman

On February 14, 1946, scientists at the University of introduced a curious machine with an impressive name: the Electronic Numerical Integrator and Computer, or ENIAC. Powered by more than 17,000 vacuum tubes and tilling a 30’ x 50’ room, ENIAC was designed to compute ballistic trajectories for artillery shells. Its impact changed the face of science and technology forever. Compared with the mechanical of its day, which could complete two calculations per second, ENIAC - the world’s first large-scale, general-purpose electronic computer - could process more than 100,000 calculations per second. Now, 50 years later, echoes of this research breakthrough still resound. ENIAC’s descendants, the integrated circuits of today’s personal computers, contain more than 3 million on a piece of the size of a fingernail. These semiconductor workhorses can calculate 100 million . The electronics industry, unleashed by ENIAC and other key breakthroughs, has achieved explosive growth worldwide. Today, semiconductors are an integral part of everything from digital pagers, automobiles and high- performance jet aircraft to cellular telephones, CAT scans and the networked computers of the Internet.

Research is the Key The key to success for ENIAC a half-century ago and for today’s semiconductor industry is research. Research fuels growth. Research provides the knowledge that leads to new tools, new products and new services. Research is a critical investment in the industry’s future. As we rapidly approach the new millennium, the SRC is poised to continue meeting the semiconductor industry’s changing needs through world-class research. The SRC research program covers all aspects of semiconductor technology, from device and material physics to fabrication and manufacture.

Redoubling Our Efforts On Behalf of Industry Through the SRC, more than 65 semiconductor industry companies and organizations share the risks and the

Larry W. Sumney rewards of a comprehensive long-term research program. The rewards for SRC participants include early to both a steady flow of innovative semiconductor technologies for commercialization and a cadre of students that enters the workforce trained in technology areas key to the industry’s future. Like the semiconductor industry with which it is associated, the SRC made great progress in 1995. The SRC refocused and redoubled its efforts on behalf of the North American semiconductor industry by funding and man- aging approximately $28 million in research contracts at 51 major North American universities and research insti- tutions. This research addressed a broad spectrum of technologies involved in understanding, developing and applying integrated circuits. These included system-level design, materials characterization, silicon device fabrica- tion, critical dimension metrology, advanced lithography tools, integrated circuit interconnection and packaging, and factory automation and control. A major milestone in technology transfer for 1995 was the introduction of the most useful overview of SRC products ever distributed: the new SRC Research Catalog. This electronic catalog, distributed for use on company

Owen P. Williams networks or stand-alone computers, provides concise, specific information on the SRC research portfolio and products. It enables SRC participants, from working engineers to corporate executives, to easily and precisely locate, track and assess current technical results associated with SRC research activities. The catalog ensures prompt transfer of research results to participants for rapid commercialization. Working closely with the Semiconductor Industry Association (SIA) and SEMATECH, the SRC continued to align and focus industry research efforts on the goals laid out in the National Technology Roadmap for Semicon- ductors. By defining the semiconductor industry’s needs for the next 15 years, the Roadmap enables better invest- ment decisions and an integrated national technology effort. The SRC restructured its research program into eight science areas in 1995: Design; Environment, Safety & Health; Factory; Interconnect: Lithography: Materials and Bulk Processes; Packaging; and Process Integration and Device Sciences. These correspond to the Technology Working Groups of the Roadmap. 2 In an effort to expand the SRC’s member base, the SRC board of directors established a new type of member- ship in 1995. A science area membership is available to large companies that either manufacture or supply equip- ment, materials or to the industry, or that purchase integrated circuits. Through science area member- ships, these companies can join SRC technology areas related to their business interests. By the end of 1995, the SRC had already enrolled several new science area members [see 7 for a complete list of participants]. SRC participants have a continuing need for new employees educated in areas relevant to the semiconductor industry. To better meet this need, the SRC created the SRC Student Services program in 1995 to improve manage- ment of student-related activities. This program provides a direct link to the more than 800 student scientists and engineers who participated in SRC research in 1995. Member recruitment and hiring of these graduate students is the most effective means of technology transfer.

Closing the Research Gap The SRC is the leading organization for addressing long-term applied research needs for the North American semiconductor industry. In the past, strong industry laboratories performed this function. Today, universities are the primary source of leading-edge semiconductor research in North America. In today’s era of shrinking corporate and government research budgets and increased global competitiveness, long-range semiconductor research - which provides the knowledge base for future generations of products - faces formidable challenges. Ironically, funding reductions have coincided with the rapid growth of the industry. Long-term semiconductor research, aimed at developing products more than one or two technology genera- tions in the future, currently receives an annual investment of approximately $140 million from industry and $130 million from the federal government, for a total of about $270 million. However, the Roadmap identifies significant needs which will not be addressed by the above combined funding. Through its extensive program of university research, the SRC works to leverage industry’s R&D investment and help close this gap.

Looking Ahead Historically, the health of U.S. industry has depended on generating a constant stream of new products. Like the ENIAC researchers before them, SRC-supported university researchers are establishing the technological framework for future generations of products. Many of the advanced semiconductor products that SRC researchers are working on today will reach the marketplace in the next century. Our thanks go out to the many scientists, engineers, managers and officers from SRC-member companies, participating organizations and universities who made 1995 such a successful year for the SRC. We pledge to build upon their efforts in 1996 and beyond. We will continue to effectively leverage the investment of SRC participants in order to advance North American semiconductor capabilities, close the research gap, and ensure the industry’s competitiveness in the global economy of the 21st century. Whether computed at ENIAC’s 100,000 calculations per second, a modern integrated circuit’s 100 million instructions per second, or the phenomenal speed of future technologies now in development at university labora- tories across North America, the answer to the challenges facing the semiconductor industry is clear: research is and will remain the key to the long-term growth and competitiveness of the North American high-technology industry. Through its comprehensive research program, the SRC will remain a critical component of the industry’s continued success. Sincerely, Larry W. Sumney Owen P. Williams

President & CEO Chairman 3 SEMICONDUCTOR RESEARCH CORPORATION 1995 SRC Accomplisments Refocusing SRC Research - Aligning With the Roadmap and Leveraging Industry's Investment

The SIA’s National Technology Roadmap for SRC corporate staff. Assignees enhance the depth Semiconductors is a technology needs document and scope of SRC program management and that serves all industry participants by providing a heighten their companies’ awareness of desirable guide to long-term strategic investment decisions. research products. The SRC worked closely with the SIA, SEMATECH and other industry groups to identify and outline Promoting Links With Government industry research needs and long-term technology Another effort to find alternative funding requirements for the Roadmap. sources focused on promoting broader links between the U.S. Department of Energy National Realignment of Research Program Laboratories and the semiconductor industry. In 1995, to better meet industry’s needs as After several years of discussion and negotiation, outlined in the Roadmap, the SRC restructured its the SRC implemented a new Cooperative Research research program into eight science areas: Design; and Development Agreement (CRADA) with three Environment, Safety & Health; Factory; Intercon- National Laboratories. CRADAs are contractual nect; Lithography; Materials and Bulk Processes; agreements between private companies or organi- Packaging; and Process Integration and Device zations and federal government agencies in which Sciences. These science areas correspond to the both parties agree to collaborate on mutual eight technology areas of the Roadmap. research goals. The new CRADA established a Center for Science Area Membership Semiconductor Modeling and Simulation, with The SRC also revised its categories of research conducted at Los Alamos, Sandia and membership in 1995. Through new science area Lawrence Livermore National Laboratories. Mod- memberships, companies that do not manufacture eling and simulation are research areas of rapidly semiconductors can participate in SRC research increasing importance to industry and government areas relevant to their business. Science area as they design, develop and manufacture increas- members may be involved in or ingly complex integrated circuits. supplying equipment, materials or software to the The SRC also continued its negotiations with semiconductor industry, or purchasing integrated the National Science Foundation (NSF) to establish circuits. The new membership category broadens a jointly funded Engineering Research Center (ERC) the SRC member base and is the strongest driver focused on fundamental, long-term semiconductor yet for communications among industry manufac- research. It is planned that the SRC/NSF joint turers, suppliers and customers. ERC will be in place in early 1996. Like CRADAs, SRC/NSF partnerships are another step toward New Fee Structure increased collaboration between industry and In an effort to strengthen and broaden government in support of generic research related university-based semiconductor research, and in to industry’s needs. response to the phase-out of SEMATECH funding for university research (SEMATECH provided almost one-third of the SRC’s financial resources during the last seven years), the SRC continued its search for alternative funding sources. In 1995, the SRC established a new fee structure that, for the next three years, will increase SRC revenues to replace SEMATECH funding. Under the new fee structure, member companies have the opportunity to offset a limited amount of their member fees by assigning employees to the

4 COOPERATIVE RESEARCH 1995 SRC Accomplishments Reinforcing Student Services - Meeting Industry's Demand for Qualified Graduates

With its rapid expansion in recent years, the semiconductor industry needs an increasing num- ber of relevantly educated scientists and engineers. To meet this need and improve the quality and accessibility of information about graduate students participating in SRC-sponsored research, the SRC created SRC Student Services in 1995.

SRC-Supported Students SRC-supported student researchers - there were more than 800 in 1995 - who graduate and take jobs with SRC members are one of the most direct channels of technology transfer. Nearly 60 percent of the more than 150 SRC-supported grad- uate students who earned degrees in 1995 took jobs at SRC member companies, or at U.S. univer- sities or government agencies. Through SRC research, graduate students are educated to meet industry’s needs.

1995 Graduate Students Supported Electronic Distribution of Resumes by the SRC (by SRC Science Area) One new method initiated in 1995 to facilitate Total: 818 students* the placement of these highly qualified graduate students with SRC members was the electronic distribution of student resumes. The resumes are provided on diskette by Student Services to student information gatekeepers at member companies. The gatekeepers serve as internal champions within their organizations for SRC student researchers, distributing the resumes and helping secure intern- ships and full-time positions.

Company-Named Fellowships Another 1995 milestone for Student Services was the creation of the first graduate fellowship named for an SRC member company: the AMDISRC Fellowship. Co-funded by Inc. and the SRC, this fellowship is designed to recognize outstanding academic and research achievement and increase the number of Science Area # of Students % of Total SRC Graduate Fellows in areas of special interest to AMD. Overall, the SRC supported 34 Fellows 182 22.3% Design in 1995, including 10 new SRC Graduate Fellow- ES&H 10 1.2% Factory 54 6.6% ships. Criteria for the very competitive selection Interconnect 101 12.3% of SRC Graduate Fellows included academic excel- Lithography 73 8.9% lence, demonstrated and potential research capability, MBP 164 20.0% and relevance of proposed research to SRC goals. Packaging 108 13.2% PIDS 126 15.5% *estimated 5 SEMICONDUCTOR RESEARCH CORPORATION 1995 SRC Accomplishments Redefining Technology Transfer - The New SRC Research Catalog

In 1995, the SRC unveiled the SRC Research immediate access to research of interest. By Catalog, a project championed by SRC Board browsing the catalog, engineers, scientists and Chairman Owen Williams. The catalog, distributed senior management at SRC participants can on CD-ROM and diskette for use on networks or access snapshots of the full range of current SRC stand-alone computers, provides concise, specific research activities, capabilities and results. information on all research tasks supported by the SRC. The catalog summarizes the major elements A Tool for Technology Transfer of each task, including research background; outlines The SRC has always worked to ensure that the planned approach; and documents accomplish- the results of its research are transferred quickly ments to date and anticipated milestones and deliv- and effectively from university laboratories to erables. It also identifies a technology transfer industry. By forecasting deliverables and mile- package that provides detailed information needed stones, the catalog helps industry track and assess to transfer research results for each completed task. how the SRC’s research contributes to technology advancements needed to meet industry goals. By A Snapshot of SRC Research providing updated, comprehensive information on The catalog’s electronic format allows it to this research, the catalog has become the premier be updated frequently from the SRC research technology transfer tool for industry today. management database so that information is current. The catalog contains multiple search capabilities, including full-text word searches and targeted searches on specific fields such as task title, task leader and milestones. This feature gives users

The SRC Research Catalog helps industry track and assess SRC-sponsored university research, such as this work in advanced thin film technology at the University of Albany-SUNY. Here, Albany’s Cindy Goldberg loads an 8” wafer for CVD thin film deposition.

6 COOPERATIVE RESEARCH SRC Members and Participants

Investing in the Members Affiliate Members Industry’s Future Advanced Micro Devices Inc. AG Associates AT&T ANACAD Software Inc. Digital Equipment Corporation Analogy Inc. The SRC’s strength is its Eastman Company BTA Technology Inc. ability to bring industry E-Systems Inc. CVC Holdings Inc. relevance to university Dawn Technologies Inc. research and to transfer Hewlett-Packard Company DesignAid Inc. research results quickly IBM Corporation Emergent Technologies Corporation from universities and Corporation Famtech/Speedfam Corporation research organizations LSI Logic Corporation Hestia Technologies Inc. to industry for commer- Motorola Inc. Ibis Technology Corporation cialization. Corporation Integrated Electronic Innovations NORTEL Integrated Inc. The SRC’s participating Instruments Inc. IntelliSense Corporation companies, organizations Westinghouse Electric Corporation LV Software Inc. and government agen- Meta-Software Inc. cies make technological Science Area Members MicroUnity Systems Engineering Inc. advances possible. More Alcoa Mission Research Corporation than 65 companies and OEA International Inc. government agencies DuPont Company Omniview Inc. fund the SRC’s work, Eaton Corporation PDF Solutions ETEC Systems Inc. leveraging their research Process Technology Ltd. Ford Motor Company and development dollars Q-metrics Inc. Novellus Systems Inc. SILVACO Data Systems to achieve a substantial Shipley Company Inc. Solid State Measurements Inc. return on their invest- Solid State Systems Inc. ment. Associate Members Technology Modeling Associates Inc. Lawrence Berkeley National Laboratory Techware Systems Corporation Lawrence Livermore National Laboratory Tyecin Systems Inc. Los Alamos National Laboratory Verity Instruments Inc. MCC Oak Ridge National Laboratory U.S. Government Participants Sandia National Laboratories Army Research Office SEMATECH National Institute of Standards and Technology The MITRE Corporation National Science Foundation National Security Agency Office of Naval Research Wright Laboratory

7 SEMICONDUCTOR RESEARCH CORPORATION SRC-Participating Universities and Research Institutions

Universities and Research Institutions University of Albany-SUNY Massachusetts Institute of Technology University of University of Michigan Arizona State University University of Minnesota Auburn University Mississippi State University Boston University University of New Mexico University of British Columbia North Carolina State University University of , Berkeley Northwestern University University of California, Irvine University of North Texas University of California, Los Angeles The Ohio State University University of California, San Diego Pennsylvania State University University of California, Santa Cruz Polytechnic University University of Southern California Princeton University California Institute of Technology Purdue University Carnegie Mellon University Rensselaer Polytechnic Institute Case Western Reserve University Rochester Institute of Technology University of Cincinnati Rutgers University Clemson University University of Colorado at Boulder University of Tennessee Cornell University Texas A&M University Duke University University of Texas at Austin Duquesne University University of University of Florida Vanderbilt University Georgia Institute of Technology University of Washington University of Illinois at Urbana-Champaign University of Wisconsin Lehigh University Yale University University of Maryland

8 COOPERATIVE RESEARCH The SRC Research Program

Overview Organization At the heart of SRC efforts on behalf of its The SRC Research Program is organized members is the SRC Research Program. This around science areas that correspond to the Roadmap comprehensive, long-term research program covers and provide a structure for relating to industry and all aspects of semiconductor design and manufac- universities. Each science area is managed by an ture, from new device designs and process controls SRC research director and has a Technical Advisory to advanced materials and integrated circuit inno- Board (TAB). The TABs consist of industry and vations. government scientists and engineers who work with the director to plan research strategy, conduct annual The SRC is not interested in research for reviews and foster technology transfer at university research’s sake. The potential for application in marketable products and for technology transfer is sites, evaluate research proposals, and provide technical guidance to university researchers. essential. Through initiatives such as the Student Services program, the Industrial Mentor program Each science area also benefits from Industrial and the Research Catalog, research results - now Mentors who are assigned by member companies performed at 51 universities across the United States to give individual assistance to university research and in Canada - are rapidly transferred to SRC par- tasks. Through both the TABs and the mentor pro- ticipants for further development and/or commer- gram, SRC participants help address research pri- cialization. orities, increase the relevance and productivity of the research, and maximize technology transfer. Through participation in the SRC and its research program, more than 65 leading semicon- New Science Areas ductor companies and organizations ensure that a steady stream of innovation flows from university The SRC’s research program is updated research labs to industry and, from there, into constantly through TAB interactions to meet the future generations of semiconductor products. industry’s emerging and changing needs and to adapt to changing technologies. In 1995, as part of the continuing effort to keep pace with rapid technological developments, and to align with the goals of the Roadmap, the SRC reorganized its research into eight science areas, corresponding to the technology areas of the Roadmap. The focus and priorities of each are described briefly in the following pages.

1995 Investment In 1995, the SRC awarded 149 research con- tracts and grants to 5 1 North American universities. The SRC invested approximately $28 million in these contracts and grants, distributed among the eight science areas. The SRC also supported more than 800 gradu- ate students in 1995. Of these, more than 150 received their degrees, with the majority taking jobs at SRC members.

9 SEMICONDUCTOR RESEARCH CORPORATION

1995 SRC Technical Advisory Board, Design Sciences Design Sciences Peter W.J. Verhofstadt, Director W. Terry Coston Industry Asignee: Justin E. Harlow III, National Semiconductor Corp. Cadence Design Systems Chairman Ray Abrishami LSI Logic Corp. Vice Chairman Design Sciences addresses the need to rapidly Rutgers University - Prof. Michael Bushnell David Agnew Bell Northern Research Inc. design complex integrated circuits that achieve and his team have produced important theoretical O. Ersed Akcasu specified levels of function and performance, while advances in modeling and detection of delay faults, OEA International Inc. ensuring that the resulting products are manufac- leading to a unique built-in self-test methodology Charles W. Buenzli, Jr. Omniview Inc. turable with high yield, testable to high levels of for at-speed testing of random logic circuits. Pro- Paul T. Capozza confidence, and operable with high reliability. to type software tools detect untestable circuit paths The MITRE Corp. during the design phase, automatically generate Basant R. Chawla In order for the North American semiconductor AT&T industry to maintain leadership in design and guaranteed test patterns for delay faults, and insert Mojtaba C. Chian computer-aided design, and to handle exponentially self-testing structures into the circuit. The U.S. Air Harris Corp. increasing complexity, design sciences research Force is evaluating the software for use in defense Raymond E. Cook systems. National Security Agency must advance rapidly. These advances must enable James Duley the move from single component to system level Hewlett-Packard Co. 1995 Technology Transfer Highlights George Eaton (e.g., multi-chip modules) designs, must cover National Semiconductor Corp. analog and mixed signal circuits in addition to University of British Columbia - Prof. Carl Ian Getreu classical digital designs, and must include both Seger and his team have developed the VOSS Analogy Inc. software (especially embedded) and hardware. Robert B. Grafton design verification system and released it to SRC National Science Foundation To address these challenges, the SRC Design member companies. VOSS exploits mathematical Kim Hailey proofs of circuit properties to assure that a design Mema-Software Inc. Sciences research program funds research in areas will meet its specifications under all conditions. John W. Hines that support the rapid, economic and testable Wright Laboratory design of very large-scale integration (VLSI) and VOSS combines the powerful techniques of Peter Hopper mechanical theorem proving and symbolic trajectory SILVACO Data Systems ultra large-scale integration (ULSI) semiconductor evaluation, in an environment compatible with William H. Joyner, Jr. products. Sponsored research also must support IBM Corp. the overall SRC goals of productivity, high perfor- existing simulation methods. Companies exploring Bernd Koenemann the VOSS research include Intel, Texas Instru- LV software Inc. mance, quality, reliability and manufacturability. ments, Motorola and National Semiconductor. Greg Ledenbach The Design Sciences research program funded SEMATECH University of Washington - SRC-sponsored Dave Mavir 30 research contracts at 21 North American univer- Mission Research Corp. sities in 1995, with a total value of $6.3 million. research at the University of Washington, headed Charles S. Meyer These contracts supported the research of more by Prof. Carl Sechen, led to the release of Timber- Motorola Inc. Wolf 7.0, the most recent in a series of placement Kimon W. Michaels than 200 faculty and graduate students. PDF Solutions and routing tools. This series has been so successful Edward T. Nelson 1995 Research Highlights that a startup company, TimberWolf Systems Inc., Eastman Kodak Co. has been formed to commercialize the software Serban Porumbescu University of California, Berkeley and Solid State Systems Inc. and provide maintenance and support. Victor W. Ramsey University of Colorado at Boulder - Researchers Ford Microelectronics Inc. led by Prof. Robert Brayton at Berkeley and Prof. Shishpal Rawat Gary Hachtel at Boulder have made significant Intel Corp. progress in the development of the Verification Steven E. Schulz Inc. Interacting with Synthesis (VIS) tool, and have Waqar Shah released VIS-1.0. VIS integrates the verification, Advanced Micro Devices Inc. simulation and synthesis of finite-state hardware Kenneth Sienski E-Systems Inc. systems. It maximizes performance by using Kenneth H. Slater state-of-the-art algorithms, and provides new capa- Digital Equipment Corp. bilities and a better programming environment than James A. St. Pierre NIST existing tools. Vincent Zagardo Westinghouse Electric Corp.

10 COOPERATIVE RESEARCH

1995 SRC Interim Technical Advisory Board, Environment Environment, Safety & Health Sciences Safety & Health Sciences Daniel J.C. Herr, Director

H. Ray Kerby SEMATECH Chairman Kamel Aite Process Technology Ltd. The SRC Environment, Safety & Health conductor process cycle. This sensor-supported Bob Duffin (ES&H) Sciences research program focuses on simulation approach provides information that Motorola Inc. Linda Gee research to enable the design and manufacture of enables more effective evaluation of the tradeoffs LSI Logic Corporation new generations of integrated circuits with high- between materials use and performance in deposi- Tom Graedel performance processes that minimize environmental tion and etching processes. AT&T George Hynes impact by: 1) using fewer chemicals, less water Digital Equipment Corp. and less energy; 2) generating less waste; and Jim Jewett 3) employing “environmentally-friendly” materials Intel Corp. Jennings E. Kline and technologies. Oak Ridge National Laboratory SRC ES&H Sciences research areas include: Wes Lashbrook Hewlett-Packard Co. Design for the Environment; Utilization of Energy, Mike May Water and Materials; Emissions Reduction: and Advanced Micro Devices Inc. Alternatives to Hazardous/Toxic Chemicals. Mike Mocella DuPont Beginning in 1994 and continuing in 1995, the Larry T. Novak Texas Instruments Inc. SRC audited all tasks in its manufacturing research Serban Porumbescu program to take stock of research related to ES&H Solid State Systems Inc. and to raise the visibility of ES&H research issues. Russ Scherer Wright Laboratory The audit found approximately $1 million in Wing Tsang manufacturing process research with an ES&H NIST component. Michael C. Vella Lawrence Berkeley The ES&H Sciences research program funded Stanford student Simon Fang (2nd from left) explains the operation National Laboratory nine research contracts at five North American of a spectroscopic ellipsometer to John DeGenova of Intel (left) universities in 1995. These contracts had a total and Prof. Bob Helms (center) and Dr. Weidong Chen of Stanford. value of $0.67 million and supported the research 1995 Technology Transfer Highlights of approximately 18 faculty and graduate students. University of Arizona - Prof. Farhang 1995 Research Highlights Shadman and Arizona researchers have developed a new reactive membrane for purifying gases. Massachusetts Institute of Technology - After consulting with the SRC and SEMATECH, Perfluorocompounds (PFCs) are used by the industry Arizona selected Pall Corporation as a licensee. for two major applications: wafer patterning and Two years of joint work with Pall have resulted in PECVD chamber cleaning. MIT research headed a successful plan for product design, optimization by Prof. Rafael Reif has evaluated alternative and commercialization. Exhibited at Semicon West chemistries that are more environmentally benign and Semicon Japan, a novel integrated filter purifier for these applications. After an industry survey, named Pall PPT is the first in a new line of products. MIT researchers selected candidate chemistries for etch-viability experiments. The goal is to work Stanford University - Prof. Robert Helms, with semiconductor companies and equipment Dr. Weidong Chen (now at National Semiconductor) manufacturers to accelerate development and and other Stanford researchers, working with implementation of PFC replacements. SEMATECH and Hewlett-Packard (H-P), are devel- oping new wafer-rinsing processes to significantly North Carolina State University - NCSU reduce point-of-use water consumption while achiev- researchers, led by Prof. Gary Rubloff, have devel- ing high yields and higher throughput. Using the oped new methods for real-time chemical sensing research results, H-P has achieved application- by mass spectrometry; and for physically-based specific solutions that reduce water use by a factor dynamic simulation of equipment, process, sensor of four. Other companies working with Stanford and control-system behavior. Together, these to transfer this technology include Intel, National methods continuously capture time-dependent Semiconductor, AMD and Texas Instruments. behavior of process variables throughout a semi- 11 SEMICONDUCTOR RESEARCH CORPORATION

1995 SRC Technical Advisory Board, Factory Sciences Factory Sciences William Atkins, Director Darius Rohan Texas lnstruments Inc. Chairman John S. Wenstrand MicroUnity Systems Engineering Inc. Vice Chairman Factory Sciences (FS) research supports the University of Michigan - Prof. Ken Wise Bert Allen Advanced Micro Devices Inc. continued evolution of advanced integrated circuit and his team have performed research on integrated Radhakisan S. Baheti manufacturing, with a paramount goal of maximum microelectromechanical microsensors and pro- National Science Foundation competitive advantage through reduced manufac- duced several different types of devices, including Brian Benamati microvalves for ultra-low flow gas flows and a Eastman Kodak Co. turing costs and cycle times. To support SRC Gary P. Carver members’ manufacturing advancements, FS funds variety of sensors integrated monolithically with NIST research in four thrust areas: 1) cost reduction; CMOS circuitry. Included is a new class of solid- Ted Dimbero state gas detectors and an uncooled imager Harris Corp. 2) equipment and process control: 3) factory James E. Hazelton automation and management; and 4) logistics for wafer temperature measurements. These Eaton Corp. and modeling/simulation. devices will be applied in the distributed control Peter Hopper loops of VLSI manufacturing tools to improve SILVACO Data Systems Cost and cycle time reductions are realized contamination-free manufacturing, materials purity Mohammad lbrahim by research results which provide insight into: Advanced Micro Devices Inc. and process uniformity. Mike JanFrancisco 1) better approaches to factory programmability Motorola Inc. and flexibility; 2) more rapid yield learning; 1995 Technology Transfer Highlights John K. Kibarian 3) improved capital productivity; 4) advanced unit PDF Solutions process control; 5) improved factory architecture University of Michigan - Texas Instruments Ronald P. Kovacs National Semiconductor Corp. and information processing; 6) optimized work-in- (TI) has incorporated the long-term process-control Michael Liehr progress scheduling; 7) enhanced factory reconfig- methodology and techniques developed by IBM Corp. urability; and 8) more rigorous dynamical factory University of Michigan researchers, led by Prof. Dale Little Digital Equipment Corp. modeling. Jessy Grizzle, to make unit process-control Chihwei Liu improvements at TI. The Michigan research is The FS research program funded nine research Tyecin Systems Inc. based on the incorporation of physical models into Richard W. McMahon contracts at five North American universities in the control-loop algorithms. It has led to effective Techware Systems Corp. 1995, with a total value of $2.2 million. These Eugene S. Meieran contracts supported the research of approximately commercialization by TechWare Systems of soft- Intel Corp. ware that incorporates real-time control models. Jeff Moulton 60 faculty and graduate students. LSI Logic Corporation David J. Partingon SEMATECH 1995 Research Highlights Serban Porumbescu Solid State Systems Inc. University of Michigan - Prof. Jessy Grizzle Bob Poulsen and Michigan colleagues have conducted research NORTEL in control theory, incorporating real-time physical Francis R. Ruppel SEMATECH and empirical models, The research has been Ellen Stallings applied to plasma reactive ion etching (RIE) on the Los Alamos National Laboratory AME 8300 platform, and will soon be applied on a Dan Thompson Lawrence Livermore National PlasmaTherm wide-area etcher and a Lam 9400 Laboratory polysilicon etch station. The models, in concert David S. Williams with advanced process sensors, have been used to AT&T perform real-time plasma process control for RIE. The aim is to reduce the deleterious effects of machine- and plasma-process disturbances on wafer-etch characteristics.

12 COOPERATIVE RESEARCH

1995 SRC Technical Advisory Board, Interconnect Interconnect Sciences Sciences William Atkins, Director Industry Asignee: Vivek Bissessur, Intel Corp. David B. Fraser Intel Corp. Chairman Darrell M. Erb Advanced Micro Devices Inc. To meet technology needs outlined in the Vice Chairman Roadmap, Interconnect Sciences (IS) supports Kamel Aite Process Technology Ltd. research addressing: 1) the Roadmap-required Lee A. Berry parasitic reductions via integrated copper/low Oak Ridge National Laboratory dielectric insulators/chemical-mechanical polishing Aldona Butkur LSI Logic Corp. science; 2) new types of dry plasma etching Ian Getreu sources and advanced plasma diagnostic methods; Analogy Inc. 3) improvements in interconnect reliability; Patricia Guidash Eastman Kodak Co. 4) surface and interface materials science; and Robert Havemann 5) advanced modeling and process simulation. Texas Instruments Inc. John Kelly Additionally, to support Roadmap technology Novellus Systems Inc. nodes beyond 0.1 IS actively drives research Michael C. Maher National Semiconductor Corp. investigations into new interconnect architectures John Martin and methods. IS operates within five thrusts: SEMATECH 1) materials; 2) equipment; 3) processes; Dave Mavis Mission Research Corp. 4) reliability and quality; and 5) TCAD. Chris A. McCarty The IS research program funded 15 research Shawming Ma of Stanford University changes the prototype plasma Harris Corp. charge probe. Mehrdad M. Moslehi contracts at eight North American universities in CVC Holdings Inc. 1995, with a total value of $3.5 million. The 1995 Technology Transfer Highlights Matthew O’Keefe research of more than 100 faculty and graduate Wright Laboratory Massachusetts Institute of Technology - Serban Porumbescu students was supported by the IS research program. Solid State Systems Inc. Prof. Herb Sawin and former student Bill Conners, Judy Prybyla 1995 Research Highlights who founded Low Systems (LES), have AT&T applied full-wafer interferometry (FWI) in manu- Gary W. Ray University of New Mexico - Prof. Joseph Hewlett-Packard Co. facturing processes such as plasma etch. This Cecchi and his team have developed plasma diag- Mukul Saran research allows in situ detection of non-uniformities NORTEL nostics based on infrared spectroscopy in etch processing and can detect when a deposition Harry A. Schafft (ILDS). The ILDS sensors have been used to char- process has reached its target film thickness. NIST acterize process chemistry, particularly to establish Galen K. Straub SEMATECH is using the FWI-based technology, Los Alamos National Laboratory the link between plasma processing wafer-level developed by LES, to produce the same thickness Thomas Theis parameters and the various etching species. Potential of tetraethoxysilane (TEOS) deposition wafer-after- IBM Corp. industry benefits center on process improvements Farid M. Tranjan wafer, thus reducing manufacturing process variation Integrated Electronics Innovations for chip and plasma-tool makers. significantly. Betsy Weitzman Motorola Inc. Stanford University - Dr. Jim McVittie University of Albany-SUNY - Dr. Alain Ann Westerheim and his team modeled wafer charging during plas- Kaloyeros and his Albany team have researched Digital Equipment Corp. ma etching, leading to development of a prototype selective and blanket chemical vapor deposition plasma charge probe (PCP). The PCP helps corre- (CVD) of copper and its alloys. Work has focused late wafer-localized charging effects with device on synthesis of environmentally safer precursors, damage and has been used to study process improved understanding of the mechanisms of gas- optimization on tools such as Lam ECR, Lam 9600 phase and surface reactions during transport and and AMAT 5000. Potential industry benefits could deposition, and low-temperature integrated CVD be significant for the more advanced technology processes for copper and its liners for ULSI appli- nodes of the Roadmap, since plasma damage is a cations. SRC members involved in developing this major concern due to the thinner gate oxides used research include AMD, CVC Products, IBM, Intel, at those nodes. Motorola, National Semiconductor, Novellus Systems and Texas Instruments.

13 SEMINDUCTOR RESEARCH CORPORATION

1995 SRC Technical Advisory Board, Lithography Sciences Lithography Sciences Daniel J.C. Herr, Director Gene E. Fuller Texas Instruments Inc. Chairman John Warlaumont IBM Corp. Vice Chairman SRC Lithography Sciences conducts research 1995 Technology Transfer Highlights William H. Arnold Advanced Micro Devices Inc. that will enable the production of robust litho- University of California, Berkeley - Prof. Steven D. Berger graphic materials, processes, control methodologies William Oldham and his team continued research AT&T and process tools that have the capability and flexi- into optics damage produced by pulsed ArF John Canning bility to produce integrated circuit patterns through SEMATECH (193 nm) laser radiation. This project provides the Natale M. Ceglio the early part of the next century. tools for assessing an upper limit on the lifetime Lawrence Livermore National laboratory The primary goal of lithography is to transfer of fused silica glass used in 193 nm lithographic Keith Chao patterned features reproducibly. Orders-of-magni- applications. The research emphasizes real-time LSI Logic Corp. tude improvements in metrology capability are birefringence measurements, with the goal of Glen Dahlbacka Lawrence Berkeley National Laboratory required to provide nondestructive, in situ, real-time understanding and characterizing radiation-induced Robert E. Gleason measurements at the dimensions specified in the compaction and color center formation. Working Hewlett-Packard Co. Roadmap. The 1995 investment priorities in the with SEMATECH to acquire glass samples from Rob Hershey Motorola Inc. SRC’s Lithography portfolio were: 1) resist, align- several manufacturers, the Berkeley researchers are Peter Hopper ment and overlay; 2) masks and material; 3) critical evaluating the effects of glass-processing improve- SILVACO Data Systems dimension metrology; 4) modeling and pattern ments and building a database for optical-property Joe Langston Intel Corp. transfer: 5) contamination; 6) and systems. damage-rate predictions. Tool suppliers including David Leebrick SVGL have expressed strong interest in these results. Harris Corp. The Lithography Sciences research program Loren W. Linholm funded 20 research contracts at 11 North American Cornell University - Researchers led by NIST universities in 1995. These contracts had a total Prof. Christopher Ober and Allen Gabor collaborated Kevin J. Orvek Digital Equipment Corp. value of $2.3 million and supported the research of with the IBM Almaden Research Center and Phasex Set-ban Porumbescu more than 80 faculty and graduate students. Inc. to explore commercialization of imageable Solid State Systems Inc. block copolymers. The Cornell team has demon- Kenneth J. Radigan National Semiconductor Corp. 1995 Research Highlights strated the feasibility of developing DUV-imageable Nanda Samarakone Cornell University - The change of expo- materials with supercritical CO 2. Through the use NORTEL of supercritical CO , this technology promises sig- sure tools to 193 nm makes it necessary to also 2 Jim Sewell nificant reductions in the lithographic waste stream. Wright Laboratory change the resists used in lithographic processes. Richard Small Using novel block-copolymer resist designs, Cornell Shipley Company Inc. researchers led by Prof. Christopher Ober and Farid M. Tranjan Integrated Electronics Innovations doctoral student Allen Gabor are producing imaging materials with high etch-resistance and transparency at 193 nm. These materials also are compatible with more environmentally benign processes. The feasibility of imaging these materials with deep ultraviolet (DUV) radiation was demonstrated at the SRC-supported MIT Lincoln Labs Resist User Facility. The facility is the first center of its kind, bringing researchers and suppliers together to share ideas, integrate resist research and provide access to state-of-the-art lithographic tools. University of Texas at Austin and Cornell

University - An SRC research project funded jointly Ph.D. student S. Chieh fabricates 0.1 MOS transistors in the at UT-Austin (led by Prof. Grant Willson) and Cornell University Nanofabrication Facility. Cornell (led by Prof. Jean Fréchet) aims to eliminate the organic solvents currently used in photoresists. The UT-Austin/Cornell team has demonstrated a DUV resist formulation that has near-micron reso- lution, and is water castable and water developable.

14 COOPERATIVE RESEARCH

1995 SRC Technical Advisory Board, Materials and Bulk Materials and Bulk Processes Sciences Processes Sciences William T. Lynch, Director Industry Assignee: Vivek Bissessur, Intel Corp. Baylor Bunting Triplett Intel Corp. University Assignee: Dahua Zhang Kolbas, North Carolina State University Chairman R. Allen Bowling Texas Instruments Inc. Materials and Bulk Processes Sciences 1995 Technology Transfer Highlights Vice Chairman (MBPS) includes the front-end-of-the-line fabrica- Robert C. Albers Stanford University - Researchers led by Los Alamos National Laboratory tion operations and starting materials for main- Prof. Robert Helms have examined the effects of Sheldon Aronowitz stream integrated-circuit fabrication. The tools and LSI Logic Corp. surface cleaning preparations on the micro-roughness processes include those used to fabricate the James Comfort of silicon surfaces. The Stanford team has exam- IBM Corp. structure up to the active silicon surface, and the ined hydrogen fluoride-last cleaning sequences and Tim Cradle thermal processes and depositions of active films is developing atomic level models for silicon sur- SILVACO Data Systems above the interface, such as gate electrodes, elevated Monk El-Diwany face reactions, etching and roughening. These National Semiconductor Corp. source/drain materials and silicidation. simulation models will analyze and interpret the Gladys F&on-Upton SEMATECH Research in MBPS includes: 1) materials results from metrology tools such as atomic force Arnon Gat characterization and analysis; 2) gate dielectrics microscopes. The ability to prepare ultra-clean, AG Associates and field oxides; 3) bulk processes; 4) gate stack ultra-smooth wafer surfaces and MOS interfaces is Wayne M. Greene Hewlett-Packard Co. cluster tools: 5) and modeling and simulation. a key enabler in the development of deep-submicron technologies. Texas Instruments and Intel have Tony Hayes The MBPS research program funded 28 Oak Ridge National Laboratory collaborated with the Stanford team on this research. research contracts at 18 North American universities Harold L. Hughes Other SRC participants, including AMD, Hewlett- Naval Research Laboratory in 1995, with a total value of $5.2 million. These Packard, SEMATECH and NIST, are also applying Mike King contracts supported the research of more than 180 NORTEL the Stanford results. Wade Krull faculty and graduate students. Ibis Technology Corp. James P. Lavine 1995 Research Highlights Eastman Kodak Co. Jerry Lowney University of Florida - Grid generation NIST for IC process simulation is a time-consuming Christian Mailhiot Lawrence Livermore National and difficult task. At the University of Florida, Laboratory researchers led by Prof. Mark Law have been Fariborz Maseeh IntelliSense Corp. working on software for automating the grid- Gary McCoy generation process. The software estimates the Wright Laboratory numerical error in the solution from the grid and Mehrdad M. Moslehi CVC Holdings Inc. uses this estimate to refine the grid and better rep- Andre L. Nasr resent the doping and structure, adapting the grid Digital Equipment Corp. as the structure changes. Robert B. Ogle Advanced Micro Devices Inc. Yale University - Prof. Tso-Ping Ma and his Prof. Tso-Ping Ma and his Yale University team have demonstrated advanced gate dielectrics with remarkable electrical properties. John M. Poate research team have demonstrated very high quality AT&T Samuel Ponczak ultra-thin silicon nitride films that look promising Stanford University - Dr. James Plummer Westinghouse Electric Corp. as an advanced gate dielectric to succeed thermal and his colleagues, in collaboration with AT&T Serban Porumbescu SiO . The films were formed directly on silicon Solid State Systems Inc. 2 and the National Laboratories, have achieved sig- Anthony L. Rivoli substrates by a novel jet-vapor deposition (JVD) nificant breakthroughs in their study of the Tran- Harris Corp. technique. They exhibit remarkable electrical prop- sient Enhanced Diffusion (TED) problem in silicon. Greg Rollins erties, including low densities of interface and bulk Technology Modeling Associates Inc. Chief among these was the direct observation of Robert Simonton traps, low leakage current, high breakdown field (311) defect ribbons by AT&T and the correlation Eaton Corp. strengths and high resistance to hot-carrier damage. of the interstitials stored in the (311) defects with Michael A. Stroscio Experimental data indicate that for a given equiva- U.S. Army Research Office the time dependence of TED. The National Labs lent oxide thickness, gate-leakage current is orders Clarence J. Tracy clarified the dynamics of the implant collision cas- Motorola Inc. of magnitude lower in the JVD nitride. cade, based on molecular dynamics simulations of Steven R. Weinzierl Solid State Measurements Inc. ions implanted into silicon. Commercial suppliers of TCAD tools have been very aggressive in incor- porating the Stanford TED models in their codes.

15 SEMICONDUCTOR RESEARCH CORPORATION

1995 SRC Technical Advisory Board, Packaging Sciences Packaging Sciences Ronald C. Bracken, Director Kenneth M. Brown Digital Equipment Corp. Chairman Luu Nguyen National Semiconductor Corp. Vice Chairman The increasing speed and density of integrated in its infancy. Prof. Andreas Cangellaris and his David Almgren Q-metrics Inc. circuits is putting ever more stringent requirements team have designed UACREST, an electromagnetic William E. Barkman on the package to remove heat generated by ICs simulator streamlined for radiated emissions pre- Oak Ridge National Laboratory while maintaining operating temperatures consistent diction and radiated susceptibility evaluation of Tony Bernhardt Lawrence Livermore National with high reliability. The SRC Packaging Sciences packaged electronic systems. Acknowledging the Laboratory research program focuses on providing the infor- impact of reliable CAD tools on achieving first- Joe E. Brewer mation, tools and methodologies for the design and pass success, the SRC and SEMATECH are Westinghouse Electric Corp. engaged in a cooperative effort to transfer Loyde Carpenter fabrication of packaging structures to satisfy the Harris Corp. electrical, thermal/mechanical and reliability UACREST to the commercial supplier base. Robert Carroll requirements of future packages. The MITRE Corp. Harry Chang The Packaging Sciences research program Hestia Technologies Inc. funded 15 research contracts at 10 North American William T. Chen IBM Corp. universities in 1995, with a total value of $3.2 Ed Fulcher million. These contracts supported 36 faculty LSI Logic Corp. members and more than 100 graduate students. Ed Graddy Alcoa Electronic Packaging Inc. Nasser Grayeli 1995 Research Highlights Intel Corp. James D. Hayward Cornell University - The Roadmap projects Advanced Micro Devices Inc. the need for continued increase in chip I/O that can K. Gail Heinen Texas Instruments Inc. only be met by area array interconnects. A Cornell Fariborz Maseeh research team, led by Dr. Che-Yu Li, has developed InrelliSense Corp. the capability for mechanical reliability modeling Matthew O’Keefe Wright Laboratory of solder array interconnects. This damage-integral Paddy Padmanabhan based model can evaluate interconnect lifetime Motorola Inc. statistics as affected by distributions in design, Serban Porumbescu Solid State Systems Inc. materials, processing and service parameters. Michael A. Schen Lehigh University - A new mode of failure NIST has been observed in plastic packages: delamina- H. Keith Seawright Cornell student Martin Van Derhiede operates a controlled E-Systems Inc. tion involving organic die attach adhesives/lead atmosphere reflow unit to prepare a solder joint specimen for Reg Simpson frame interfaces. Dr. Ray Pearson’s research is fatigue testing. NORTEL developing fundamental tools that characterize Paul A. Sullivan AT&T surfaces and quantify adhesion of these interfaces. Purdue University - The accelerated use of Terry Tam These characteristics are critical to predicting relia- CAE tools is increasing the need for high-quality Eastman Kodak Co. Albert Wang bility and screening candidate materials for desired data on the thermal, mechanical, electrical and Hewlett-Packard Co. die attach performance. In 1995, the Lehigh team physical properties of packaging materials. To meet developed the “bondability diagram” concept, this need, Prof. Cho-Yen Ho and Purdue researchers which maps adhesive strength as a function of continued their work on the SRC/CINDAS Micro- time/temperature processing variables. electronics Packaging Materials Database. This database - developed by Purdue’s Center for Infor- 1995 Technology Transfer Highlights mation and Numerical Data Analysis and Synthesis University of Arizona - The increasing (CINDAS) with support from the SRC - is one of demand for high-speed/high-frequency computing the most requested technical products offered by and communication modules in lightweight, compact the SRC. In 1995, evaluated data were generated electronic systems has established system electro- for new packaging materials including lami- magnetic compatibility as a key system-design nates, dielectric ceramics, lead-free solders and encapsulants. constraint. Numerical modeling of radiated noise from packaged electronic components is currently

16 COOPERATIVE RESEARCH

1995 SRC Technical Advisory Board, Process Integration Process Integration and Device Sciences and Device Sciences William T. Lynch, Director University Asignee: Dahua Zhang Kolbas, North Carolina State University John M. Aitken IBM Corp. Chairman Monir El-Diwany National Semiconductor Corp. Process Integration and Device Sciences Stanford University - A Stanford team led Vice Chairman (PIDS) is concerned with the by Prof. Robert Dutton has developed and imple- Tony Bernhardt Lawrence Livermore National itself and with the physics and chemistry of novel mented a comprehensive surface mobility model Laboratory processes. The strategic direction of PIDS is to: for MOS devices - calibrated down to 0.25 pm David L. Blackburn NIST 1) shift the focus of device technology from a lith- gate length - for its PISCES modeling program. Joe E. Brewer ography-dependent, standard-shrink approach by This model is important since it physically accounts Westinghouse Electric Corp. introducing creative structures and process archi- for and consistently calibrates the effects of channel Bob Eklund Texas Instruments Inc. tectures; 2) provide improved predictive modeling; doping and the parasitic resistances from contacts Robert T. Fuller 3) conceive circuit elements that are yield-tolerant and spacers. Stanford researchers have worked Harris Corp. of manufacturing defects and parameter variations; with AT&T to test the model on AT&T’s most Henry Gaw Intel Corp. and 4) recognize opportunities for paradigm shifts advanced 0.25 pm MOS technology. Several SRC Wayne M. Greene that redirect engineering efforts to circumvent partner companies are now providing device struc- Hewlett-Packard Co. current technology limitations. tures for further evaluation and modeling based on Len Gruber this new approach. Digital Equipment Corp. The PIDS research program funded 23 Harold L. Hughes research contracts at 12 North American universities Naval Research Laboratory 1995 Technology Transfer Highlights John K. Kibarian in 1995, with a total value of $4.1 million. These PDF Solutions contracts supported more than 30 faculty and 125 University of California, Berkeley - Prof. Mike King graduate students in 1995. Chenming Hu has led the continued development NORTEL and commercialization of the Berkeley Short- Wade Krull Ibis Technology Corp. 1995 Research Highlights Channel IGFET Model (BSIM). The latest version Thomas Kwan of this MOSFET modeling software, BSIM3v3, Los Alamos National Laboratory Duke University - Prof. Teh Y. Tan and provides a convenient link between Electrical James P. Lavine Duke researchers worked with G.E. McGuire of Eastman Kodak Co. MCNC to study the growth of epitaxial CoSi Computer Aided Design (ECAD) and technolo- Ming-Ren Lin 2 gy/manufacturing. More than 40 people from 20 Advanced Micro Devices Inc. films on Si using intermetallic diffusion, and the SRC member companies attended an SRC technol- Fariborz Maseeh associated substrate doping properties for both IntelliSense Corp. bulk and silicon-on-insulator (SOI) Si. In SOI ogy transfer course on BSIM3v3 in August 1995. Victor Moroz cases, by pre-doping the Si substrate through shallow Because it can be easily moved between different Dawn Technologies Inc. circuit simulators, BSIM3v3 was selected by an Timothy R. Oldham ion implantation or by implanting dopant ions into U.S. Army Research Laboratory international compact model council to be the first pre-grown epi-CoSi 2 films and then performing Mark R. Pinto drive-in anneals, Duke researchers grew void-free industry standard compact MOSFET model for AT&T circuit simulation. Serban Porumbercu epi-CoSi 2 films on patterned SOI substrates using Solid State Systems Inc. very low RTA temperatures. Voids or vacancies Massachusetts Institute of Technology - Greg Rollins Technology Modeling Associates Inc. often occur in the Si substrate because of Si Prof. Jacob White and his team have developed Robert Simonton outdiffusion. fast methods for on-chip signal integrity analysis. Eaton Corp. University of California, Los Angeles - Their FASTCAP and FASTHENRY programs help Andy Strachan designers eliminate hard-to-find signal integrity SILVACO Data Systems Prof. Kang Wang and his students have addressed Michael A. Stroscio integration and scaling limitation issues of low problems by rapidly and accurately computing all U.S. Army Research Office coupling capacitances and inductances for extremely power electronics. The UCLA team has invented Clarence J. Tracy complicated structures. Harris Semiconductor, Motorola Inc. and demonstrated a bistable tunneling static random Texas Instruments, IBM and Motorola have modi- P.K. Vasudev access memory (SRAM), which will increase the SEMATECH fied versions of the programs for applications as benchmark density to close to that of dynamic Yuval Wasserman diverse as RF signal degradation, electromagnetic AG Associates random access memory (DRAM) with the same compatibility and microsensor characterization. Robert M. Werner feature size. The research is timely for the Wright Laboratory Marvin White Roadmap’s landmark goal in the year 2005 of National Science Foundation achieving a 100 nm feature size. In fact, 100 nm Stan Yeh SRAM structures already have been demonstrated LSI Logic Corp. in the UCLA laboratory, as have the same structures on a sub-100 nm scale. 17 SEMICONDUCTOR RESEARCH CORPORATION SRC Technical Excellence Awards Recognizing Outstanding Research

The SRC Technical Excellence Awards were package represent the state of the art in integrated established in 1991 to recognize research of excep- circuit design with respect to partitioning, placement tional value to SRC members. The awards are and routing. Different versions of TimberWolf, presented annually for research that significantly based on the simulated annealing algorithm, have enhances the productivity and competitiveness been created for different types of design styles. of the North American semiconductor industry. TimberWolf tools are widely used in industry and Awards are based on creativity and innovation, have resulted in significant reductions in die size utility or impact on industry, relevance to the tech- compared to competing approaches. nical objectives of the SRC and the semiconductor Prof. Mark Kushner, former students industry, as reflected in the National Technology Drs. Peter Ventzek and Seung Choi, and current Roadmap for Semiconductors, and technology student Robert Hoekstra received the Technical transfer success. Excellence Award for research at the University In 1995, two research teams were selected as of Illinois at Urbana-Champaign (UIUC) on winners of the 1994 Technical Excellence Awards. computer modeling of plasma reactors. The tech- These were presented at an awards luncheon coin- nical contributions of their work are powerful and ciding with the SRC’s June 1995 Board of Directors robust simulation tools for plasma sources, tool meeting and research operations review in Research configurations and chemistries for both deposition Triangle Park, NC. The 1994 Technical Excel- and etching that result in realistic geometries, lence Award winners were: relevant profiles and rates for plasma and chemical Prof. Carl Sechen and his former student processes. The UIUC research products enable Dr. William Swartz received the Technical Excel- end users and vendors to optimize both tool design lence Award for their layout optimization research, and process by simulating direct and remote plasma- conducted at the University of Washington and enhanced chemical vapor deposition, SiO 2 and Yale University. The technical contribution of Si3N4 deposition, polysilicon and etching, this work is reflected in a series of evolutionary surface kinetics, high plasma density tools, and versions of the physical design toolset known as dust particle generation and transport. TimberWolf. The various versions of this software

Prof. Mark Kushner (right) and University of Illinois at Urbana-Champaign student Robert Hoekstra were honored with an SRC Technical Excellence Award for their work on computer modeling of plasma reactors. 18 COOPERATIVE RESEARCH SRC Industrial Mentor Program Industry Relevance and Technology Transfer

The SRC Industrial Mentor Program enhances Tracy Boswell of SEMATECH (Austin, the value of SRC research to industry and provides Tex.), has mentored Prof. Farhang Shadman’s SRC participants with early access to key technolo- Materials and Bulk Processes Sciences research gies. Industry scientists, engineers and managers team at the University of Arizona since 1992. Of who participated in the Mentor Program are particular note is her involvement in the develop- associated with specific, SRC-funded university ment, testing and technology transfer of Arizona’s research tasks. These experts provide perspective UV/ozone technology. Boswell performed a series and insight into industry needs, helping focus the of tests to validate the researchers’ findings in an research on these needs, as well as accelerating the industrial plant and organized the transfer of a research and transferring results to their companies. mobile test skid that demonstrated the advantages University researchers receive the benefit of direct of the technology to various industrial fabs. interaction with industry engineers and access to Hsing-Huang Tseng of Motorola Inc. corporate resources. (Austin, Tex.), has been a mentor for Prof. Tso-Ping In 1995, the Mentor Program included 453 Ma’s Materials and Bulk Processes Sciences con- individual mentors from 28 SRC member companies tract at Yale University for the past five years. Tseng and organizations. Ninety percent of all SRC has provided Yale researchers with numerous test research tasks had the benefit of an industry mentor devices? including many not available elsewhere. during 1995. Most recently, he enthusiastically supported the development of jet-vapor deposited silicon nitride To recognize and honor the significant contri- butions made by individual mentors in the Mentor films by providing joint wafer processing services, analyses and financial support. Program, the SRC presents its annual Outstanding Industrial Mentor Awards. Six mentors were chosen E. Hal Bogardus of SEMATECH to receive this award in 1995: (Austin, Tex.), has worked with Prof. Costas Spanos’ Noel Strader of Motorola Inc. (Austin, Factory Sciences research at the University of Tex.), has been a mentor to Prof. Richard Newton California, Berkeley for more than seven years. During that period, Bogardus has arranged for at the University of California, Berkeley for the direct collaboration with such companies as IBM, past several years. As a mentor on this Design Texas Instruments and . He has vis- Sciences research contract, Strader has provided Berkeley researchers with real-life industry examples ited the university on many occasions and arranged and information about ongoing related work at several visits by researchers to industry sites. Motorola. He also has arranged summer internships Steven Groothuis of Texas Instruments for doctoral candidates working on synthesis for Inc. (, Tex.), has been a Packaging Sciences low-power research. mentor to Profs. Carl Popelar and Vernal Kenner at The Ohio State University since 1993. Groothuis Kathy Early of Advanced Micro Devices has provided insight into the problem of reliability Inc. (Sunnyvale, Calif.), has been a mentor to Prof. Franco Cerrina and his team of Lithography Sci- of microelectronic packages and has guided gradu- ences researchers at the University of Wisconsin ate students in their dissertation work. In a broad effort to help OSU researchers work with the entire since 1993. Early has played a key role in the SRC community, he was the primary organizer of a successful development of the lithography modeling molding compounds workshop that attracted more software CXrL Toolset by providing researchers with critical industrial data and hardware and soft- than 20 industrial participants. ware support.

19 SEMICONDUCTOR RESEARCH CORPORATION SRC Intellectual Property Patented Technologies Add Value for SRC Participants

The SRC’s value to the industry’s technology of focus compared to a single copy of the mask base includes appropriate protection of intellectual pattern. The two or more copies of the mask pattern property assets that result from SRC research. may be used to increase the depth of focus of transmissive and reflective microelectronic substrate The SRC has a world-wide, unrestricted, imaging systems. royalty-free, non-exclusive license right to these patents, as well as the ability to sub-license the Advanced Devices patents to SRC participants. A capacitorless silicon-on-insulator (SOI) In 1995, the SRC added to its intellectual dynamic random access memory (DRAM) device property portfolio, with 22 new U.S. patents issued has been developed and patented by Prof. Chenming and 15 new patent applications filed. The newly Hu and H.J. Wan at the University of California, issued patents cover a number of important tech- Berkeley. The advantages of this new DRAM device nology areas. Some of these include: include large read current, good immunity to alpha Gate Dielectrics particle-induced single-even-upset, and simple fab- rication. Moreover, the device’s size is that of a High-quality ultra-thin gate oxides, which single transistor, making it attractive for ultra-high incorporate nitrogen atoms, have been developed density memory applications. The charge is stored and patented by Prof. Dim-Lee Kwong at the Uni- in the front surface of the thin silicon film of the versity of Texas at Austin, The devices, which SOI substrate. The stored charge modulates the exhibit a nitrogen profile peak at the silicon oxide function of the read transistor (e.g., the threshold (SiO)-silicon (Si) interface, are formed by oxidiz- voltage of a MOSFET). The amount of charge read ing the surface of a monocrystalline Si body in an in the capacitorless DRAM can easily exceed the atmosphere of nitrous oxide (NO) at a temperature amount of charge read in an ordinary DRAM cell. above 900°C. The Si body and oxidized surface are then heated in an atmosphere of anhydrous Software ammonia to introduce additional nitrogen atoms As another key component of the SRC intel- into the oxide and to increase resistance to boron lectual property portfolio, the SRC currently has a penetration without degrading the oxide by charge listing of more than 190 software programs devel- trapping. The resulting oxynitride has less degra- oped under SRC sponsorship. dation under hot-electron stress and approximately one order of magnitude longer lifetime than that of When used in certain ASIC simulation conventional SiO in Metal-Oxide Semiconductor applications, the software program RICE (Rapid (MOS) applications. Integrated Circuit Evaluator) - developed by Prof. Larry Pileggi at the University of Texas at Austin - Lithography has reportedly enabled modeling simulation which previously required 72 hours to be performed in as A new microelectronic substrate patterning little as 20 minutes. A former recipient of an SRC system and method was developed and patented in Technical Excellence Award, Pileggi’s RICE 1995 by Prof. Fabian Pease and co-workers at technology was described and issued a U.S. Stanford University. The system uses two or more patent in 1995. copies of a mask pattern in the radiation path between the radiation source and the microelec- tronic substrate. The two or more copies of a mask are axially displaced from one another along the radiation path. Thus, the mask pattern is imaged onto the microelectronic substrate as a result of interaction of the radiation with the multiple copies of the mask pattern in the radiation path. The mask pattern thereby images at an increased depth

20 1995 SRC Executive Technical 1995 SRC Technical Advisory Gary W. Rubloff Advisory Board Board,Technology Transfer North Carolina State University Rob A. Rutenbar James F. Freedman Carnegie Mellon University Semiconductor Research Corp. Co-Chairman Herbert H. Sawin Massachusetts Institute of Technology Ashok Kapoor Graham Alcott LSI Logic Corp. Intel Corp. Kensall D.Wise Co-Chairman University of Michigan John M. Aitken Dirk J. Bartelink IBM Corp. Hewlett Packard Co. 1995 SRC Government Frank J. Bachner Lynn M. Bearden Coordinating Committee Alcoa Electronic Packaging Inc. Semiconductor Research Corp. Joseph Ballantyne David M. Boulin 1995 SRC Board of Directors Cornell University AT&T Ken Bano Jim Carroll Edwin B. Champagne Owen P. Williams Digital Equipment Corp. Motorola Inc. Wright Laboratory Motorola Inc. Chairman Lynn M. Bearden Jeffrey A. Coriale Richard D. LaScala Semiconductor Research Corp. North Carolina State University Semiconductor Research Corp. George E. Bodway Hewlett-Packard Co. Lee A. Berry Ray Delk lngham A. Mack Oak Ridge National Laboratory SEMATECH Office of Naval Research Bruce C. Burkey Eastman Kodak Co. Kenneth M. Brown Michael J. Fluss Michael A. Stroscio Digital Equipment Corp. Lawrence Livermore National Laboratory U.S. Army Research Office Charles Carinalli National Semiconductor Corp. John R. Carruthers Kenneth Freese Nancy Welker Intel Corp. Los Alamos National Laboratory National Security Agency Sunlin Chou Intel Corp. David C. Cartwright Mahboob Khan Marvin White Los Alamos National Laboratory Advanced Micro Devices Inc. National Science Foundation Walter Class Eaton Corp. W. Terry Coston Shirley Laine David S. Yaney Cadence Design Systems Digital Equipment Corp. NIST Mike Fitzpatrick Westinghouse Electric Corp. Robert R. Doering Michael Poponiak Texas lnstruments Inc. IBM Corp. Thomas F. Cannon SRC Senior Staff Digital Equipment Corp. James Duley Ken A. Ports Hewlett-Packard Co. Harris Corp. Larry W. Sumney Dyer A. Matlock President and Chief Executive Office Harris Corp. William Dunn James F. Skalski Motorola Inc. Wright Laboratory Robert M. Burger C. Mark Melliar-Smith Vice President and Chief Scientist AT&T W. Dale Edwards Court Skinner Harris Corp. National Semiconductor Corp. Ralph K. Gavin Yoshio Nishi Vice President Research Operations Texas Instruments Inc. Michael J. Fluss Mark Stager Lawrence Livermore National Laboratory LSI Logic Corp. James F. Freedman Michael Polcari Vice President, Research Integration and IBM Corp. David B. Fraser David S. Yaney Technology Transfer Intel Corp. NIST Claudine Simson William C. Holton NORTEL Gene E. Fuller Vice President, Research Operations Texas Instruments Inc. Larry W. Sumney 1995 SRC University Advisory (retired, 1/96) Semiconductor Research Corp. Gerald J. Iafrate Committee William Atkins U.S. Army Research Office Director. Interconnect Sciences Donald L. Wollesen Joseph Ballantyne Advanced Micro Devices Inc. H. Ray Kerby Cornell University Director, Factory Sciences SEMATECH Joseph M. Zelayeta Chairman Ronald C. Bracken LSI Logic Corp. Mike King Lynn M. Bearden Director, Packaging Sciences NORTEL Semiconductor Research Corp. Michael D. Connelly David Kyser Steven Brueck Director, Information Systems Advanced Micro Devices Inc. University of New Mexico Norman Foster Paul Landler Timothy S. Cale Director, Information Transfer IBM Corp. Arizona State University Linda L. Gardner David L. Losee Jerry G. Fossum Director, Administrative Operations Eastman Kodak Co. University of Florida Daniel J.C. Herr Edward A. Palo James F. Freedman Director, Environment Safety & Health The MITRE Corp. Semiconductor Research Corp. Sciences Director, Lithography Sciences John Pankratz Jeffrey I. Frey Texas Instruments Inc. University of Maryland William T. Lynch Director, Process Integration and Device John M. Pierce Richard C. Jaeger Sciences National Semiconductor Corp. Auburn University Director, Materials and Bulk Processes Mark R. Pinto Steve S.M. Kang Sciences AT&T University Of Illinois at Urbana-Champaign Peter W.J. Verhofstadt Paul Pittman David V. Kerns, Jr. Director, Design Sciences Westinghouse Electric Corp. Vanderbilt University Darius Rohan Christine M. Maziar Texas Instruments Inc. University of Texas at Austin Thomas E. Seidel Gerold W. Neudeck SEMATECH Purdue University Baylor Bunting Triplett John O’Hanlon Intel Corp. University of Arizona Michael C. Vella William G. Oldham Lawrence Berkeley National Laboratory University of California, Berkeley Marvin White David V. Overhauser National Science Foundation Duke University David S.Yaney R. Fabian W. Pease NIST Stanford University The Semiconductor Research Corporation Brighton Hail, Suite 120, 1101 Slater Road Durham, North Carolina 27703

Post Office Box 12053 Research Triangle Park, North Carolina 27709