ELECTROCHEMICAL OF METALS FOR APPLICATIONS IN INTERCONNECT METALLIZATION

by

KAILASH VENKATRAMAN

Submitted in partial fulfillment of the requirements

for the degree of Doctor of Philosophy

Thesis Advisor: Professor Rohan Akolkar

Department of Chemical & Biomolecular Engineering

CASE WESTERN RESERVE UNIVERSITY

January, 2019 CASE WESTERN RESERVE UNIVERSITY

SCHOOL OF GRADUATE STUDIES

We hereby approve the dissertation of

Kailash Venkatraman

Candidate for the Doctor of Philosophy degree*

(signed) Prof. Rohan Akolkar

(chair of the committee)

Prof. Uziel Landau

Prof. Christine Duval

Prof. Mark De Guire

Date: October 22, 2018

*We also certify that written approval has been obtained for any proprietary material contained therein.

1

DEDICATION

Dedicated to my grandparents,

Late Shri. S. Krishnaswamy and Smt. S. Sundaresan.

2

TABLE OF CONTENTS

DEDICATION ...... 2 LIST OF TABLES ...... 6 LIST OF FIGURES ...... 7 ACKNOWLEDGEMENTS ...... 13 LIST OF SYMBOLS ...... 14 CHAPTER 1. Introduction ...... 19 1.1 Motivation: To Enable Fabrication of Materials in Next-Generation Microprocessors ...... 19 1.2 Current Challenges with Interconnect Scaling and Need for Atom Scale Deposition Techniques...... 22 1.3 Prior Work on Electrochemical Atomic Layer Deposition ...... 25 1.4 Strategy: Zinc as a Sacrificial Underpotential Deposition Metal for Enabling a Novel Electrochemical Atomic Layer Deposition Process ...... 29 1.5 Cobalt as an Alternative Metal for Advanced Interconnect Metallization ...... 31 1.6 Electroless (Contactless) Atomic Layer Deposition Process for Copper ...... 33 1.7 Objectives ...... 34 CHAPTER 2. Investigation of the Transport and Kinetic Processes during Zinc Underpotential Deposition ...... 37 2.1 Introduction ...... 37 2.2 Experimental Details ...... 40 2.2.1 Materials ...... 40 2.2.2 Methods...... 41 2.3 Results and Discussion ...... 42

2.3.1 Cyclic Voltammetry Studies of Znupd on a Cu Substrate ...... 43

2.3.2 Chronoamperometry Studies of Znupd on a Rotating Disk Electrode ...... 47

2.3.3 Determination of DZn during Zinc Underpotential Deposition ...... 52 2.4 Conclusions ...... 53 CHAPTER 3. Electrochemical Atomic Layer Deposition of Copper Enabled by Zinc Underpotential Deposition ...... 55 3.1 Introduction ...... 55 3.2 Experimental Details ...... 56 3.2.1 Materials ...... 56

3

3.2.2 Methods...... 57 3.3 Results and Discussion ...... 61

3.3.1 Voltammetry Studies of Znupd on Cu and Ru Substrates ...... 61

3.3.2 Electrochemical Quartz Crystal Microgravimetry Studies of Znupd on Cu . 64 3.3.3 Feasibility Demonstration of a Novel Cu Electrochemical ALD Process .. 66 3.3.4 Mixed Potential Change during SLRR of Zn by Cu ...... 71 3.3.5 Investigation of Growth Rate during Cu Electrochemical ALD ...... 74 3.3.6 Characterizing Morphology Evolution during Cu Electrochemical ALD .. 77 3.3.7 Materials Characterization (XPS, TEM) of the Deposited Cu Films ...... 81 3.4 Conclusions ...... 84 CHAPTER 4. Investigation of the Growth Rate and Roughness Evolution during Electrochemical Atomic Layer Deposition of Copper ...... 85 4.1 Introduction ...... 85 4.2 Experimental Details ...... 88 4.2.1 Methods...... 88 4.3 Diffusion-Reaction Modeling of Electrochemical ALD of Cu ...... 90 4.3.1 Growth Rate Model for Cu Electrochemical ALD ...... 90 4.3.1.1 Rate of Cu Deposition ...... 91 4.3.1.2 Rate of Zn Underpotential Deposition...... 95 4.3.2 Morphology Evolution during Cu Electrochemical ALD ...... 99 4.3.3 Model Parameters and the Solution Algorithm ...... 100 4.4 Results and Discussion ...... 101 4.4.1 Growth Rate during Cu Electrochemical ALD: Comparing Experiments to Model Predictions ...... 101 4.4.1.1 Effect of Cu+2 Concentration ...... 101

4.4.1.2 Effect of Znupd Time ...... 102 4.4.1.3 Effect of Zn+2 Concentration ...... 104 4.4.2 Roughness Evolution during Cu Electrochemical ALD: Comparing Experiments to Model Predictions ...... 105 4.5 Conclusions ...... 108 CHAPTER 5. Electrochemical Atomic Layer Deposition of Cobalt Mediated by Zinc Underpotential Deposition...... 109 5.1 Introduction ...... 109 5.2 Experimental Details ...... 111 4

5.2.1 Methods...... 111 5.3 Results and Discussion ...... 114 5.3.1 Polarization Studies of Zn Underpotential Deposition ...... 115 5.3.2 Electrochemical Atomic Layer Deposition of Co ...... 117

5.3.3 Characterization of Electrochemical ALD Co Coverage on Ru using Zn Underpotential Deposition ...... 122 5.3.4 Electrochemical ALD of Co Growth Rate Characterization using Anodic Stripping Coulometry ...... 124 5.3.5 Roughness Evolution during Co Electrochemical ALD ...... 127 5.4 Conclusions ...... 130 CHAPTER 6. Electroless Atomic Layer Deposition of Copper Facilitated by Electroless Zinc Underpotential Deposition ...... 131 6.1 Introduction ...... 131 6.2 Experimental Details ...... 132 6.2.1 Methods...... 132 6.3 Results and Discussion ...... 134

6.3.1 Polarization Studies of GA Oxidation and Znupd ...... 135

6.3.2 Feasibility Demonstration of an Electroless (Contactless) Znupd Process in a Galvanic Two-Compartment Cell ...... 136 6.3.3 Growth Rate and Roughness Characterization during Electroless ALD .. 139 6.4 Conclusions ...... 142 CHAPTER 7. Conclusions and Future Work ...... 143 7.1 Summary and Conclusions ...... 143 7.2 Outlook and Future Work ...... 144 BIBLIOGRAPHY ...... 146

5

LIST OF TABLES

Table 4.1. Parameter values used in modeling the growth rate and roughness evolution during Cu e-ALD...... 100

Table 5.1. Charge density measured during Znupd onto e-ALD deposited Co films after varying number of e-ALD cycles. The charge density is relatively independent of the number of e-ALD Co cycles, which is an indication of minimal roughness amplification during Co e-ALD on Ru...... 127

6

LIST OF FIGURES

Figure 1.1. Aggressive scaling following Moore’s law is depicted. Technology node is defined here as half the distance between two identical devices (e.g., transistors). Smaller the node size, larger is the transistor packing density. This rapid miniaturization of transistors and interconnects is at the heart of semiconductor manufacturing...... 20

Figure 1.2. Dual damascene interconnect fabrication: (a) Deposition of SiO2; (b) Via and trench formation by a combination of wet and dry etching and lithography; (c) Barrier (TaN) and Cu seed layer deposition by PVD; (d) Bottom-up Cu electrodeposition and removal of excess Cu by chemical mechanical planarization...... 21

Figure 1.3. Challenges with state-of-the-art interconnect fabrication process: (a) PVD-Cu seed layer is non-uniform and causes void formation; (b) Future technology nodes require deposition methods for uniform, conformal seed layers where the seed layer thickness is in the 1 nm range...... 22

Figure 1.4. Schematic showing a vapor-phase atomic layer deposition (ALD) process, which involves: (a) introduction of a volatile metalorganic precursors; (b) adsorption of the metalorganic precursor onto the substrate surface in a self-terminating manner; (c) reduction of the adsorbed precursor using a reducing gas such as H2; and (d) formation of a metal monolayer onto the substrate...... 24

Figure 1.5. Electrochemical atomic layer deposition of copper mediated by sacrificial Pbupd. A monolayer of Pb is first deposited on the substrate (S) surface through underpotential deposition. During the subsequent surface limited redox replacement +2 (SLRR), the Pbupd monolayer is spontaneously replaced by nobler Cu in a Cu electrolyte...... 26

Figure 1.6. Schematic depicting the cathodic shift in reduction potential of Zn from an amine complex under alkaline (pH = 11.2) conditions...... 31

Figure 1.7. Electrical resistivity for Cu and Co employing Eq. [1.5] and the cross-over thickness is determined to be ~15 nm. The electrical resistivity of Co is lower than Cu at thicknesses below the cross-over point...... 33

Figure 2.1. Schematic representation of current vs. potential during underpotential deposition (UPD) and overpotential deposition (OPD). The phenomenon of UPD is self- terminating, enabling the growth of a monolayer of metal onto a foreign electrode surface, while overpotential deposition provides multilayered deposits with higher roughness. .. 39

Figure 2.2. Image of a Cu substrate mounted on the RDE (left) and schematic illustration of substrate preparation for RDE experiments (right): (a) RDE surface; (b) 100 nm PVD- 7

Cu substrate mounted on the RDE surface; (c) a double-sided Cu tape is used to establish contact between the Cu substrate and the RDE; (d) a masking tape is employed to control the exposed surface area (0. 785 cm2) of the Cu substrate...... 41

Figure 2.3. Schematic (left) and image (right) of RDE setup employed to study the transport-kinetics properties of Znupd. Electrolyte contained 1 mM or 10 µM ZnSO4 supported by 100 mM NH4OH. Counter electrode (CE) is a Pt wire, working electrode (WE) is a PVD Cu substrate mounted on a RDE, and the reference electrode (RE) is a saturated calomel electrode (SCE)...... 42

Figure 2.4. Cyclic voltammogram for a PVD-Cu substrate (flat electrode) immersed in 1 mM ZnSO4 with 100 mM NH4OH (red) and 100 mM NH4OH only (blue). The scan rate was 20 mV/s...... 44

Figure 2.5. Potentiostatic (–0.4 V) anodic stripping coulometry of the underpotentially deposited Zn formed in: (a) 1 mM ZnSO4 + 100 mM NH4OH (charge density ~340 2 2 μC/cm ); and (b) 10 µM ZnSO4 + 100 mM NH4OH (charge density ~200 μC/cm )...... 46

Figure 2.6. Chronoamperometry during Znupd on a Cu RDE with different rotation rates from 200–800 RPM. Electrolyte containing: 1 mM ZnSO4 + 100 mM NH4OH (pH = 11.2).

The current decays gradually with decrease in the available sites (1−θZn ) for the Znupd formation...... 48

Figure 2.7. Chronoamperometry during Znupd on a Cu RDE in an electrolyte containing 10 µM ZnSO4 + 100 mM NH4OH (pH = 11.2). (a) Three distinct regimes observed during Znupd: (i) transient diffusion regime; (ii) pseudo-steady state diffusion and self-limiting reaction; and (iii) surface saturation (self-termination). (b) Znupd current transients at various rotation rates (200–800 RPM) after correction for background H2 evolution current...... 51

Figure 2.8. Pseudo-steady state current extracted from chronoamperometry studies during Znupd on a Cu RDE (electrolyte: 10 µM ZnSO4 and 100 mM NH4OH). This pseudo-steady current density is plotted as a function of square root of the RDE rotation rate as shown in Eq. [2.6]...... 53

Figure 3.1. Schematic of the e-QCM setup employed to measure the in situ mass change during Cu e-ALD. Counter electrode (CE) is a Pt wire, working electrode (WE) is a Cu e-QCM, and Ag|AgCl is used as a reference electrode (RE)...... 59

Figure 3.2. Cyclic voltammograms for (a) PVD-Cu substrate and (b) PVD-Ru substrate in an electrolyte containing 1 mM ZnSO4 and 100 mM NH4OH. Scan rate was 20 mV/s. . 63

8

Figure 3.3. Mass gain measured on a PVD-Cu coated quartz crystal immersed in 1 mM ZnSO4 + 100 mM NH4OH electrolyte (pH = 11.2). E = –1 V vs. SHE is the reduction potential of Zn at this pH value. The potential was held constant at: (a) Vapp = –0.9 V vs. SHE where self-terminating characteristics of UPD were observed; (b) Vapp = –1.1 V vs. SHE where a steady increase in mass due to electrodeposition of Zn is observed...... 65

Figure 3.4. Schematic representation of a Cu e-ALD process, which utilizes Znupd followed by its redox replacement (SLRR) by Cu. Znupd is carried out at an applied potential of

EUPD = –0.9 V vs. SHE for 20 s and SLRR is performed under open-circuit conditions.

EOCP represents the switching potential at which the SLRR process is terminated and the subsequent e-ALD cycle begins...... 67

Figure 3.5. (a) QCM mass gain (red, left Y-axis) and electrode potential (blue, right Y-axis) during Cu e-ALD in an electrolyte containing 1 mM ZnSO4 + 20 µM CuSO4 + 100 2 mM NH4OH; (b) Stepwise QCM mass gain of ~124 ng/cm per e-ALD cycle, corresponding to ~1 monolayer of Znupd...... 70

Figure 3.6. Schematic illustration of current vs. potential during oxidation of Znupd and +2 reduction of Cu . The current due to oxidation of Znupd decreases with increase in surface coverage of e-ALD Cu, while the reduction of Cu+2 is mass transport limited and thus remains constant. The mixed potential during SLRR gradually drifts in the anodic direction from –0.9 V to –0.4 V vs. SHE, indicating that the less noble Znupd is replaced by more noble Cu...... 73

Figure 3.7. (a) Current and charge transients during stripping of Cu e-ALD (4 cycles) at 0.5 V vs. SHE in a de-oxygenated 50 mM H2SO4 electrolyte; (b) Anticipated and measured Cu e-ALD mass gain as a function of the number of e-ALD cycles, showing a linear relationship, and demonstrating the layer-by-layer growth characteristics of e-ALD...... 76

Figure 3.8. Polarization scans collected on a Ru substrate covered with various cycles (n = 1, 2, 3, 10) of Cu e-ALD. Pbupd electrolyte contained 200 μM Pb(ClO4)2 + 10 mM HClO4. Scan rate was 20 mV/s...... 78

Figure 3.9. (a) Charge density measured during stripping of underpotentially deposited Pb from the surface of an e-ALD Cu deposit. The charge density is relatively independent of the number of Cu e-ALD cycles, which indicates minimal roughness evolution during e-ALD. This is confirmed through AFM measurements (b), which too indicate that the RMS roughness does not substantially increase above the substrate roughness level during the first 10 e-ALD cycles. Inset shows the AFM line scan during various (n = 0, 4, 10) e-ALD cycles...... 80

Figure 3.10. Cross sectional TEM images of the deposited Cu films onto blanket and patterned substrates. (a) Conformal, thin e-ALD Cu film of ~3 nm deposited onto a Ru substrate and the corresponding EDS map of the elements present in the deposited stack; 9

(b) 7.5 nm thick, smooth and uniform deposit of e-ALD Cu on a blanket Ru substrate; (c) Conformal deposition of ~5 nm thick e-ALD Cu layer on a ~30 nm wide patterned trench...... 81

Figure 3.11. (a) XPS survey scan of the fabricated e-ALD Cu film with a thickness of ~10 nm; (b) XPS compositional depth profile of the deposited e-ALD Cu films at an etch rate of 0.8 Å/s showing Zn and O impurities on the surface but relatively minimal impurities (< 1 at.%) in the bulk e-ALD Cu deposit...... 83

Figure 4.1. Schematic illustration of the electrochemical ALD (e-ALD) process involving sacrificial Znupd adlayer formation (reaction 4.1) followed by spontaneous replacement of Znupd by Cuald (reaction 4.2)...... 89

Figure 4.2. (a) Cu+2 concentration profile and (b) associated Cu deposition current density (calculated using the analytical model) during the UPD step of e-ALD. Model parameters b −6 2 were: CCu = 20 µM, DCu = 6× 10 cm /s and δ = 200 µm...... 94

Figure 4.3. Schematic representation of the diffusion, reaction and incorporation processes occurring during the UPD step of e-ALD...... 96

Figure 4.4. Schematic illustration of (a) the ideal e-ALD process and (b) ‘one-cell’ e-ALD process in practice which deviates from ideality due to the Cu co-deposition reaction. .. 97

Figure 4.5. Effect of Cu+2 concentration in the e-ALD electrolyte on the Cu e-ALD growth rate expressed as the anodic stripping charge density per e-ALD cycle. Points represent experimental data and dashed line represents a prediction of the above diffusion-reaction b model. An increase in the growth rate is observed with higher CCu ...... 102

Figure 4.6. Effect of Znupd time (tupd) on the Cu e-ALD growth rate. Comparison between the experimental growth rate (data points) and that calculated employing the diffusion- reaction model proposed in the present work (dashed line). A good agreement between the experiments and model predictions is observed especially at tupd larger than 20 s...... 103

Figure 4.7. Effect of Zn+2 concentration in the e-ALD electrolyte. The points represent experimental data and the dashed line represents the diffusion-reaction model. A weak b dependence of the Cu e-ALD growth rate on CZn is observed...... 105

Figure 4.8. Correlation between experimental and modeled roughness during Cu e-ALD where the experimental roughness is measured using Pbupd (a) and AFM (b). Higher levels b of roughness are observed due to increased Cu co-deposition as CCu and tupd are increased...... 107

10

Figure 5.1. Linear sweep voltammetry scans collected on a PVD-Co substrate with 1 mM ZnSO4 supported by 100 mM NH4OH (red) and 100 mM NH4OH only (blue). Scan rate was 20 mV/s. A Znupd peak is observed at a potential of –0.77 V vs. SHE on Co...... 116

Figure 5.2. Linear sweep voltammetry scans collected on a PVD-Co substrate and a PVD- Ru substrate. Electrolyte contained 1 mM ZnSO4 supported by 100 mM NH4OH. Scan rate was 20 mV/s. Znupd peaks are observed on both substrates but potentials corresponding to peak UPD currents are separated by 150 mV...... 117

Figure 5.3. Schematic representation of an electrochemical ALD process for deposition of Co. Sacrificial Znupd (STEP-1) is carried out at an applied potential of –0.95 V vs. SHE. Spontaneous surface-limited redox replacement (STEP-2) of Znupd by nobler Co is performed under open-circuit conditions in an electrolyte with 100 mM CoSO4 at pH = 6.5...... 119

Figure 5.4. Transient response of the current density during underpotential deposition of Zn measured in STEP-1 of each electrochemical ALD cycle. Response is shown for e-ALD cycles n = 1, 2, 5 and 6...... 120

Figure 5.5. Transient response of the electrode potential during electrochemical ALD of Co mediated by the surface-limited redox replacement of sacrificial Znupd. Response is shown for e-ALD cycles n = 1, 2, 5 and 6...... 121

Figure 5.6. Linear scan voltammetry collected during Znupd onto a PVD-Ru substrate (n = 0) or on a Ru substrate covered with various cycles (n = 3, 5, 10) of Co e-ALD. Electrolyte is 1 mM ZnSO4 supported by 100 mM NH4OH. Scan rate is 20 mV/s...... 123

Figure 5.7. Current and charge densities measured during stripping of e-ALD Co at an applied potential of 0.3 V vs. SHE in deoxygenated 100 mM CoSO4 electrolyte at pH = 5. (a) Typical stripping current density transient (after n = 3 cycles of e-ALD); and (b) Stripping charge density shows a linear relationship with the number of e-ALD cycles, indicating consistent e-ALD Co growth rate...... 126

Figure 5.8. (a) RMS values indicates minimal roughness evolution during electrochemical ALD of Co; and (b) Corresponding AFM images for 0, 3 and 10 cycles of Co e-ALD. 129

Figure 6.1. Linear scan voltammograms showing the polarization behavior of (i) GA oxidation on Cu (blue); (ii) GA oxidation on Zn (red) from an electrolyte containing 190 mM GA at pH = 13, 60 °C; and (iii) Znupd on Cu (grey) from an electrolyte containing 1 mM ZnO maintained at pH = 13, 60 °C...... 136

Figure 6.2. Two-compartment cell to study electroless Znupd: (a) In the presence of external contact, Znupd is facilitated in the right-side compartment. This is confirmed by polarization measurements of the Znupd-coated Cu electrode in a separate cell with ‘GA only’ 11

electrolyte; (b) In the absence of external contact, the Cu electrode in the right-side compartment does not facilitate Znupd...... 137

Figure 6.3. Schematic of the electroless Cu e-ALD process mediated by electroless Znupd: (a) depicts the starting substrate (Ru with 1ML Cu); (b) represents the sequential cycle of electroless Znupd followed by its redox replacement by Cu; and (c) depicts anodic stripping coulometry as a means to measure the mass of the deposited electroless e-ALD Cu films...... 139

Figure 6.4. Current and charge densities measured during stripping of total Cu deposited (Cuupd + electroless Cu e-ALD) at an applied potential of 0.6 V vs. SHE in deoxygenated 50 mM H2SO4 electrolyte. (a) Typical stripping current and charge density transient (after n = 5 cycles of electroless e-ALD); and (b) Electroless e-ALD Cu stripping mass gain (after subtracting charge due to Cuupd) shows a linear relationship with the number of electroless e-ALD cycles...... 141

Figure 6.5. RMS roughness measured using AFM after various electroless e-ALD cycles. (a) AFM line scans during various (n = 0, 2, 4) e-ALD cycles; and (b) RMS values indicate that the roughness does not substantially increase above the substrate roughness level during the first 5 cycles of electroless Cu e-ALD...... 142

12

ACKNOWLEDGEMENTS

I would like to express my sincere gratitude to my advisor Prof. Rohan Akolkar for his continuous support, mentorship, his attention to details alongside spending quality time sharing knowledge, and inspiration to go the extra mile in every project. I thank him for this wonderful journey as it wouldn’t have been the same without his guidance.

I would also like to thank the rest of my thesis committee: Prof. Uziel Landau, Prof.

Mark De Guire, and Prof. Christine Duval for their insightful comments, encouragement, and for the thoughtful questions which motivated me to expand my research from various perspectives.

I want to acknowledge Yezdi Dordi and Aniruddha Joi of Lam Research

Corporation, Fremont, CA, for the incredible internship opportunity to work on various

R&D projects at Lam, CTD. I would also like to acknowledge the National Science

Foundation (grant no. 1461557) for their support.

I would like to thank my group members, Stephen, Jack, Jacob, Sherry, Dai, Adam,

Gong, Yan, Katie, and Ryan for the stimulating discussions, experiments on which we worked together, and for all the fun we have had as a part of our EMF lab family. Also, I want to thank the entire Chemical Engineering department at CWRU.

I would like to thank my parents Sumathi and Venkatraman, my brother Arun, and my fiancé Maanasa for all their love and encouragement along my Ph.D. journey. Finally,

I thank the Almighty for everything that He has given me.

13

LIST OF SYMBOLS

b +2 CCu Bulk Cu concentration, µM

-1 2 C f Sensitivity factor of QCM, Hz µg cm

b +2 CZn Bulk Zn concentration, mM

+2 CZn Concentration of Zn near the electrode surface, mM

dcrit Critical coalescence thickness, nm

Da Damköhler number, dimensionless

+2 2 DCu Diffusion coefficient of Cu , cm /s

+2 2 DZn Diffusion coefficient of Zn , cm /s

E Reduction potential, V

E0 Standard reduction potential, V

Eeq Equilibrium potential cathodic to which Znupd process begins, V

EOCP Open-circuit potential, V

∆Ep Difference in onset potentials between the underpotential and overpotential deposition, V

F Faraday’s constant, (96485 C/mol)

∆f Frequency shift measured using QCM, Hz

∆G0 Standard Gibbs free energy change, kJ/mol

2 iCu Current density associated with Cu deposition, µA/cm

2 iL Limiting current density, µA/cm

2 iZn Current density associated with Znupd, µA/cm

14 k0 Standard rate constant, cm/s

kdiff Diffusion-limited rate constant, cm/s

krxn Surface reaction rate constant, cm/s

K f Formation rate constant, dimensionless

∆m Mass change obtained using QCM, ng/cm2

[]M +n Concentration of metal ions in the electrolyte, M

n Number of electrons transferred during deposition

n Number of electrochemical ALD cycles

-2 N0 Nucleation density, cm

rxn 2 NZn Rate of Znupd formation, mol/cm s

inc 2 NZn Rate of Zn incorporation, mol/cm s

2 QALD Charge due to atom-to-atom replacement of Zn by Cu, µC/cm

2 QCu, plating Charge density owing to diffusion-limited Cu deposition, µC/cm

2 QPb Charge density due to Pbupd, µC/cm

flat 2 QPb Charge density due to Pbupd on a smooth Cu electrode, µC/cm

2 QZn Charge density associated with Znupd, µC/cm

R Universal gas constant (8.314 J/mol K)

T Temperature, K

t Time, s tupd Znupd time, s

Vapp Applied voltage, V

x Film thickness, nm 15

Greek

αc Cathodic charge transfer coefficient

2 ΓZn Saturation surface concentration of Zn, mol/cm

δ Diffusion boundary layer thickness, µm

ηa Activation overpotential, V

ηΩ Ohmic overpotential, V

θZn Znupd surface coverage, dimensionless

λ Electron mean free path, nm

ν Kinematic viscosity, cm2/s

ξ Efficiency parameter for the Cu e-ALD process, dimensionless

ΦS Work function of the substrate, eV

ΦM Work function of the underpotentially depositing metal adatom, eV

AFM ϕexp Root mean square roughness measured by AFM, nm

UPD ϕexp Experimental roughness factor measured by Pbupd, dimensionless

ϕmod Modeled roughness factor, dimensionless

ρ Electrical resistivity, µΩ-cm

ρ0 Bulk electrical resistivity, µΩ-cm

τ Time constant, s

ω Angular velocity, rad/s

16

Electrochemical Atomic Layer Deposition of Metals for Applications in Semiconductor Interconnect Metallization

Abstract

by

KAILASH VENKATRAMAN

High-performance microprocessors and memory devices require miniaturized

copper (Cu) interconnects that carry electrical signals to circuit elements such as

transistors. Conventionally, these nanoscale Cu interconnects are fabricated using

electrodeposition; however, with the continued scaling of the interconnects below 10 nm,

electrodeposition does not allow the requisite atomic-scale control over the interconnect

fabrication process. As a result, future interconnect fabrication will require novel materials fabrication techniques. Vapor-phase atomic layer deposition (ALD) is a promising alternative to replace the conventional electrodeposition approach; however, a major

drawback of the vapor-phase Cu ALD process is that it uses metalorganic precursors which

are highly unstable, undergo decomposition and thus introduce contaminants in the metal

deposit. To address such critical issues, we pursue here the development of an

electrochemical atomic layer deposition (e-ALD) process which utilizes benign liquid-

phase precursors in combination with electrode potential manipulation for the deposition

of atomic-scale metal films.

In the present work, a novel electrochemical atomic layer deposition process for

copper (Cu) and cobalt (Co) is developed. The process is mediated by zinc underpotential

17

deposition (Znupd) which serves as a sacrificial adlayer. Zn underpotential deposition is

studied using cyclic voltammetry and quartz crystal microbalance. Chronoamperometry

studies on a rotating disk electrode provide insights into the diffusion-reaction properties

of the Znupd process. A general strategy for e-ALD is developed which involves deposition

of a sacrificial monolayer of Zn via underpotential deposition followed by its spontaneous redox replacement (SLRR) by the desired noble metal (Cu or Co). UPD+SLRR cycles are repeated to build multi-layered metal deposits with controlled thickness in the sub-nm range and minimal surface roughness amplification.

Layer-by-layer growth mode of Cu e-ALD is experimentally confirmed using quartz crystal microgravimetry and anodic stripping coulometry. Through considerations of the unsteady-state diffusional transport of species and the time-dependent surface redox reactions, a semi-analytical e-ALD process model is developed. The developed model provides quantitative information about the e-ALD growth rate and the deposit surface roughness as a function of various e-ALD process parameters, i.e., electrolyte composition and deposition time. Model predictions are compared to experimental measurements of the growth rate and deposit roughness.

Furthermore, electroless Cu e-ALD process is developed in which the sacrificial

Znupd step can be facilitated without applying an external potential to the substrate. This

allows e-ALD to be used when the substrate is highly-resistive or contains electrically-

isolated micro-patterned features.

18

CHAPTER 1. Introduction

1.1 Motivation: To Enable Fabrication of Materials in Next-Generation

Microprocessors

Moore’s law dictates that future nanoelectronics devices will require aggressive

miniaturization of transistors and interconnects1. Modern microprocessors already consist

of billions of transistors which perform the logic functions, and these transistors are electrically connected through a complex network of nano-scale copper (Cu) interconnects.

According to Moore’s law, the number of transistors in a semiconductor device approximately doubles every two years1. To accommodate the increase in the transistor areal density, the size of the current carrying interconnects must also be reduced (Fig. 1.1).

State-of-the-art interconnect fabrication enables interconnect dimensions of ~10 nm; however, in the future, interconnects with atomic-scale (approaching 1 nm) dimensions would be required. This aggressive scaling of interconnects necessitates development of new materials fabrication methods2–6.

19

Figure 1.1. Aggressive scaling following Moore’s law is depicted. Technology node is defined here as half the distance between two identical devices (e.g., transistors). Smaller the node size, larger is the transistor packing density. This rapid miniaturization of transistors and interconnects is at the heart of semiconductor manufacturing.

Copper interconnects in the modern integrated circuits are manufactured using the well-known ‘dual damascene’ process7–9 which is shown in Fig. 1.2. This process involves

numerous process steps. It starts with the deposition of a dielectric layer such as porous

dioxide (SiO2). Then, the dielectric layer is patterned using in

which a combination of dry and wet etching steps create via and trench structures.

Lithography is followed by the deposition of a layer (Ta/TaN) using

physical vapor deposition (PVD). Additionally, a seed layer of Cu is deposited using PVD

20

which provides a conductive surface for the subsequent electrodeposition step. The

patterned via and trench are ‘metallized’ with Cu through a ‘bottom-up’ electrodeposition

process. Finally, the excess Cu in the ‘field’ regions is etched and polished using chemical

mechanical planarization (CMP). The dual damascene process can be repeated to build a

multilayered network of Cu interconnects.

Figure 1.2. Dual damascene interconnect fabrication: (a) Deposition of SiO2; (b) Via and trench formation by a combination of wet and dry etching and lithography; (c) Barrier (TaN) and Cu seed layer deposition by PVD; (d) Bottom-up Cu electrodeposition and removal of excess Cu by chemical mechanical planarization.

21

1.2 Current Challenges with Interconnect Scaling and Need for Atom Scale

Deposition Techniques

As explained in section 1.1, continued miniaturization of Cu interconnects is

essential in modern microelectronic devices to obtain superior device performance.

Furthermore, aggressive interconnect scaling below 10 nm poses challenges to defect-free

Cu interconnect metallization. As the feature dimension shrinks below 10 nm, the PVD-

Cu seed layer poses several issues such as poor step coverage10. As a consequence of line- of-sight PVD, excess Cu is preferentially deposited near the via rim allowing little space for the subsequent electrodeposition step11,12 as shown in Fig. 1.3a. Additionally, this non-

uniform PVD Cu deposition causes formation of voids – a major source of device failures.

Thus, next-generation interconnect fabrication critically requires technologies for

fabrication of thin conformal deposits of Cu with precise atomic-scale control over thickness and surface morphology as illustrated in Fig. 1.3b.

Figure 1.3. Challenges with state-of-the-art interconnect fabrication process: (a) PVD-Cu seed layer is non-uniform and causes void formation; (b) Future technology nodes require deposition methods for uniform, conformal seed layers where the seed layer thickness is in the 1 nm range.

22

Vapor-phase atomic layer deposition (ALD) is a promising alternative to replace

the traditionally used processes such as PVD. ALD involves the assembly of metal

monolayers, one atomic layer at a time13,14 as shown in Fig. 1.4. ALD was primarily used

15 16 for fabricating thin oxide films such as Al2O3 and TiO2 . More recently, ALD of metal

films such as Cu17, Co18 and Ru19,20 has gained considerable interest to meet the demands

of the semiconductor industry. The Cu ALD process, as an example, starts with the exposure of a substrate to a metalorganic precursors such as copper (II) acetylacetonate

[Cu(acac)2] which adsorbs on the substrate in a self-limiting manner creating an adsorbed

monolayer of the precursor molecules on the substrate surface. Subsequently, a reducing

gas such as molecular hydrogen (H2) is introduced to react with organic ligands attached to

the adsorbed precursors, providing an atomic layer of reduced Cu at the end of one ALD

cycle. This sequential pulsing of precursors moieties followed by reducing gas enables the

growth of thin metal films with precise atomic-scale control over the deposit properties.

However, some of the shortcomings of the conventional metal ALD processes are the use

of highly unstable and expensive metalorganic precursors21 which decompose at high

temperature. Furthermore, incorporation of impurities such as carbon (C) and oxygen (O)22

in the metal films is a major concern because it leads to an increase in electrical resistivity

of the deposited film.

23

Figure 1.4. Schematic showing a vapor-phase atomic layer deposition (ALD) process, which involves: (a) introduction of a volatile metalorganic precursors; (b) adsorption of the metalorganic precursor onto the substrate surface in a self-terminating manner; (c) reduction of the adsorbed precursor using a reducing gas such as H2; and (d) formation of a metal monolayer onto the substrate.

In contrary to traditional vapor-phase ALD, electrodeposition is a benign, low-cost

and high throughput technique to metallize Cu interconnects. Conventionally, the Cu

plating bath consisted of Cu salts supported with H2SO4 and typically a proprietary

combination of additives (suppressors and accelerators) which enable the bottom-up filling

process23–25; however, one of the major drawbacks of this process is the poor nucleation when electrodepositing a metal onto a foreign substrate, e.g., ruthenium (Ru)26. Typical

nucleation densities ( N0 ) during Cu electrodeposition on a Ru substrate range between

8 12 2 27–36 12 2 10 –10 nuclei/cm . Assuming N0 = 10 nuclei/cm , the critical coalescence

24

1 thickness assuming hemispherical nuclei is given by: d = . Thus, the crit  2 N0 

coalescence thickness of the Cu film is estimated to be 5 nm. This implies that, when

smooth and coalesced films of thickness ~1 nm are desired, electrodeposition onto a foreign

electrode surface is not a suitable fabrication method. The nucleation and growth

characteristics of electrodeposition do not allow adequate atomic-scale control over deposit

properties such as roughness. This highlights the need for developing an electrochemical

version of atomic layer deposition (e-ALD) which would combine the benefits of traditional ALD (atom-scale control) and electrodeposition (use of low-cost, benign liquid- phase precursor salts). An e-ALD process employing the phenomenon of underpotential deposition (UPD) is discussed in detail in the section below.

1.3 Prior Work on Electrochemical Atomic Layer Deposition

The deposition of ultra-thin films of metals and compound using

electrochemical ALD (e-ALD) is of great interest in a variety of different applications

including nano-electronics37,38, electrocatalysis39–41 and energy conversion42,43. Unlike

vapor-phase ALD, e-ALD offers high throughput, low-cost, and scalable manufacturing of

nanomaterials.

The traditional e-ALD approach, explained here using the example of Cu metal

deposition, begins with the formation of a sacrificial monolayer of lead (Pb) onto a foreign

substrate (Pt, Au or Ru) via underpotential deposition (UPD). Pb UPD is performed in a

Pb+2-containing electrolyte. UPD leverages the energetically favorable interactions

25

between the depositing metal (Pb) and the substrate thereby facilitating the self-limiting growth of a single atomic layer (monolayer) of the UPD metal onto the substrate surface.

Pbupd adlayer formation proceeds via the electrochemical reduction reaction:

+−2 Pb(aq) +→2 e Pbupd [1.1]

+2 After Pbupd formation, the electrode is transferred to a Cu -containing electrolyte which

0 triggers spontaneous ( ∆G < 0 ) surface-limited redox replacement (SLRR) of Pbupd by the

nobler Cu:

++2 20 Pbupd + Cu(aq) →+ Cuald Pb(aq) ∆ G < 0 [1.2]

Figure 1.5. Electrochemical atomic layer deposition of copper mediated by sacrificial Pbupd. A monolayer of Pb is first deposited on the substrate (S) surface through underpotential deposition. During the subsequent surface limited redox replacement +2 (SLRR), the Pbupd monolayer is spontaneously replaced by nobler Cu in a Cu electrolyte. 26

Over the last two decades, the e-ALD process described above has been utilized for

deposition of a variety of metals, including Pt44–47, Cu37,48–50, Pd51, Ru38, Ag52–54, Au55,

Ge56, and some semiconductor compounds43,57,58. Also, electrochemical ALD processes

have been offered in many configurations, namely ‘multiple immersion’52, ‘flow-cell’51,

‘one-pot’49,50 and ‘electroless’59,60 as detailed below:

(i) Brankovic et al. developed the ‘multiple immersion’ approach for e-ALD of noble

metals such as Ag, Pt and Pd using redox replacement of underpotentially deposited

Cu adlayers52. In this technique, separate electrolytes were utilized for performing

the UPD and the SLRR steps. Consequently, atomic layers of Ag were fabricated

by shuttling the working electrode (WE) between the UPD electrolyte (Cu+2) and

the SLRR electrolyte (Ag+). This technique was primarily applied to fabricate fuel

cell catalysts. Several other researchers have utilized this concept to develop core-

shell electrocatalysts for electrochemical reactions such as methanol oxidation,

hydrogen and oxygen evolution39–41.

(ii) Following the aforementioned ‘multiple immersion’ approach, Colletti et al. first

introduced the ‘flow-cell’61 technique for enabling e-ALD of several metals and

compound semiconductors37,38,56,57. In this technique, a flow cell automates the

switching of electrolytes between the UPD and SLRR step. However, this cell

suffers from major drawbacks such as cross-contamination of the UPD and SLRR

electrolytes during switching as well as difficulties associated with scaling the flow

cell to large-area substrates such as 300 mm wafers.

27

(iii) Viyannalage et al. first developed the ‘one-pot’ approach for electrochemical ALD

of Cu on Au and Ag substrates49. In their work, the Pb+2 and Cu+2 precursor ions

were present in the same electrolyte. This approach eliminates the need for

electrolyte switching. Additionally, by employing electrolytes with extremely low

(typically in the μM range) Cu+2 concentrations, Cu electrodeposition during the

45 49 55 Pbupd step was minimized. To date ‘one-pot’ e-ALD of Pt , Cu , and Au has

been accomplished. Additionally, this technique provided higher efficiencies and

better control over the deposit characteristics in comparison to other approaches;

however, this approach employs Pb+2 containing chemistries that are undesirable in

practical applications due to their toxicity concern.

(iv) More recently, electroless surface limited redox replacement (ESLRR) has been

attempted. Cappillino et al. reported atomic-layer electroless deposition by

hydrogen (H) assisted modification of a palladium (Pd) electrode followed by

substitution of the surface PdH layer by a more noble metal60. Furthermore,

Ambrozik et al. developed an electroless-like approach for the SLRR of Ag59 and

Pt62 employing ‘executive’ Pb counter electrode rather than direct electrode

potential manipulation. However, these techniques have their own disadvantages:

(i) deposition limited to more noble metals (Pt, Cu, Rh); and (ii) need for external

electrical contact during the electroless UPD layer formation when using

‘executive’ counter electrodes.

28

1.4 Strategy: Zinc as a Sacrificial Underpotential Deposition Metal for Enabling a

Novel Electrochemical Atomic Layer Deposition Process

While the processes described above demonstrate the feasibility of electrochemical

ALD of several metals using Pbupd or Cuupd, the focus of our proposed work is to develop

a novel Cu e-ALD process that does not use Pb+2-containing chemistries. Instead of Pb, a benign alternative based on Zn was utilized for developing a Cu e-ALD process in a ‘one-

cell’ configuration. The Pb-free e-ALD process is attractive for the following reasons: (i)

use of benign precursors such as Zn (instead of Pb) for the UPD step makes the process

commercially viable; (ii) offers higher e-ALD growth rate and better control over deposit

characteristics such as roughness; (iii) use of Zn provides a wider potential window for the

deposition of more active metals such as Co which is not possible via conventional Pbupd

based approaches; and (iv) incorporation of small amounts of Zn provide superior

resistance of the deposited e-ALD Cu films63. While the proposed

approach of e-ALD is pertinent to semiconductor interconnect metallization, the technique

itself can also be applied to fabrication of core-shell electrocatalysts and alloy

electrocatalysts for energy applications such as fuel-cells.

Furthermore, the alkaline electrolyte employed for Znupd-mediated Cu e-ALD was chosen based on the following criteria:

(i) In alkaline electrolytes, the hydrogen evolution reaction is kinetically suppressed

compared to that in acidic media. Thus, in spite of the fact that hydrogen evolution is

29

thermodynamically feasible during Zn deposition, its rate is relatively small when

using alkaline electrolytes.

(ii) In a ‘one-cell’ configuration, the sacrificial metal ions (Zn+2) and the growing metal

ions (Cu+2) are present in the same electrolyte. Since this electrolyte has pH in the

alkaline range, it necessitates the use of complexing agents to stabilize the Zn+2 and

+2 Cu from precipitating as oxides. The choice of NH4OH facilitates stable metal

+2 +2 amine complexes. The formation constants ( K f ) of [Zn(NH3)4] and [Cu(NH3)4]

were found to be 2.9× 109 and 1.1× 1013 respectively64, i.e., indicative of complex

stability. From such complexes, deposition reactions proceed as:

+2 − +− [Zn ( NH34 )]+ 4 H2 O + 2 e ⇔+ Zn 4 NH4 + 4 OH [1.3]

+2 − +− [Cu ( NH34 )]+ 4 H2 O + 2 e ⇔+ Cu 4 NH4 + 4 OH [1.4]

The equilibrium potentials of reactions [1.3] and [1.4] are substantially cathodically shifted in alkaline electrolytes because of the involvement of hydroxyl ions which tend to shift the equilibrium to the left. This is commonly observed in Pourbaix diagrams of Cu and Zn at higher values of pH.

30

Figure 1.6. Schematic depicting the cathodic shift in reduction potential of Zn from an amine complex under alkaline (pH = 11.2) conditions.

1.5 Cobalt as an Alternative Metal for Advanced Interconnect Metallization

A well-known problem with the continued shrinkage in the size (cross-sectional area) of semiconductor interconnects is their increasing electrical resistance. The interconnect resistance R increases dramatically especially for Cu interconnect dimensions below 40 nm due to the substantial increase in the electrical resistivity of Cu. This increase in resistivity is attributed to electron scattering processes at grain boundaries (Mayadas-

Shatzkes)65 and at interfaces (Fuchs66 and Sondheimer67) within the Cu as shown in

Eq. [1.5]. However, at narrow dimensions, i.e., below 10 nm, Co exhibits comparable or lower electrical resistivity compared to Cu as shown in Fig. 1.7, because of the lower

31 electron mean free path in Co compared to that in Cu (EMFP = 7–12 nm at room temperature for Co)68.

33λλR ρρ=0 11 +−( p) + [1.5] 8x 21− Rx

In Eq. [1.5], ρ is the electrical resistivity, ρ0 is the bulk electrical resistivity (for Cu,

ρ0 = 1.68 µΩ-cm at room temperature), p is the specularity parameter (0 < p < 1), R is the reflection coefficient for electrons scattering at the grain boundaries (0 < R < 1), λ is the electron mean free path, and x is the film thickness.

Thin films (< 10 nm) of Co are fabricated using a variety of techniques, e.g., physical vapor deposition (PVD)69,70, chemical vapor deposition (CVD)71–73, vapor-phase atomic layer deposition (ALD)18,74–76, and electroless deposition77,78. PVD, CVD, and ALD processes have several drawbacks including the use of expensive sputtering targets, unstable metalorganic precursors and deposit contamination. Electroless Co deposition too has drawbacks such as the use of toxic reductants, e.g., formaldehyde or hydrazine.

Additionally, if electroless Co deposition is performed using relatively benign reductants such as dimethylamine borane or sodium hypophosphite, incorporation of boron or phosphorus impurity during deposition renders the Co deposits amorphous and with high electrical resistivity. Thus, in the present work, an electrochemical atomic layer deposition process for Co employing sacrificial Znupd is developed. This technique employs low-cost, benign liquid-phase precursors that allow Co deposition with precise atomic-level control

32

over thickness and deposit morphology, enabling the fabrication of nano and atom-scale

Co films for potential applications in advanced interconnect metallization.

Figure 1.7. Electrical resistivity for Cu and Co employing Eq. [1.5] and the cross-over thickness is determined to be ~15 nm. The electrical resistivity of Co is lower than Cu at thicknesses below the cross-over point.

1.6 Electroless (Contactless) Atomic Layer Deposition Process for Copper

Despite its numerous attractive features, the proposed ‘one-cell’ Cu e-ALD process suffers from one major drawback that may limit its use in certain applications. Substrates encountered in semiconductor metallization are often highly resistive or contain micro- patterned features. As a consequence, precise and uniform application of a predetermined electrode potential (as needed in the UPD step of e-ALD) to all the electro-active regions of a resistive or micro-patterned substrate may be challenging or even impractical.

33

Therefore, development of a UPD process in which controlling the substrate’s potential externally using a potentiostat is not required (‘electroless’ or ‘contactless’) is of interest.

In the present work, an electroless Znupd process is developed employing glyoxylic acid as a reducing agent. Subsequently, the spontaneous SLRR of electroless-deposited Znupd by a more noble Cu layer then enables electroless Cu ALD in which external potential control of the substrate is not required.

1.7 Objectives

Specific objectives of the present work are:

1) Characterize the transport and kinetics processes during zinc underpotential

deposition using rotating disk electrode studies;

2) Develop a novel electrochemical atomic layer deposition process for fabricating

copper and cobalt nano-layers employing zinc underpotential deposition;

3) Develop a comprehensive diffusion-reaction model for electrochemical atomic

layer deposition of copper to characterize the growth rate and roughness

evolution as a function of various process variables (i.e., electrolyte

composition and underpotential deposition time);

4) Demonstrate the feasibility of electroless zinc underpotential deposition to

facilitate electroless atomic layer deposition of copper.

34

In Chapter 2 of this dissertation, the Znupd process is investigated in detail using cyclic voltammetry and chronoamperometry on a rotating disk electrode (RDE). Furthermore, chronoamperometry studies on a RDE will provide insights into the transport and kinetics

properties associated with Znupd.

In Chapter 3, a novel ‘one-cell’ electrochemical ALD process for Cu is developed

employing Znupd. The e-ALD process, its growth rate and deposit roughness characteristics are investigated using electroanalytical techniques such as cyclic voltammetry, quartz crystal micro-gravimetry, and anodic stripping coulometry in combination with atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM).

In Chapter 4, diffusion-reaction modeling is utilized to quantify the growth rate and roughness evolution during Cu e-ALD as a function of various process variables. Model predictions are rigorously compared to experimental data and a strategy to determine optimal e-ALD process conditions is developed.

In Chapter 5, the e-ALD approach developed in Chapter 3 is extended to other active metals. The specific case of e-ALD Co deposition is discussed. Optimal conditions for Co e-ALD are identified. The e-ALD deposition rate and deposit roughness are characterized.

35

In Chapter 6, electroless (contactless) Znupd and Cu e-ALD processes are developed for extending e-ALD to substrates that are highly resistive or contain electrically isolated features.

Chapter 7 summarizes the key conclusions of this work and provides an outlook for future research.

36

CHAPTER 2. Investigation of the Transport and Kinetic Processes during Zinc Underpotential Deposition

2.1 Introduction

Underpotential deposition (UPD) is the phenomenon of deposition of a monolayer of a metal (M) onto a foreign substrate surface (S) at electrode potentials positive to the

79,80 standard reduction potential ( E0 ) of the metal . The phenomenon of UPD is observed

when the specific interactions between the depositing metal and the substrate (M–S) is

more favorable than the metal-to-metal (M–M) interactions. One of the prominent features

of UPD is its self-limiting behavior, i.e., the UPD reaction stops once the surface is

completely covered with an adlayer of the depositing metal atoms. As a result, the

deposition of the metal is usually limited to a single atomic layer. Since UPD relies on the

favorable interactions between the depositing metal and the substrate, it enables deposition

of metal monolayers with high surface atom densities81–83. UPD is widely used to alter surfaces to provide enhanced catalytic activity for applications in electrocatalysis39,40,84,85

and in characterizing the active electrochemical surface area of electrodes86–88. Over the

last two decades, electrochemical atomic layer deposition (e-ALD) has been used in the fabrication of thin metal films37,49,52 using the concept of UPD and surface-limited redox

replacement (SLRR) of the UPD layer by a more noble metal such as Cu, Pt, Ag.

Kolb et al.79 developed an empirical model for the underpotential deposition shift

( ∆Ep ) between adlayer deposition and bulk deposition which is given by Eq. [2.1]. ∆Ep

represents the difference in onset potential at which a metal monolayer deposits onto a

37

foreign substrate and that at which bulk electrodeposition begins. This shift in the potential

is characterized using the difference in work functions of the substrate ( Φs ) and the metal

being deposited ( ΦM ). Thus, for a given S and M, ∆Φ = ΦSM− Φ .

∆Ep =0.5 ∆Φ [2.1]

Fig. 2.1 illustrates a representative polarization scan for the underpotential (UPD) and overpotential deposition (OPD) of a metal onto a foreign electrode surface. A classic example of the reduction of metal species M+−n +↔ ne M is given by the Nernst equation

as shown in Eq. [2.2].

RT 1 EE=0 − log + [2.2] nF [M n ]

where E is the reduction potential of the reaction under specific conditions, E0 is the

standard reduction potential of the reaction under standard conditions, R is the ideal gas

constant, T is the temperature at which the reaction is carried out, n is the number of

electrons transferred during the reduction of the metal species, F is Faraday’s constant,

[]M +n is the concentration of metal ions in the electrolyte.

During a polarization scan as shown in Fig. 2.1, as the electrode potential is scanned cathodic to the reduction potential, an increase in the current is observed due to bulk electrodeposition of the metal M. This condition leads to multilayered deposits which are

typically rough and not atomically flat. In contrast, UPD occurs at potentials positive to the

38

reduction potential (i.e., EUPD  E ). Due to the favorable interactions between the

substrate and the depositing adatoms, a monolayer of the metal M is formed in a self- limiting manner (2D monolayer growth).

Figure 2.1. Schematic representation of current vs. potential during underpotential deposition (UPD) and overpotential deposition (OPD). The phenomenon of UPD is self- terminating, enabling the growth of a monolayer of metal onto a foreign electrode surface, while overpotential deposition provides multilayered deposits with higher roughness.

Zn exhibits underpotential deposition (Znupd) behavior on various substrates such

50,89,90 91 91 92 93 94,95 as Cu , Ru , Co , Ni , Pt , and Au . In this chapter, the phenomenon of Znupd is

studied using cyclic voltammetry and chronoamperometry on a copper (Cu) rotating disk

electrode (RDE) to gain insights into the transport and kinetics properties associated with

39

the Znupd process. This fundamental understanding of the diffusion and reaction properties of Znupd is useful in designing the electrochemical atomic layer deposition (e-ALD) process discussed in Chapters 3 and 5. Additionally, the transport and kinetics parameters of Znupd

determined in this chapter aid in the comprehensive e-ALD model development discussed

in Chapter 4.

2.2 Experimental Details

2.2.1 Materials

Aqueous electrolytes containing 1 mM and 10 μM zinc sulfate heptahydrate

(ZnSO4.7H2O, Sigma Aldrich, >99.995% purity) supported by 100 mM ammonium

hydroxide (NH4OH, Fisher Scientific) was utilized to study the characteristics of Znupd on

a Cu electrode. The pH of the electrolyte was 11.2. All electrolytes were prepared utilizing

analytically pure chemical reagents and de-oxygenated 18 MΩ-cm de-ionized (DI) water.

Argon (Ar) gas was bubbled through the electrolyte for 1 hr to remove dissolved oxygen.

Some of the experiments were performed in a glove box, providing a controlled

environment with [O2] < 1 ppm. As shown in Fig. 2.2, a sputter deposited 100 nm PVD-

Cu substrate mounted on the RDE was used as the working electrode (WE) for the

chronoamperometry experiments. Process employed for preparing the WE is shown in

Fig. 2.2. The WE surface area was controlled using a masking tape which provided an

exposed electrode surface area of 0.785 cm2. The Cu RDE was cleaned with ethanol and

then rinsed thoroughly with DI water before drying under a stream of nitrogen (N2) gas.

40

Finally, the Cu RDE was pre-treated in an electrolyte containing 2 M sulfuric acid (H2SO4,

Fisher Scientific) for a min to remove surface oxides prior to experiments.

Figure 2.2. Image of a Cu substrate mounted on the RDE (left) and schematic illustration of substrate preparation for RDE experiments (right): (a) RDE surface; (b) 100 nm PVD- Cu substrate mounted on the RDE surface; (c) a double-sided Cu tape is used to establish contact between the Cu substrate and the RDE; (d) a masking tape is employed to control the exposed surface area (0. 785 cm2) of the Cu substrate.

2.2.2 Methods

Cyclic voltammetry (CV) and chronoamperometry during Znupd were performed in

a three-electrode electrochemical cell consisting of Cu as the working electrode. A

platinum (Pt) wire served as the counter electrode, and a SCE (0.241 V vs. SHE, Pine

Research) was used as the reference electrode. A schematic representation and image of

the experimental setup is shown in Fig. 2.3. CV scans were collected at the scan rate of

20 mV/s. For the chronoamperometry measurements, a potential of –0.9 V (vs. SHE) was

applied to the working electrode for 60 s. The RDE rotation rates employed in this work 41

were in the range of 200−800 RPM. A Solartron model 1287A potentiostat/galvanostat

was used for all electroanalytical measurements. All potentials reported below are with

respect to a SHE reference.

Figure 2.3. Schematic (left) and image (right) of RDE setup employed to study the transport-kinetics properties of Znupd. Electrolyte contained 1 mM or 10 µM ZnSO4 supported by 100 mM NH4OH. Counter electrode (CE) is a Pt wire, working electrode (WE) is a PVD Cu substrate mounted on a RDE, and the reference electrode (RE) is a saturated calomel electrode (SCE).

2.3 Results and Discussion

This section discusses first some characteristic features of Znupd on Cu. Then,

chronoamperometry measurements provide access to transport and kinetics parameters

associated with Znupd.

42

2.3.1 Cyclic Voltammetry Studies of Znupd on a Cu Substrate

Cyclic voltammetry was employed to study the behavior of Znupd on a PVD-Cu substrate (flat electrode). The Znupd electrolyte consisted of 1 mM ZnSO4 supported by

100 mM NH4OH (pH = 11.2). The reduction potential of Zn in an alkaline medium is

–1 V vs. SHE, suggesting that electrodeposition of Zn proceeds at potentials cathodic to

–1 V vs. SHE. To avoid Zn electrodeposition in CV measurements, the cathodic potential limit was set to –1 V vs. SHE. The electrode potential was scanned from –0.3 V to –1 V

(vs. SHE) in the cathodic scan direction. For reference, background voltammogram in the absence of Zn+2 in the electrolyte is also shown (Fig. 2.4). In the absence of Zn+2 ions (blue

curve) and at cathodic potentials approaching –1 V vs. SHE, a sharp rise in the current is

observed due to hydrogen evolution on a Cu substrate. In contrast, in the presence of Zn+2

ions in the electrolyte, two cathodic peaks were observed at –0.67 V and –0.8 V corresponding to the underpotential deposition of Zn onto a Cu electrode surface89,96. The

splitting of the cathodic UPD peaks, although presently unclear, is likely to be associated

with the polycrystalline nature of the PVD-Cu substrate, as observed commonly in many

97,98 other UPD systems . During the reverse scan (anodic direction), corresponding Znupd

stripping peaks are observed at –0.67 V and –0.51 V (vs. SHE). Moreover, hydrogen co-

evolution (red curve) is significantly suppressed on a Znupd covered Cu substrate because

of the very low exchange current density for H2 evolution on Zn. Thus, in subsequent

chronoamperometry experiments, a potential of –0.9 V vs. SHE was chosen to facilitate

Znupd while avoiding Zn electrodeposition.

43

Figure 2.4. Cyclic voltammogram for a PVD-Cu substrate (flat electrode) immersed in 1 mM ZnSO4 with 100 mM NH4OH (red) and 100 mM NH4OH only (blue). The scan rate was 20 mV/s.

To quantify the charge density associated with Znupd on a Cu electrode, Zn was underpotentially deposited onto the electrode surface at a constant potential of

–0.9 V vs. SHE, followed by performing potentiostatic stripping coulometry at

–0.4 V vs. SHE at which complete stripping of the Znupd layer is expected. This is shown

in Fig. 2.5. The choice of –0.4 V was based on the Pourbaix diagram, which indicates

that hydrogen evolution is thermodynamically prohibited at this potential in alkaline

(pH = 11.2) solutions. The measured charge density in Fig. 2.5a thus corresponds solely to

2 the stripping of the Znupd layer and was determined to be 340 μC/cm . This corresponds

to a Zn surface molar density of 1.76× 10−9 mol/cm2.50 ∼

44

Furthermore, Znupd experiments were also performed in an electrolyte containing 10 µM

ZnSO4 supported by 100 mM NH4OH (pH = 11.2). For this low concentration condition, the charge density was determined to be 200 μC/cm2 (~10-9 mol/cm2) as shown in Fig.

2.5b. This charge density was relatively∼ low compared to the Znupd charge density determined at the higher concentration (1 mM).

45

Figure 2.5. Potentiostatic (–0.4 V) anodic stripping coulometry of the underpotentially deposited Zn formed in: (a) 1 mM ZnSO4 + 100 mM NH4OH (charge density ~340 2 2 μC/cm ); and (b) 10 µM ZnSO4 + 100 mM NH4OH (charge density ~200 μC/cm ).

46

2.3.2 Chronoamperometry Studies of Znupd on a Rotating Disk Electrode

As described in the experimental section (2.2.2), Znupd studies were also performed

under potentiostatic conditions on a Cu RDE. Electrolytes consisting of different

concentrations (1mM and 10 μM) of ZnSO4 supported by 100 mM NH4OH (pH = 11.2)

were utilized for these studies. The RDE provides better control over hydrodynamics than

stagnant electrolytes99. The boundary layer thickness (δ ) can be precisely controlled

through the rotation rate of the RDE per Eq. [2.3]100.

111 − 362 δ=1.61 DZn ων [2.3]

+2 In Eq. [2.3], DZn is the diffusion coefficient of Zn , ω is the angular velocity, and

ν is the kinematic viscosity of the electrolyte (0.01 cm2/s). As discussed in the CV studies

above, Zn was underpotentially deposited onto the Cu RDE at an applied potential of

−0.9 V (vs. SHE) at various rotation rates (200−800 RPM). The current transients recorded during chronoamperometry are shown in Fig. 2.6. The current gradually decreases when

the available surface for Znupd formation (1−θZn ) gradually decreases. It is worthwhile to

+2 b note that at higher concentrations of Zn ( CZn = 1 mM), the measured current transients

during Znupd were independent of the RDE rotation rate. This signature suggests that, at

+2 +2 high concentrations of Zn , the Znupd process is likely not controlled by transport of Zn

to the electrode surface but is controlled by the surface reaction kinetics. Independent of

the RDE rotation rate, the time constant (i.e., time required to reach 63.2% of final steady-

state Znupd current) for the Znupd process was determined to be ~0.6 s.

47

Figure 2.6. Chronoamperometry during Znupd on a Cu RDE with different rotation rates from 200–800 RPM. Electrolyte containing: 1 mM ZnSO4 + 100 mM NH4OH (pH = 11.2).

The current decays gradually with decrease in the available sites (1−θZn ) for the Znupd formation.

Assuming a first-order adsorption kinetics, the rate of accumulation of Znupd onto the

electrode surface is given by:

dθ Γ=Zn kC b (1 −θ ) [2.4] Zn dt rxn Zn Zn

b +2 In Eq. [2.4], CZn is the bulk Zn concentration, θZn is the surface coverage of Znupd, and

krxn is the reaction rate constant for Znupd, ΓZn is the saturation surface coverage of Zn

Q ( Γ=Zn =1.76 × 10−9 mol/cm2 for Zn+2 concentration of 1 mM). Zn nF

48

Eq. [2.4] can be integrated with the initial condition: at t = 0 , the Znupd surface coverage

θZn = 0 . Thus, surface coverage of Znupd as a function of time is given by:

b −krxn Ct Zn θZn =1 − exp [2.5] ΓZn

−t Eq. [2.5] is of the form θZn =1 − exp and thus the Znupd time constant was estimated τ

Γ τ = Zn b Γ= ×−9 2 to be, b . Taking CZn as 1 mM, and Zn 1.76 10 mol/cm , the reaction rate kCrxn Zn

Γ = Zn = × −3 constant krxn b was estimated to be krxn 2.93 10 cm/s. Furthermore, the τCZn

DZn −5 2 diffusion rate constant is defined as kdiff ≈ . Taking DZn =1.16 × 10 cm /s (section δ

−3 2.3.3) and δ = 18.5 µm at 800 RPM, kdiff was computed to be ~ 6.3× 10 cm/s. A

k = rxn dimensionless Damköhler number Da is introduced to compare the rate-limiting kdiff

process (i.e., diffusion or reaction-limited) during Znupd. If Da 1, the Znupd process is

reaction-limited and if Da 1, the Znupd process is diffusion-limited. In the present work,

krxn Da= = 0.46 < 1, indicating the Znupd process is reaction-limited. Experimental results kdiff combined with estimation of reaction constants suggest that, at higher concentrations of

+2 Zn (1 mM), the Znupd process is controlled by reaction kinetics.

49

In contrast, at very low concentrations of Zn+2 (10 μM), a three-stage current transient was observed as shown in Fig. 2.7a. The current was initially large due to transient

diffusion effects and it rapidly reached a pseudo-steady state condition in about 2 s. As

Znupd proceeds during this period and the surface coverage of Znupd increases, the reaction

rate (current) eventually decreases and reaches zero. The current transients shown in

Fig. 2.7 are corrected for background H2 evolution on Zn. The current eventually decaying

to zero indicates the self-limiting deposition of an adlayer of Znupd. Fig. 2.7b shows the

Znupd current transient as a function of various RDE rotation rates. The charge density associated with Znupd was determined by integrating the area under the current transients.

Since the charge density (~200 μC/cm2) was fairly constant independent of the RDE

2 rotation rate, it was attributed to Znupd. This charge density of ~200 μC/cm agrees with

10-9 mol/cm2 determined during anodic stripping coulometry.

50

Figure 2.7. Chronoamperometry during Znupd on a Cu RDE in an electrolyte containing 10 µM ZnSO4 + 100 mM NH4OH (pH = 11.2). (a) Three distinct regimes observed during Znupd: (i) transient diffusion regime; (ii) pseudo-steady state diffusion and self-limiting reaction; and (iii) surface saturation (self-termination). (b) Znupd current transients at various rotation rates (200–800 RPM) after correction for background H2 evolution current. 51

2.3.3 Determination of DZn during Zinc Underpotential Deposition

+2 The diffusion coefficient of Zn during Znupd was evaluated using the

chronoamperometry data collected on a Cu RDE (Fig. 2.7). As discussed in section 2.3.2,

the current transient during Znupd reaches a pseudo-steady state condition in about 2 s for a

b CZn = 10 µM. It is important to note that with an increase in the rotation rate from 200 to

800 RPM, the pseudo-steady state current density obtained during Znupd roughly doubled,

which is similar to the limiting current signature predicted by Levich equation100. This

limiting current-like behavior is observed due to the rapid consumption of species near the

e electrode surface (CZn ≈ 0) at low θZn . Accordingly, the pseudo-steady state current density obtained at short times (~2 s) was plotted as a function of the square root of rotation rate (ω 0.5) as shown in Fig. 2.8. Regression analysis on the data points in Fig. 2.8 provided

a slope of 1.32× 10−6 . Having determined the slope, the diffusion coefficient of Zn+2 was determined to be 1.16× 10−5 cm2/s using Eq. [2.8], consistent with the diffusion coefficient values previously reported in the literature101–103.

2111 − 3622b iL = 0.62 n F DZn ων CZn = slope ×ω [2.6]

21 − 36b slope= 0.62 n F DZn ν C Zn [2.7]

3 2 slope DZn = 1 [2.8] − 6 b 0.62nF ν CZn

52

Figure 2.8. Pseudo-steady state current extracted from chronoamperometry studies during Znupd on a Cu RDE (electrolyte: 10 µM ZnSO4 and 100 mM NH4OH). This pseudo-steady current density is plotted as a function of square root of the RDE rotation rate as shown in Eq. [2.6].

2.4 Conclusions

Some of the key conclusions of this chapter are:

1. The phenomenon of Znupd on a Cu electrode was studied using electroanalytical

techniques such as cyclic voltammetry, chronoamperometry on a rotating disk

electrode (RDE). It was demonstrated that Znupd was favorable on a Cu

electrode at potentials ( EUPD = –0.9 V vs. SHE) positive to the reduction

potential of Zn.

53

2. The saturation surface concentration of Zn ( ΓZn ) during Znupd was determined

−9 2 b to be 1.76× 10 mol/cm at CZn = 1 mM.

3. Chronoamperometry on a Cu RDE provided insights into the diffusion and

+2 reaction properties during Znupd. The diffusion coefficient of Zn was

−5 2 computed to be DZn =1.16 × 10 cm /s and the surface reaction rate constant

−3 ( krxn ) was determined to be 2.93× 10 cm/s.

54

CHAPTER 3. Electrochemical Atomic Layer Deposition of Copper Enabled by Zinc Underpotential Deposition

3.1 Introduction

As described in Chapter 1, nano-scale Cu interconnects are fabricated using

electrodeposition23,24; however, the nucleation and growth characteristics of

electrodeposition do not allow adequate atomic-scale control over deposit attributes such

as roughness104. An alternative to electrodeposition that promises unprecedented atomic-

scale control is atomic layer deposition (ALD). ALD involves hierarchical assembly of

metal monolayers, one atomic layer at a time13,14. Conventional Cu ALD processes have

used vapor-phase delivery of a metal-organic precursor; however, recently, e-ALD

utilizing liquid-phase precursors in combination with electrode potential manipulation

have gained considerable interest37,49. Unlike vapor-phase ALD, e-ALD potentially offers high-throughput, low-cost and scalable fabrication of nano-materials not only in nano-

electronics applications but also in catalysis40,41 and energy conversion42,43. Thus, in this

chapter, a novel ‘one-cell’ process for electrochemical atomic layer deposition (e-ALD) of

Cu is developed using the Znupd reaction investigated above (Chapter 2).

Over the last two decades, e-ALD of a variety of metals including Pt44–47, Cu37,49,50,

Pd51, Ag52–54 and Ru38 has been demonstrated. The general strategy behind Cu e-ALD is to first deposit a sacrificial monolayer of an active metal (conventionally, this sacrificial metal is Pb) via underpotential deposition followed by its spontaneous redox replacement

(SLRR) by the desired nobler metal such as Cu. UPD-SLRR cycles are repeated to build

multi-layers of Cu with controlled thickness while minimizing surface roughness 55

evolution. In e-ALD processes developed by Thambidurai et al.37 and Viyannalage et al.49,

Pb+2-containing chemistries are used which are undesirable in practical applications due to

toxicity concerns. Therefore, the development and fundamental characterization of Cu

e-ALD process that does not use Pb+2-containing chemistries is of great interest. Instead of

50,89,96 Pbupd, a benign alternative based on Znupd was utilized in this thesis work . The onset potential for Znupd on Cu and Ru substrates is highly negative (as shown below in section

3.3.1), which necessitates the use of an alkaline e-ALD electrolytes to minimize parasitic

hydrogen evolution reaction. Process optimization provides a set of operating conditions

that enables Cu e-ALD in a ‘one-cell’ configuration without the need for electrolyte

switching analogous to the ‘one-pot’ process developed by Viyannalage et al.49

Furthermore, electroanalytical techniques such as quartz crystal microgravimetry, anodic

stripping coulometry combined with materials characterization using atomic force

microscopy (AFM), transmission electron microscopy (TEM), and X-ray photoelectron spectroscopy (XPS) provided critical insights into the deposit properties such as growth rate, roughness evolution, and film composition during Cu e-ALD.

3.2 Experimental Details

3.2.1 Materials

Underpotential deposition of Zn (Znupd) was studied from an aqueous electrolyte containing 1 mM zinc sulfate heptahydrate (ZnSO4.7H2O, Sigma Aldrich, >99.995%

purity) and 100 mM ammonium hydroxide (NH4OH, Fisher Scientific). The electrolyte

used for e-ALD of Cu consisted of 20 μM copper sulfate pentahydrate (CuSO4.5H2O,

56

Sigma Aldrich, >99.995% purity), 1 mM ZnSO4 and 100 mM NH4OH. The pH of

electrolyte was maintained around 11.2. All the electrolytes were prepared utilizing

analytically pure chemical reagents and de-oxygenated 18 MΩ-cm de-ionized (DI) water.

Argon (Ar) gas was bubbled through the electrolyte for 1 hr to remove dissolved oxygen.

A 5 MHz AT-cut Cu-coated quartz crystal (Fil-Tech Inc.) served as the working electrode

in the quartz crystal microgravimetry (QCM) studies. Before use, the Cu-coated crystal was pretreated by immersion in 200 mM sulfuric acid for 10 s. It was rinsed with DI water and dried under N2. In some experiments, a silicon wafer pre-coated with 2 nm PVD-Ru

was used as the working electrode. The substrate was first rinsed with ethanol and DI water.

Ru oxides were cathodically reduced at an applied potential of –0.6 V vs. SHE for 60 s in

32 an electrolyte containing 50 mM H2SO4 .

3.2.2 Methods

__ Cyclic Voltammetry. CV studies for Znupd were performed in a three-electrode electrochemical cell consisting of the PVD-Cu or PVD-Ru substrate as the working electrode, a platinum (Pt) wire as the counter electrode, and a saturated Ag|AgCl electrode

(0.199 V vs. SHE, Radiometer Analytical) served as the reference. The electrolyte composition for the Znupd studies is the same as described in section 3.2.1. CV scans were

collected at the scan rate of 20 mV/s. A VersaSTAT 4 potentiostat (Princeton Applied

Research) was used for all electroanalytical measurements. All potentials reported below

are with respect to a SHE reference.

57

Quartz Crystal Microgravimetry (QCM).__ Electrochemical quartz crystal microbalance,

QCM-200 (Stanford Research System), was employed to characterize the behavior of

Znupd. The pretreated QCM crystal described in 3.2.1 was used as the WE. Other aspects

of the three-electrode configuration used during QCM studies were analogous to those used for CV studies described above. Frequency change ( ∆f ) measured during deposition on

the QCM crystal was converted into mass change ( ∆m ) using the Sauerbrey equation:105

−1 2 ∆=−∆f Cmf , where the sensitivity factor C f = 56.6 Hz μg cm . The e-QCM provided a

technique for performing in situ microgravimetry during e-ALD Cu as discussed below.

Electrochemical Atomic Layer Deposition of Cu.__ Electrochemical ALD of Cu was performed on a PVD-Cu coated quartz crystal. Three-electrode configuration used for

QCM studies of e-ALD was similar to that discussed above. The electrolyte used for e-ALD of Cu is detailed in section 3.2.1. The electrolyte was kept oxygen-free by sealing the cell with a Teflon lid and continuously purging Ar through the headspace above the electrolyte. The e-ALD process consisted of two steps repeated sequentially: (i) Znupd at an

applied potential of –0.9 V vs. SHE for 20 s, followed by (ii) SLRR of Zn by Cu under open-circuit conditions. As in CV and QCM studies, the applied potential and current, as well as the open-circuit potential (OCP) during e-ALD, were monitored using a

VersaSTAT 4 potentiostat.

58

Figure 3.1. Schematic of the e-QCM setup employed to measure the in situ mass change during Cu e-ALD. Counter electrode (CE) is a Pt wire, working electrode (WE) is a Cu e-QCM, and Ag|AgCl is used as a reference electrode (RE).

Anodic Stripping Coulometry.__ Anodic stripping coulometry was utilized to quantify the

mass of Cu deposited during e-ALD. Cu was deposited using the e-ALD protocol outlined

above on a PVD-Ru substrate. Deposited Cu was then electrochemically stripped at an

applied potential of 0.5 V vs. SHE in a separate deoxygenated 50 mM H2SO4 electrolyte.

The stripping charge density provided (via Faraday’s law) the mass of Cu deposited after

various e-ALD cycles.

__ Roughness Characterization using Pbupd. The roughness of the deposited e-ALD Cu films was characterized using Pb underpotential deposition88. Substrates were immersed in

an electrolyte containing 200 μM lead perchlorate [Pb(ClO4)2, Acros Organics] and 10 mM 59

perchloric acid (HClO4, Fisher Scientific). During substrate transfer from the e-ALD

electrolyte to the Pbupd electrolyte, air exposure was minimized to less than 60 s. The Pbupd

electrolyte was deoxygenated by bubbling Ar gas for about 1 hr prior to use. Pb was

underpotentially deposited onto the electrode surface by scanning the potential from OCP

to –0.25 V (vs. SHE) at a scan rate of 20 mV/s, followed by stripping of the Pbupd layer by

reversing the potential scan to 0.15 V vs. SHE. The stripping charge provided a measure

of the electrochemically active surface area, and hence the roughness factor, of the

substrate as a function of the number of e-ALD cycles.

Materials Characterization of the Deposited Cu e-ALD Films.__ The morphology of the

deposited e-ALD Cu films on a Ru substrate was characterized using atomic force

microscopy (Veeco Dimension 3100 AFM) operated under tapping mode conditions.

Furthermore, the lateral resolution of AFM is 20 nm and the Z-resolution is 0.1 nm. In

addition, the composition of the deposited e-ALD Cu films was analyzed using Thermo K-

Alpha Monochromatic X-ray photoelectron spectroscopy (XPS). Patterned substrates

provided by Lam Research Corporation were utilized for demonstrating conformal deposition of Cu e-ALD on high aspect ratio trenches. The patterned substrates consisted

of a thin barrier layer and a Ru liner of ~3 nm thickness. Thin films of Cu were deposited

onto these patterned features using the e-ALD protocol. After Cu e-ALD, TEM coupled

with elemental mapping by energy dispersive X-ray spectroscopy (EDS) was employed to

characterize the Cu e-ALD deposits for film uniformity on blanket and patterned substrates.

The TEM samples were prepared using focused ion beam (FIB) milling and the TEM

images were obtained using a FEI Tecnai F20 TEM (EAG Laboratories).

60

3.3 Results and Discussion

In this section, the characteristics of Znupd were studied using CV and e-QCM.

Then, e-ALD process for growing thin films of Cu was developed. The Cu e-ALD deposit

properties such as growth rate, roughness evolution were characterized. Finally, materials

characteristics of the deposited e-ALD Cu films were analyzed.

3.3.1 Voltammetry Studies of Znupd on Cu and Ru Substrates

In this work, voltammetry measurements of Znupd were performed on a PVD-Cu and PVD-Ru substrate. Based on the findings from the previous chapter, the reduction potential of Zn in the alkaline medium was determined to be –1 V vs. SHE. This suggests

that the electrodeposition of Zn only proceeds at potentials cathodic to –1 V vs. SHE.

Therefore, in the subsequent CV measurements, the cathodic potential limit was set to

–1 V vs. SHE. The scan rate was 20 mV/s. Following observations are evident in the

voltammograms (Fig. 3.2):

(i) Znupd on Cu (Fig. 3.2a): During the voltammetry studies, when the electrode

potential was scanned in the negative scan direction (–0.3 V to –1 V vs. SHE), two

cathodic peaks were observed at –0.67 V and –0.8 V corresponding to the

underpotential deposition of Zn onto a Cu electrode surface89,96. During the positive

scan (anodic direction), corresponding Znupd stripping peaks are observed at

–0.67 V and –0.51 V (vs. SHE). Furthermore, the charge associated with Znupd

(obtained by integrating the area under the peaks after background subtraction) was

61

computed to be 350 µC/cm2, which is in good agreement with the reported charge

density in Chapter 2. The charge density was converted to a surface molar density

QZn 2 of Znupd ( Γ= where Q = 350 µC/cm , n = 2, and F = 96485 C/mol). Zn nF Zn

The surface molar density was 1.81× 10−9 mol/cm2 consistent with typical surface

molar densities of UPD adlayers. For comparison, the surface molar density of

Cu (111) is 2.96× 10−9 mol/cm2.

+2 (ii) Znupd on Ru (Fig. 3.2b): On a PVD-Ru substrate, in the presence of Zn in the

electrolyte, a broad cathodic current peak was observed at a potential of –0.62 V

vs. SHE. This peak is attributed to Znupd on Ru. During the anodic scan, an

oxidation peak was observed at a potential of about –0.35 V vs. SHE corresponding

to the stripping of a Znupd layer. Additionally, the charge associated with Znupd

(obtained by integrating the area under the current peak, after background

subtraction) was determined to be 390 µC/cm2, in close agreement with charge

density for an atomic layer of Zn on Cu. The measured Znupd charge density

corresponds to a surface molar density of 2.02× 10−9 mol/cm2, similar to the

charge density on a Cu substrate reported above.

62

Figure 3.2. Cyclic voltammograms for (a) PVD-Cu substrate and (b) PVD-Ru substrate in an electrolyte containing 1 mM ZnSO4 and 100 mM NH4OH. Scan rate was 20 mV/s.

63

3.3.2 Electrochemical Quartz Crystal Microgravimetry Studies of Znupd on Cu

To further understand the Znupd process, quartz crystal microbalance (QCM)

measurements were performed on a PVD-Cu coated quartz crystal using similar electrolyte composition as mentioned in section 3.2.1. After pretreating the Cu-coated crystal (WE), the WE was held at a constant potential of –0.9 V or –1.1 V (vs. SHE) and the corresponding mass change is shown in Fig. 3.3. At –0.9 V, the mass increased for the first

10 s and then reached a time-invariant value of ~120 ng/cm2. This mass gain corresponds

to a mass of single atomic layer of Znupd on a Cu electrode surface. Furthermore, the

corresponding mass gain was converted into an equivalent amount of charge density using

Faraday’s law, and this charge density was ~365 μC/cm2 consistent with previously

reported values89,92. The ‘self-limiting’ behavior observed in Fig. 3.3 for an applied

potential of –0.9 V vs. SHE is a classical attribute of UPD106. The ohmic overpotential

(ηΩ ) for different electrode configurations (i.e., RDE, QCM, and flat electrode) was estimated to be < 50 mV and thus negligibly small in the Znupd current range operated in

the present work. Consequently, the applied potential during Znupd is still in the potential

range where Znupd is favorable. Furthermore, at –1.1 V vs. SHE, a steady increase in the

mass was observed, indicating that Zn continually deposits onto the Cu e-QCM. As discussed in section 3.3.1, –1.1 V is cathodic to the reduction potential (–1 V) of Zn in an alkaline medium. This steady mass gain can be attributed to electrodeposition of Zn92,96.

Thus, in the subsequent e-ALD studies, a potential of –0.9 V vs. SHE was chosen to

facilitate Znupd, while avoiding Zn electrodeposition.

64

Figure 3.3. Mass gain measured on a PVD-Cu coated quartz crystal immersed in 1 mM ZnSO4 + 100 mM NH4OH electrolyte (pH = 11.2). E = –1 V vs. SHE is the reduction potential of Zn at this pH value. The potential was held constant at: (a) Vapp = –0.9 V vs. SHE where self-terminating characteristics of UPD were observed; (b) Vapp = –1.1 V vs. SHE where a steady increase in mass due to electrodeposition of Zn is observed. 65

3.3.3 Feasibility Demonstration of a Novel Cu Electrochemical ALD Process

A schematic representation of the Cu e-ALD process mediated by Znupd is

illustrated in Fig. 3.4. The e-ALD process parameters ( EUPD and EOCP ) are defined in

+2 b Fig. 3.4. The electrolyte used for e-ALD consisted of bulk Cu concentration CCu = 20

+2 b μM and Zn concentration CZn = 1 mM supported by 100 mM NH4OH (pH = 11.2). The

Cu e-ALD process involves performing the following steps in sequence:

a) Underpotential deposition of a sacrificial Zn adlayer: Znupd was facilitated under

potentiostatic conditions in which the applied potential was EUPD = –0.9 V vs. SHE

(based on findings in Figs. 3.2 and 3.3). Fig. 3.3 indicates that complete Znupd layer

formation requires at least 10 s. Thus, UPD time of 20 s (tupd) was allowed to ensure

complete monolayer coverage of Znupd on the electrode surface. During Znupd, the

current is initially large due to transient diffusion effects and rapidly decays to zero,

indicating the self-limiting characteristics of UPD. The expected mass gain during

Znupd is also schematically shown in Fig. 3.4 (grey curve).

b) Spontaneous SLRR of Znupd by Cu under open-circuit conditions: Following Znupd,

the electrode potential control was relaxed which caused the open-circuit potential

to drift from –0.9 V towards more anodic values. The anodic drift indicates the

gradual displacement of the Zn by nobler Cu atoms. Since this is a spontaneous

reaction, the current in the external circuit stays at zero. Additionally, the mass of

the electrode remains unaltered since the atomic masses of the sacrificial Zn and

66

the depositing Cu are similar. When the open-circuit potential reached

EOCP = –0.4 V, the SLRR process was terminated and the subsequent UPD step

[step (a) above] was initiated.

Figure 3.4. Schematic representation of a Cu e-ALD process, which utilizes Znupd followed by its redox replacement (SLRR) by Cu. Znupd is carried out at an applied potential of

EUPD = –0.9 V vs. SHE for 20 s and SLRR is performed under open-circuit conditions.

EOCP represents the switching potential at which the SLRR process is terminated and the subsequent e-ALD cycle begins.

b It is worthwhile to note that the e-ALD electrolyte of choice has CZn that is in large excess

b 49 compared to CCu . The rationale for this follows the work of Viyannalage et al., who showed that UPD of the sacrificial metal can be achieved while minimizing concurrent

67

electrodeposition of the more noble metal if the UPD metal precursor is present in large

excess compared to the more noble metal precursor. In the present work, the e-ALD

b b b electrolyte consisted of CCu = 20 μM and CZn = 1 mM. At CCu = 20 μM, the Cu electrodeposition rate at –0.9 V is estimated to be ~0.53 ng/cm2s based on QCM studies

bb and thus an order of magnitude smaller than the rate of Znupd. When CCZn Cu , as in the

present e-ALD process, the SLRR kinetics are retarded; however, e-ALD implementation

in a one-cell configuration without electrolyte switching is facilitated.

Figs. 3.5a and 3.5b provide basic feasibility of the Cu e-ALD process. The first three cycles of e-ALD on a PVD-Cu coated QCM crystal are shown. Several salient

features can be noted:

(i) The steady-state QCM mass gain of about 124 ng/cm2 corresponds to a mass of

approximately 1 monolayer of Zn. This indicates that a Zn monolayer is deposited

onto the Cu e-QCM during the Znupd step.

(ii) During the SLRR stage, the electrode potential drifts anodically indicating

replacement of the less noble Zn adlayer by a more noble layer of Cu. During the

SLRR stage, no mass change (loss or gain) is detected by the e-QCM, likely

because of the similar atomic masses of the dissolving Zn and the depositing Cu

atoms.

68

(iii) In a separate experiment, the steady-state OCP of a pure PVD-Cu substrate in the

e-ALD electrolyte was measured to be about –0.4 V vs. SHE. This cathodic shift in

the OCP of a PVD-Cu substrate in the e-ALD electrolyte compared to that under

standard conditions (0.34 V) is attributed to the use of an alkaline electrolyte and

complexing agents as discussed in Chapter 1 (section 1.4). Thus, the SLRR process

step was terminated when the surface potential reaches close to –0.4 V (Fig. 3.5a)

indicative of the completion of the redox replacement reaction.

(iv) Since no significant mass loss is observed during the SLRR stage, the possibility

of corrosion of the Znupd layer is minimized. This is expected for alkaline, de-

oxygenated electrolytes employed in the present work. Zn corrosion was observed

in other experiments where electrolytes were not sufficiently deoxygenated.

(v) After SLRR of each e-ALD cycle, the Znupd during the subsequent e-ALD cycle

consistently showed a mass gain of about 106–124 ng/cm2 (Fig. 3.5b), indicating

that the electrode surface area was not substantially altered during e-ALD. This is

consistent with minimal roughness evolution as discussed below.

69

Figure 3.5. (a) QCM mass gain (red, left Y-axis) and electrode potential (blue, right Y-axis) during Cu e-ALD in an electrolyte containing 1 mM ZnSO4 + 20 µM CuSO4 + 100 2 mM NH4OH; (b) Stepwise QCM mass gain of ~124 ng/cm per e-ALD cycle, corresponding to ~1 monolayer of Znupd.

70

3.3.4 Mixed Potential Change during SLRR of Zn by Cu

During the spontaneous surface-limited redox replacement of Zn by Cu (i.e., under

+2 OCP), two reactions (oxidation of Znupd layer and reduction of Cu ) occurs simultaneously

on the electrode surface. This establishes a ‘mixed potential’ on the electrode surface which

is measured experimentally as shown in Fig. 3.5a. As the electrode surface gets covered

with Cu, the mixed potential during SLRR gradually drifts in the anodic direction, i.e.,

mixed potential changes from that of a predominantly Zn-covered substrate to that of a

predominantly Cu-covered substrate. Furthermore, because of charge conservation, net

current during the SLRR remains zero, i.e., the cathodic and anodic currents are equal in

magnitude. Here, it is assumed that the cathodic currents are negative and anodic currents

are positive. Assuming IZn is the oxidation current for Znupd stripping and ICu is the

reduction current for Cu deposition, current balance gives:

IIZn+= Cu 0 [3.1]

The current ( IZn ) is the product of current density (iZn ) and area of the electrode onto which

the reaction occurs. Since electrochemical reactions occur on specific sites, the area onto which this reaction occurs is the product of active surface area of the electrode ( A ) and

Znupd surface coverage (θZn ).

IZn= Aiθ Zn Zn [3.2]

71

b b Since CCu is maintained at a very low concentration compared to CZn , the rate of diffusion- limited Cu deposition is given by:

b nFDCu C Cu IACu =  [3.3] δ

Substituting Eqns. [3.2] and [3.3] in Eq. [3.1]:

b nFDCu C Cu AiθZn Zn += A0 [3.4] δ

A schematic representation of mixed potential change as a function of Znupd surface coverage is shown in Fig. 3.6. Initially, when the surface coverage of Znupd is large, the mixed potential is close to the equilibrium potential of Znupd. However, during SLRR, as the Znupd layer is gradually replaced by Cu, the surface coverage of Znupd decreases, while the surface coverage of Cu increases. This shifts the mixed potential towards that of Cu.

72

Figure 3.6. Schematic illustration of current vs. potential during oxidation of Znupd and +2 reduction of Cu . The current due to oxidation of Znupd decreases with increase in surface coverage of e-ALD Cu, while the reduction of Cu+2 is mass transport limited and thus remains constant. The mixed potential during SLRR gradually drifts in the anodic direction from –0.9 V to –0.4 V vs. SHE, indicating that the less noble Znupd is replaced by more noble Cu. 73

3.3.5 Investigation of Growth Rate during Cu Electrochemical ALD

Anodic stripping coulometry was performed on a Ru substrate to characterize the growth rate of Cu e-ALD. Cu was deposited following the e-ALD protocol described above. During the first cycle of Cu e-ALD on PVD-Ru, a similar Znupd potential

( EUPD = –0.9 V vs. SHE) was applied because this potential facilitates Znupd on a Ru electrode as confirmed by CV (shown Fig. 3.2b). However, the open-circuit switching

potential EOCP during the SLRR stage of the first e-ALD cycle was selected as –0.2 V

(instead of –0.4 V as used on a Cu substrate). The rationale for this was a 0.2 V positive

shift in the Znupd stripping potential on a PVD-Ru substrate compared to a Cu substrate

(Fig. 3.2). All other operating parameters remained unchanged.

A typical anodic stripping coulometry response when stripping Cu (after 4 cycles of e-ALD) from a PVD-Ru substrate is shown in Fig. 3.7. The stripping potential was

0.5 V vs. SHE and the stripping electrolyte was deoxygenated 50 mM H2SO4. As expected,

the anodic current decays rapidly and reaches almost to zero in about 10 s. The Cu stripping

charge density calculated by integrating the stripping current was converted to mass gain

through Faraday’s Law, which is plotted as a function of the number of Cu e-ALD cycles

as shown in Fig. 3.7b. As expected, the measured Cu mass gain increases linearly with the

number of Cu e-ALD cycles. For reference, the anticipated mass gain is assumed to be

112 ng/cm2 (calculated for an ideal case in which a complete atomic layer of Zn is replaced

by an atomic layer of Cu), which is equivalent to a charge density of 340 μC/cm2/cycle

(based on Fig. 2.5a). It is observed in Fig. 3.7 that the Cu mass gain (deposition rate)

follows the anticipated mass gain fairly well; however, particularly for higher number of 74

e-ALD cycles, the measured Cu mass gain deviates somewhat from the anticipated mass

gain. This deviation is believed to be due to a combination of various factors, including: (i)

mild corrosion of the Znupd layer during SLRR that lowers the Cu e-ALD efficiency; and

(ii) corrosion of the Cu e-ALD layer itself during sample transfer from the e-ALD

electrolyte to the electrolyte used for stripping coulometry. The overall Cu e-ALD process efficiency, defined as the ratio of the measured-to-anticipated mass gains is 86%.

75

Figure 3.7. (a) Current and charge transients during stripping of Cu e-ALD (4 cycles) at 0.5 V vs. SHE in a de-oxygenated 50 mM H2SO4 electrolyte; (b) Anticipated and measured Cu e-ALD mass gain as a function of the number of e-ALD cycles, showing a linear relationship, and demonstrating the layer-by-layer growth characteristics of e-ALD. 76

3.3.6 Characterizing Morphology Evolution during Cu Electrochemical ALD

To characterize the roughness evolution during Cu e-ALD, PVD-Ru substrates

coated with Cu e-ALD were transferred to the Pbupd electrolyte (details presented in the experimental section). The potential was first scanned (at a scan rate of 20 mV/s) from

OCP to –0.25 V vs. SHE to facilitate Pbupd onto the electrode surface. As shown by Yu and

Akolkar107, Pb exhibits UPD on Ru and electroless-deposited Cu at potentials of 0.06 V

and –0.18 V, respectively. As shown in Fig. 3.8, after 1 or 2 cycles of Cu e-ALD on Ru, the cathodic scan in the Pbupd electrolyte showed a well-defined Pbupd peak at around

–0.18 V classical of Pbupd on Cu; however, a broad peak around 0.04 V was observed too.

This indicates that the underlying Ru substrate is not completely covered by Cu in the first few cycles of e-ALD. It is likely that this incomplete coverage is related to the actual e-ALD process chemistry or is an artifact introduced by Cu oxidation during sample transfer. After 3 cycles of e-ALD, the Pbupd peak at 0.04 V disappears completely indicating

that the Ru substrate is completely covered with atomic layers of Cu. Distinct Pbupd peaks at –0.18 V are observed for samples with Cu e-ALD for 3–10 cycles.

77

Figure 3.8. Polarization scans collected on a Ru substrate covered with various cycles (n = 1, 2, 3, 10) of Cu e-ALD. Pbupd electrolyte contained 200 μM Pb(ClO4)2 + 10 mM HClO4. Scan rate was 20 mV/s.

78

Following the Pbupd scan shown in Fig. 3.8, anodic stripping of the Pbupd layer was performed by reversing the potential scan to 0.15 V vs. SHE. The Pbupd stripping charge

density was plotted against number of Cu e-ALD cycles as shown in Fig. 3.9a. The Pbupd

stripping charge remained fairly constant at 260–275 μC/cm2 independent of the Cu

e-ALD cycle number108. Since the charge density is a measure of the electrochemically

active surface area, its relatively constant value indicates that the surface area remains

largely unchanged during the initial 10 cycles of Cu e-ALD. The smooth nature of the

e-ALD Cu deposits formed via Znupd was further confirmed by AFM measurements which

is shown in Fig. 3.9b. The deposit RMS roughness does not increase substantially beyond

the substrate roughness up to 10 cycles of Cu e-ALD indicating minimal roughness

evolution. This is consistent with the gravimetry (Fig. 3.5) and coulometry (Fig. 3.7)

characterization of Cu e-ALD reported above and confirms the layer-by-layer growth mode

classical of the e-ALD process.

79

Figure 3.9. (a) Charge density measured during stripping of underpotentially deposited Pb from the surface of an e-ALD Cu deposit. The charge density is relatively independent of the number of Cu e-ALD cycles, which indicates minimal roughness evolution during e-ALD. This is confirmed through AFM measurements (b), which too indicate that the RMS roughness does not substantially increase above the substrate roughness level during the first 10 e-ALD cycles. Inset shows the AFM line scan during various (n = 0, 4, 10) e-ALD cycles. 80

3.3.7 Materials Characterization (XPS, TEM) of the Deposited Cu Films

Cu was deposited via e-ALD onto blanket and patterned substrates to demonstrate

the feasibility of conformal and uniform deposition. Fig. 3.10a and 3.10c show TEM and

EDS data demonstrating thin and conformal deposition of Cu e-ALD films inside a ~30

nm wide feature. Fig. 3.10b shows smooth and uniform deposition of an e-ALD Cu film

on a blanket (non-patterned) Ru substrate. These images confirm that Cu e-ALD can meet

the requirements for uniform, smooth and conformal seed layer fabrication in interconnect

metallization applications.

Figure 3.10. Cross sectional TEM images of the deposited Cu films onto blanket and patterned substrates. (a) Conformal, thin e-ALD Cu film of ~3 nm deposited onto a Ru substrate and the corresponding EDS map of the elements present in the deposited stack; (b) 7.5 nm thick, smooth and uniform deposit of e-ALD Cu on a blanket Ru substrate; (c) Conformal deposition of ~5 nm thick e-ALD Cu layer on a ~30 nm wide patterned trench.

81

10 nm thick e-ALD Cu films fabricated on a Ru substrate were utilized for

characterizing film composition using XPS. An XPS survey scan was employed to

determine the surface composition of the deposited e-ALD Cu film. The presence of Cu

and Zn in the e-ALD Cu films was validated using XPS. As shown in Fig. 3.11a, the

deposited e-ALD Cu film consisted of Cu = 50.6 at.%, O = 38 at.%, Zn = 10.8 at.% and

Ru = 0.6 at.%. The presence of oxygen is likely due to the surface oxidation of the very thin e-ALD Cu films upon air exposure.

Fig. 3.11b shows the XPS depth profile of the deposited Cu e-ALD film. The bulk

e-ALD Cu film consisted of Cu = 96.4 at.%, O = 1 at.%, Zn = 0.6 at.%, and Ru = 2 at.%.

The depth profile confirms that Zn and O concentrations are high only on the surface of

the film suggesting air exposure as the likely cause of surface accumulation of these

contaminants.

82

Figure 3.11. (a) XPS survey scan of the fabricated e-ALD Cu film with a thickness of ~10 nm; (b) XPS compositional depth profile of the deposited e-ALD Cu films at an etch rate of 0.8 Å/s showing Zn and O impurities on the surface but relatively minimal impurities (< 1 at.%) in the bulk e-ALD Cu deposit.

83

3.4 Conclusions

Zn exhibits underpotential deposition on various substrates, e.g., Cu and Ru. The

Znupd behavior was investigated using cyclic voltammetry and quartz crystal microgravimetry. A combination of Znupd together with its surface-limited redox

replacement (SLRR) by nobler Cu provides a protocol for electrochemical atomic layer

deposition of Cu. Roughness and efficiency characteristics of the Cu e-ALD process were

measured and analyzed. The smooth and uniform deposition of the e-ALD Cu film was

confirmed by AFM and TEM. Additionally, conformal deposition of e-ALD Cu onto patterned features was demonstrated. The e-ALD process presented in this chapter provides a route for ‘one-cell’ fabrication of Cu atomic layers with unprecedented control over surface flatness (minimal roughness) for potential applications in scalable nano-

manufacturing of semiconductor interconnects. The use of Znupd as the sacrificial layer

opens pathways for enabling e-ALD of many other metals, e.g., Ni and Co which are not

accessible to e-ALD processes using the conventional Pbupd approach.

84

CHAPTER 4. Investigation of the Growth Rate and Roughness Evolution during Electrochemical Atomic Layer Deposition of Copper

4.1 Introduction

In Chapter 3, the basic feasibility of a novel ‘one-cell’ electrochemical atomic layer deposition process for copper (Cu e-ALD) was demonstrated. In this chapter, growth rate and roughness evolution during ‘one-cell’ Cu e-ALD are analyzed using anodic stripping coulometry, atomic force microscopy, and diffusion-reaction modeling.

Briefly, the general strategy behind e-ALD is to first deposit a sacrificial monolayer of an active metal such as Zn followed by its spontaneous redox replacement by the desired nobler metal such as Cu. In ‘one-cell’ e-ALD processing, the sacrificial metal ions (Zn+2)

and the depositing metal ions (Cu+2) are both added to the same electrolyte and e-ALD is

facilitated through the use of potential pulsing49. First, a cathodic potential is applied

whereby an adlayer of Zn is underpotentially deposited onto the substrate (typically Cu)

per reaction [4.1]. Following Znupd adlayer formation, the electrode potential is released,

and this facilitates the spontaneous surface-limited redox replacement (SLRR) of Znupd by

a monolayer of Cuald per reaction [4.2]. The sequence of Znupd formation followed by its

SLRR by Cuald (i.e., one e-ALD cycle) can be repeated to enable the fabrication of multi- layered Cu deposits.

85

+2 − +− [Zn ( NH34 )](aq) + 4 H2 O +→ 2 e Znupd + 4 NH4 + 4 OH [4.1]

++2 20 Znupd +Cu(aq) →+ Cuald Zn(aq) ∆ G < 0 [4.2]

As discussed above, the distinguishing features of this e-ALD approach are: (i) use of benign metal salt precursors and (ii) a broader range of metals (including active metals such as Co91) which can be deposited. However, one of the undesirable e-ALD process traits is the presence of side reactions. Since processing is performed in a ‘one-cell’ configuration, a common side reaction that takes place in parallel to reaction [4.1] is the co-plating of Cu metal:

+2 − +− [Cu ( NH34 )](aq) +42 H2 O + e →+ Cu 4 NH4 + 4 OH [4.3]

The Cu deposition reaction is influenced by complexing agent (NH4OH) and pH of the

electrolyte, which shifts the reduction potential of Cu to more cathodic potentials compared

to that under standard conditions as discussed in Chapter 1 (section 1.4). Reaction [4.3]

can lead to roughness amplification and deposit growth rates that are higher than the

expected rate of close to one Cu monolayer per e-ALD cycle. Additionally, Cu co-plating

may cause undesirable incorporation of Zn into the e-ALD deposit. A second side reaction

that proceeds in parallel to reaction [4.2] is the corrosion of the underpotentially deposited

Zn adlayer via the reactions:

86

+−2 2Znupd + 2 H22 O +→ O 2 Zn + 4 OH [4.4]

+−2 Znupd +2 H22 O → Zn ++ H2 OH [4.5]

The loss of Zn via corrosion implies that reaction [4.2] may lead to a sub-monolayer

coverage of Cu at the end of each e-ALD cycle. This deviation from true e-ALD behavior

may also manifest in undesirable roughness amplification. Thus, a quantitative study of the

e-ALD growth rate and roughness evolution, and their dependencies on the process

variables is essential in optimal process design and implementation.

Dimitrov et al.109 and Bulut et al.110 developed a kinetic model for the surface-

limited redox replacement (SLRR) reaction, characterizing the reaction rates of SLRR

during ‘one-pot’ e-ALD process employing Pbupd. While their model presents important insights into the reaction kinetics during the e-ALD process, no discussion on the effect of process variables on the deposit growth rate and morphology was provided. To address this gap, a comprehensive diffusion-reaction model of the Cu e-ALD process employing Znupd

is developed in this chapter. Incorporating the transport and kinetics processes associated

with e-ALD, the growth rate and morphology evolution was characterized as a function of

+2 b +2 b bulk Cu concentration (CCu ), Zn concentration (CZn ), and the Znupd time (tupd). Growth

rate and roughness were measured experimentally using anodic stripping coulometry and

atomic force microscopy, and experimental observations were compared to model

predictions.

87

4.2 Experimental Details

The e-ALD growth rate and deposit roughness were measured using the following

techniques: stripping coulometry, underpotential deposition (Pbupd) and atomic force

microscopy. Experimental details are provided below:

4.2.1 Methods

Electrochemical Atomic Layer Deposition of Cu. __ A silicon wafer pre-coated with 2 nm

Ru was utilized to perform Cu e-ALD. Initially, the Ru substrate was rinsed with ethanol and 18 MΩ-cm de-ionized (DI) water. Then, the Ru oxides were cathodically reduced at an applied potential of −0.6 V vs. SHE for 120 s in a 50 mM sulfuric acid (H2SO4, Fisher

Scientific) electrolyte32. Finally, the pre-treated Ru substrate was thoroughly rinsed with

DI water and dried with a stream of N2 gas. A three-electrode configuration was used for

e-ALD of Cu. The pre-treated Ru substrate was used as the working electrode, a platinum

(Pt) wire served as the counter electrode, and a saturated Ag|AgCl (0.199 V vs. SHE,

Radiometer Analytical) served as a reference electrode. The electrolyte used for e-ALD of

Cu consisted of varying concentrations of copper sulfate pentahydrate (CuSO4.5H2O,

Fisher Scientific) and zinc sulfate heptahydrate (ZnSO4.7H2O, Sigma Aldrich). The electrolyte was supported by 200 mM ammonium hydroxide (NH4OH, Fisher scientific)

and pH was maintained around 11.3. All electrolytes were prepared using de-oxygenated

DI water. Argon (Ar) gas was purged through the electrolyte for 1 hr to minimize the

dissolved oxygen content in the electrolyte. A VersaSTAT 4 potentiostat (Princeton

Applied Research) was utilized for all the electroanalytical measurements. All potentials

88

reported below are with respect to the SHE reference. Fig. 4.1 is a schematic representation of the Cu e-ALD process utilizing Znupd. As seen, it consists of two steps repeated

sequentially: (i) UPD of a Zn adlayer (reaction 4.1) at an applied potential of −0.9 V vs.

SHE for a time period tupd which can range from 5–80 s; followed by (ii) SLRR of the Znupd

layer by a monolayer of nobler Cu (reaction 4.2) under open-circuit conditions.

Figure 4.1. Schematic illustration of the electrochemical ALD (e-ALD) process involving sacrificial Znupd adlayer formation (reaction 4.1) followed by spontaneous replacement of Znupd by Cuald (reaction 4.2).

Anodic Stripping Coulometry. __ Stripping coulometry was utilized to characterize the

growth rate associated with Cu e-ALD. The electrolyte used for stripping coulometry was

de-oxygenated 50 mM H2SO4. Once Cu e-ALD was performed on a Ru substrate using the

protocol outlined above, the deposited Cu was electrochemically stripped by scanning the 89

potential from OCP to 0.6 V vs. SHE at a scan rate of 20 mV/s, followed by a potentiostatic hold at 0.6 V to completely strip the deposited Cu. Integrating the stripping current provided the stripping charge density which is a measure of the amount of Cu deposited during e-ALD.

__ Roughness Characterization Using Pbupd and AFM. Pbupd and atomic force microscopy

(AFM) were employed to characterize the morphology of the deposited Cu films88.

The electrolyte used for Pbupd consisted of 200 μM lead perchlorate

[Pb(ClO4)2, Acros Organics] and 10 mM perchloric acid (HClO4, Fisher Scientific). After

Cu e-ALD, the substrate was transferred to the Pbupd electrolyte. During transfer, air

exposure was kept to below 60 s. Pbupd was deposited onto the fabricated Cu e-ALD films

by scanning the potential from OCP to –0.25 V vs. SHE at a scan rate of 20 mV/s and the

corresponding UPD charge density was measured. This charge density represents the electrochemically active surface area and thus is a measure of the roughness evolved during

Cu e-ALD. Furthermore, the morphology of the deposited Cu films on Ru was also characterized using AFM (Veeco Dimension 3100 AFM) operated under tapping mode.

4.3 Diffusion-Reaction Modeling of Electrochemical ALD of Cu

4.3.1 Growth Rate Model for Cu Electrochemical ALD

In this section, a comprehensive diffusion-reaction model for Cu e-ALD is developed to characterize the growth rate during deposition. The ‘UPD’ step of e-ALD

(Fig. 4.1) was first examined. In this step, Cu is electrodeposited in parallel with the process

90 of Znupd adlayer formation. The rates of these two competing reactions and their time dependencies are analyzed below.

4.3.1.1 Rate of Cu Deposition

Imagine an electrode onto which Cu e-ALD is performed from an electrolyte

b b containing CCu and CZn . In the vicinity of the electrode surface ( 0 ≤≤x δ , where δ is the boundary layer thickness), diffusion is the primary mode of species transport and it is assumed to follow Fickian diffusion:

∂∂CC2 Cu = D Cu [4.6] ∂∂txCu 2

+2 −6 2 111,112 where DCu is the diffusion coefficient of Cu ( DCu =6 × 10 cm /s) . In practical e-ALD electrolytes, the Cu+2 concentration is very low (20–100 µM). Thus, Cu deposition is limited by diffusional transport and the Cu+2 concentration at the electrode surface

b ( x = 0 ) can be assumed to be CCu = 0 and that at x = δ can be assumed to be CCu . In addition, at t = 0, the concentration of Cu+2 everywhere within 0 ≤≤x δ is equal to the

b bulk concentration CCu .

91

Using the boundary and initial conditions described above, Eq. [4.6] can be solved analytically to obtain the following expression for the transient Cu+2 concentration profile:

x∞ 2Ckb ( π − sin(kπ )) kkππ22 =b +−Cu CCu ( x, t) CCu ∑ 22 sin x exp2 DCu t [4.7] δk =1 k π δδ

+2 In Eq. [4.7], CCu ( xt, ) is the time- and position-dependent Cu concentration. The mass- transport limited rate of Cu deposition is obtained from the gradient of the concentration profile at the electrode surface ( x = 0 ). Thus:

22 b k π 2CCu ( kππ−− sin( k )) exp DCu t b ∞ δ 2 CCu  iCu =−+ nFDCu ∑ [4.8] δ = kπδ k 1 

In Eq. [4.8], iCu is the time-dependent diffusion-limited current density for Cu deposition,

n is the number of electrons transferred ( n = 2), and F is Faraday’s constant (= 96485

C/mol).

Figs. 4.2a and 4.2b show the modeled time-dependent concentration and current

b profiles for Cu deposition at CCu = 20 µM and δ = 200 µm. The gradual depletion in the

Cu+2 concentration in the boundary layer is due to consumption of Cu+2 due to deposition

and slow diffusional transport of Cu+2 from the bulk electrolyte. Thus, as expected, the

deposit current is large initially but gradually decreases in magnitude until a steady state

current density of about 1.2 µA/cm2 is reached. Steady-state is reached within ~5 s 92

δ 2 consistent with the diffusion time constant estimate τ = of ~7 s. Knowing the 2 π Dcu time-dependent Cu deposition rate allows us to estimate the total charge corresponding to

Cu deposition in a time period tupd, i.e., the total time for which the ‘UPD’ step is carried

b out. Note that the total Cu deposition charge increases with increasing CCu and tupd.

93

Figure 4.2. (a) Cu+2 concentration profile and (b) associated Cu deposition current density (calculated using the analytical model) during the UPD step of e-ALD. Model parameters b −6 2 were: CCu = 20 µM, DCu = 6× 10 cm /s and δ = 200 µm.

94

4.3.1.2 Rate of Zn Underpotential Deposition

During the UPD step of e-ALD, the processes involving Zn+2 species in the vicinity of the electrode are depicted in Fig. 4.3. These include (i) Transport of Zn+2 towards the

electrode by diffusion; (ii) Surface reaction leading to Znupd adlayer formation (reaction

[4.1] above); and (iii) Incorporation of some of the Znupd layer due to co-deposition of Cu

bb at a rate discussed in 4.3.1.1 above. In practical e-ALD processes, since CCZn Cu , the

diffusional transport resistance associated with Zn+2 can be assumed to be small unlike that

associated with Cu+2. Thus, it is safe to assume that, near the electrode surface ( x = 0 ),

b CCZn≈ Zn . Now, the rate expression for the Znupd formation reaction is given by:

rxn b NZn= kC rxn Zn(1 −θ Zn ) [4.9]

In Eq. [4.9], krxn is the reaction rate constant of the Znupd process and θZn is the surface

coverage of the Znupd layer.

95

Figure 4.3. Schematic representation of the diffusion, reaction and incorporation processes occurring during the UPD step of e-ALD.

Fig. 4.4 shows a comparison between the ideal e-ALD process and the ‘one-cell’

e-ALD process in practice. As noted in Fig. 4.4, deviations from ideality in the ‘one-cell’

e-ALD embodiment are introduced because of the co-deposition of Cu during the UPD

step. Cu is a nobler metal in comparison to Zn. Thus, at the potentials under which Znupd

adlayer form, Cu is also deposited albeit at low rates because of the condition:

bb CCZn Cu . Cu deposition causes roughness amplification and alters the net e-ALD growth rate such that the ideal case of single monolayer growth per cycle rate is not achieved.

Furthermore, Cu deposition causes partial incorporation of the Znupd adlayer. The

96

incorporation of Znupd caused by the Cu deposition reaction depends on the Znupd coverage

and the instantaneous Cu deposition rate:

i N inc =θ Cu [4.10] Zn Zn nF

Figure 4.4. Schematic illustration of (a) the ideal e-ALD process and (b) ‘one-cell’ e-ALD process in practice which deviates from ideality due to the Cu co-deposition reaction.

An overall material balance on the Znupd layer, considering its formation at a rate

given by Eq. [4.9] and its consumption (incorporation) at a rate given by Eq. [4.10],

provides:

diθ ΓZn =kCb (1 −−θθ) Cu [4.11] Zn dt rxn Zn Zn Zn n F

−9 2 50 where ΓZn is the saturation surface coverage (1.76× 10 mol/cm ) , and krxn is the potential dependent reaction rate constant as shown in Eq. [4.12].

97

+2 Depending on the applied potential and the concentration of Zn in the electrolyte, krxn changes according to:

αc F kkrxn =0 exp − ηa [4.12] RT

ηa=VE app − eq [4.13]

where k0 is the standard rate constant, αc is the cathodic charge transfer coefficient, ηa

is the activation overpotential, Vapp is the applied potential during Znupd, and Eeq is defined as the equilibrium potential at which the Znupd deposition and stripping processes proceed

+2 b at equal rates and are balanced. However, in the Zn concentrations (CZn = 0.5 to 1.5 mM) examined in the present work, the equilibrium potential shift was negligibly small

(~14 mV). Consequently, it was assumed that the reaction rate constant ( krxn ) is not

b significantly affected by CZn .

Eq. [4.11] provides the time-dependent surface coverage of Zn during the UPD step. Experimentally, Cu e-ALD growth rate is measured as the slope of the net stripping charge density vs. number of e-ALD cycles. This stripping charge density incorporates: (i)

Cu deposited during the SLRR step through an atom-to-atom replacement of Zn (QALD );

and (ii) Cu co-deposited during Znupd adlayer formation (QCu, plating ).

98

Thus, the net Cu stripping charge density is given by:

t =+ =Γ+θξ Qstripping Q ALD Q Cu, plating n FZn Zn∫ i Cu dt [4.14] 0

In Eq. [4.14], an efficiency parameter ξ is introduced, representing the efficiency by which

Zn is replaced by Cu during SLRR. ξ =1 if all Znupd is replaced by Cu and ξ = 0 if all

Znupd corrodes away through reactions [4.4] and [4.5]. In reality, ξ is expected to be

between 0 and 1. In the absence of a priori knowledge of ξ is treated as a fitting parameter

in the above model and determine its value based on best agreement between model

predictions and experimental measurements.

4.3.2 Morphology Evolution during Cu Electrochemical ALD

Roughness evolves during Cu e-ALD on account of the co-deposition of Cu during

the Znupd step. Thus, deposit roughness may be qualitatively represented by a quantity that represents the amount of Cu co-deposited during Znupd formation. To present this in a

dimensionless form, a roughness factor ϕmod is defined as:

t + n FΓ+θξ i dt QQALD Cu, plating Zn Zn∫ 0 Cu ϕmod = = [4.15] QALD nF ΓZnθξ Zn

If no Cu co-deposition occurs during the UPD step of e-ALD, i.e., QCu, plating = 0, then the

roughness factor ϕmod approaches 1 indicating no roughness amplification during e-ALD.

99

Table 4.1. Parameter values used in modeling the growth rate and roughness evolution

during Cu e-ALD.

Parameter Value Rationale

−6 2 111,112 DCu 6× 10 cm /s Ref.

−3 krxn 2.93× 10 cm/s See Chapter 2

b CZn 0.5, 1, 1.5 mM Experiment

b CCu 20, 40, 60, 80, 100 µM Experiment

−9 2 50 ΓZn 1.76× 10 mol/cm Ref.

ξ 0.72 Fitting parameter

δ ~200 µm Estimate

4.3.3 Model Parameters and the Solution Algorithm

The model discussed in the previous sections utilizes many transport and adsorption

parameters associated with Cu and Zn deposition. These parameters are listed in Table 4.1.

Chronoamperometry on a rotating disk electrode was utilized to estimate the surface

+2 reaction rate constant krxn for Znupd from a Zn -containing electrolyte. As discussed in

Chapter 2, the experimentally measured time constant (i.e., time required to reach 63.2% of final steady-state Znupd current) for the Znupd process was found to be ~0.6 s. Assuming

first-order adsorption-like (self-terminating) kinetics of UPD, the time constant is given

Γ τ = Zn b −9 2 by: b . Taking CZn as 1 mM, and Γ=Zn 1.76× 10 mol/cm , the reaction rate kCrxn Zn

100

Γ = Zn = × −3 constant krxn b was estimated to be krxn 2.93 10 cm/s. Additionally, ξ was τCZn

taken as 0.72 because this value provided the best agreement between the experimental

observations and model predictions.

4.4 Results and Discussion

4.4.1 Growth Rate during Cu Electrochemical ALD: Comparing Experiments to

Model Predictions

This section provides a detailed comparison of the Cu e-ALD growth rate observed

in experiments to that predicted by theory. Here, growth rate corresponds to the Cu

stripping charge density per e-ALD cycle measured using anodic stripping coulometry.

The Cu e-ALD growth rate was investigated as a function of various process variables, i.e.,

b b CCu , tupd, and CZn .

4.4.1.1 Effect of Cu+2 Concentration

b b CCu was varied between 20–100 µM, while CZn was set at 1mM and tupd was set

b at 20 s. Fig. 4.5 shows the effect of increasing CCu on the Cu e-ALD growth rate. A nearly

b linear relationship between growth rate and CCu is observed. Model prediction of the Cu

e-ALD growth rate from Eq. [4.14], assuming an efficiency factor ξ = 0.72, is also

shown by the dashed line in Fig. 4.5. As noted, the modeled growth rate is in very good

agreement with the experimental data. The rationale for the increase in the Cu deposition

101

b rate during e-ALD with higher CCu is the increased level of Cu co-deposition during the

UPD step of e-ALD (Fig. 4.4). This co-deposition can be minimized by operating at lower

b b CCu . Fig. 4.5 suggests that, at CCu = 20 µM, the average growth rate is equivalent to 260

µC/cm2, which is equivalent to ~0.76 monolayer-per-cycle (assuming 340 µC/cm2/cycle

based on Fig. 2.5a) and thus closer to the ideal growth rate for minimally rough e-ALD.

Figure 4.5. Effect of Cu+2 concentration in the e-ALD electrolyte on the Cu e-ALD growth rate expressed as the anodic stripping charge density per e-ALD cycle. Points represent experimental data and dashed line represents a prediction of the above diffusion-reaction b model. An increase in the growth rate is observed with higher CCu .

4.4.1.2 Effect of Znupd Time

b b The Znupd time (tupd) was varied between 5–80 s, while CCu and CZn was set at

40 µM and 1 mM respectively. Fig. 4.6 illustrates the effect of increasing tupd on the 102

experimental (data points) and modeled Cu e-ALD growth rates (dashed line). Eq. [4.14] with a similar efficiency parameter (ξ = 0.72) provided a good agreement between the experiments and the model at longer tupd. Since Znupd is a self-terminating process

(i.e., deposition is limited to an atomic layer even at longer tupd), an increase in Cu e-ALD

growth rate observed at longer tupd was attributed to co-deposition of Cu during the Znupd

step of e-ALD. In contrast, at shorter times (tupd ≤ 10 s), the model predicted a somewhat

higher growth rate in comparison to the experiments. Fig. 4.6 indicates that, at tupd = 20 s

b 2 and CCu = 40 µM, the average Cu e-ALD growth rate approached 330 µC/cm

corresponding to a near ideal growth rate of ~1 monolayer per e-ALD cycle.

Figure 4.6. Effect of Znupd time (tupd) on the Cu e-ALD growth rate. Comparison between the experimental growth rate (data points) and that calculated employing the diffusion- reaction model proposed in the present work (dashed line). A good agreement between the experiments and model predictions is observed especially at tupd larger than 20 s.

103

4.4.1.3 Effect of Zn+2 Concentration

b b The effect of CZn in the e-ALD electrolyte was analyzed by varying the CZn

b between 0.5–1.5 mM, while CCu was set at 20 µM and tupd was set at 20 s. Owing to the

+2 b solubility limit of Zn in an alkaline electrolyte, the upper bound for CZn in the e-ALD

b electrolyte was set to 1.5 mM. The effect of increasing CZn on the Cu e-ALD growth rate

is shown in Fig. 4.7. A weak dependence of the experimental (data points) Cu e-ALD

b growth rate on CZn is observed. Model predictions confirm this weak dependence on the

Zn+2 concentration as shown by the dashed line in Fig. 4.7. The rationale for this unaltered growth rate during Cu e-ALD is the self-terminating characteristics of UPD, i.e., the charge density due to an adlayer of Zn remains constant as the surface gets saturated with Zn at

b +2 longer tupd independent of CZn in the electrolyte. In this work, Zn concentrations below

0.5 mM were not assessed due to the sluggish kinetics of Znupd which makes the e-ALD

b process impractical due to its slow rate. Consequently, CZn in the mM range and a

b relatively low CCu in the µM range provide optimal conditions for practical e-ALD applications. These conditions provide reasonably fast Znupd kinetics and an acceptable rate

for the redox replacement (SLRR) of Znupd by Cu during e-ALD.

104

Figure 4.7. Effect of Zn+2 concentration in the e-ALD electrolyte. The points represent experimental data and the dashed line represents the diffusion-reaction model. A weak b dependence of the Cu e-ALD growth rate on CZn is observed.

4.4.2 Roughness Evolution during Cu Electrochemical ALD: Comparing

Experiments to Model Predictions

The phenomenon of UPD is widely used in characterizing the electrochemically active surface area of the electrode86–88. As described in the experimental section, Pb was underpotentially deposited onto the deposited e-ALD Cu films and the corresponding

charge density (QPb ) due to Pbupd was obtained by integrating area under the Pbupd peak.

UPD The experimental roughness factor (ϕexp ) is defined as the increase in Pbupd charge density

over its value measured on an initially flat surface:

105

Q ϕUPD = Pb exp flat [4.16] QPb

flat 2 where QPb ≈ 300 µC/cm represents the charge density associated with Pbupd on an atomically flat Cu substrate113,114. The roughness of the deposited e-ALD Cu films at

b various CCu and tupd was analyzed using the above-mentioned technique. As shown in

UPD Fig. 4.8a, the experimental (ϕexp ) results and model (ϕmod ) predictions exhibited an

b elevated roughness with increase in CCu and tupd. The increase in roughness is attributed

to: (i) increased level of Cu co-deposition during the Znupd step and (ii) partial corrosion of

the Znupd layer by parasitic reactions [4.4] and [4.5] leading to sub-monolayer Cu deposition during the SLRR step of Cu e-ALD.

Additionally, AFM was utilized to characterize the roughness of the deposited

AFM e-ALD Cu films. The root mean square (RMS) roughness measured via AFM (ϕexp )

displayed a similar trend to that predicted by Pbupd analysis (i.e., roughness increased with

b increasing CCu and tupd) as shown in Fig. 4.8b. Fig. 4.8 confirms that the model presented

captures reasonably well the dependence of deposit roughness on the process variables

b CCu and tupd.

106

Figure 4.8. Correlation between experimental and modeled roughness during Cu e-ALD where the experimental roughness is measured using Pbupd (a) and AFM (b). Higher levels b of roughness are observed due to increased Cu co-deposition as CCu and tupd are increased.

107

4.5 Conclusions

The present work leads to the following key conclusions:

(i) The growth rate and roughness evolution characteristics of ‘one-cell’ Cu e-ALD

process were studied using anodic stripping coulometry, AFM and Pbupd. It was

demonstrated that the Cu e-ALD growth rate and roughness evolution increased

b with increase in CCu and tupd, while the growth rate exhibited a weak

b dependence on CZn .

(ii) A diffusion-reaction model was developed, accounting for the parasitic Cu co-

deposition reaction during the Znupd step of Cu e-ALD process. Using the

developed model, the growth rate and roughness evolution characteristics were

b b investigated and their dependence on process variables (CCu , CZn , tupd) was

analyzed. A good agreement was observed between experimental

measurements of the growth rate and roughness, and the diffusion-reaction

model predictions.

(iii) The developed model provides guidelines for the selection of optimal process

parameters that enable e-ALD of Cu with minimal roughness evolution and a

deposit growth rate close to a monolayer-per-cycle. One such process condition

b b is: CZn = 1 mM, CCu = 40 µM, and tupd = 20 s.

108

CHAPTER 5. Electrochemical Atomic Layer Deposition of Cobalt Mediated by Zinc Underpotential Deposition

5.1 Introduction

As reported in Chapter 1, miniaturized Cu interconnects are utilized in advanced interconnect metallization due to the low electrical resistivity and superior electromigration resistance of Cu metal. However, the continued shrinkage in the size (cross-sectional area) of each interconnect leads to an increase in its electrical resistance. This detrimentally impacts the electrical performance of the integrated circuit115. The interconnect signal delay (τ ) is given by τ = RC , where R is the interconnect resistance and C is the capacitance of the inter-layer dielectric (ILD). The interconnect resistance R increases dramatically for Cu interconnect dimensions below 40 nm due to the substantial increase in the electrical resistivity of Cu at such narrow dimensions. The resistivity increase is attributed to electron scattering processes at grain boundaries (Mayadas-Shatzkes)65 and at interfaces (Fuchs66 and Sondheimer67) within the Cu interconnect structure (Eq. [1.3]).

Such scattering processes become dominant when the interconnect dimension approaches the electron mean free path in Cu (EMFP = 39 nm at room temperature)116–118.

Consequently, the interconnect signal delay increases. To overcome this critical issue, an interconnect material which exhibits lower electrical resistivity at narrow (sub-10 nm) dimensions is required. Cobalt is widely considered as a promising alternative interconnect material to replace the conventionally used Cu68,119–121. At narrow dimensions, i.e., below

10 nm, Co exhibits comparable or lower electrical resistivity compared to Cu, largely attributed to the lower electron mean free path in Co (EMFP = 7–12 nm at room temperature)70. 109

In the previous chapters (Chapter 3 and 4), the feasibility of a ‘one-cell’ Cu e-ALD process employing Znupd was discussed in detail. In this chapter, the versatility of the Znupd

mediated e-ALD process is demonstrated by extending it to the deposition of active metals

such as cobalt (Co). In previous e-ALD attempts by other investigators, lead underpotential

deposition (Pbupd) was used as the sacrificial layer for the deposition of more noble metals

such as Cu, Au, Pt. The thermodynamic feasibility of the e-ALD process is governed by

the spontaneity of the redox replacement reaction, i.e., standard Gibbs free energy change for SLRR should be ∆

redox replacement of Pbupd by Co is not spontaneous (thermodynamically not feasible) because Co is not nobler in comparison to Pb:

++2 20 Pbupd +Co(aq) →+ Coald Pb(aq) ∆ G > 0 [5.1]

Thus, conventional e-ALD approaches (using Pbupd) do not provide the necessary driving

force required for e-ALD of Co and other such active metals. In Chapters 2 and 3,

underpotential deposition of zinc (Znupd) on Cu and Ru substrates was reported in an alkaline medium at electrode potentials around –0.9 V vs. SHE50. Zn is a highly active metal and thus it can be displaced by the relatively nobler Co through a spontaneous SLRR reaction with ∆

++2 20 Znupd +Co(aq) →+ Coald Zn(aq) ∆ G < 0 [5.2]

110

The thermodynamic favorability of reaction [5.2] provides a pathway for enabling Co

e-ALD using sacrificial Znupd. In this chapter, the feasibility of Co e-ALD utilizing a

sacrificial Znupd adlayer is demonstrated. The two-steps, i.e., Znupd and its surface limited

redox replacement (SLRR) by Co, are performed in separate electrochemical cells. These

process steps are characterized using electroanalytical techniques such as linear sweep

voltammetry (LSV) and chronopotentiometry. Optimal conditions for Co e-ALD are

identified, and deposit properties (growth rate and roughness) are characterized.

Characterization tools include anodic stripping coulometry and surface area measurements

50 enabled by coulometry during Znupd adlayer deposition .

5.2 Experimental Details

5.2.1 Methods

__ Linear Sweep Voltammetry Studies of Znupd. Linear sweep voltammetry (LSV) was

employed for studying underpotential deposition of Zn on a sputter-deposited (PVD) Co

substrate. The PVD-Co substrate was first rinsed with ethanol and then rinsed thoroughly

with de-ionized (DI) water before drying under a stream of nitrogen (N2) gas. Cobalt

surface oxides were cathodically reduced by applying a potential of –0.7 V vs. SHE in

100 mM ammonium hydroxide (NH4OH, Fisher Scientific) electrolyte for 100 s. After

surface oxide reduction, Znupd studies were conducted in a three-electrode cell

configuration. The PVD-Co substrate was the working electrode, a platinum (Pt) wire

served as a counter electrode, and a saturated Ag|AgCl (0.199 V vs. SHE, Radiometer

Analytical) electrode served as the reference. The electrolyte used for Znupd studies

111

consisted of 1 mM zinc sulfate heptahydrate (ZnSO4.7H2O, Acros organics) and 100 mM

ammonium hydroxide. The electrolyte was prepared using deoxygenated DI water. The

electrolyte pH was maintained at 11.2. A Teflon stopper sealed the contents of the

electrochemical cell. The working electrode potential was scanned from the open-circuit

potential (OCP) to –1 V (vs. SHE). The scan rate was 20 mV/s. A VersaSTAT 4

potentiostat (Princeton Applied Research) was used for all electroanalytical measurements.

All potentials reported below are with respect to SHE reference.

Electrochemical Atomic Layer Deposition of Co. __ Co was deposited onto a PVD Ru substrate. The choice of Ru as substrate was dictated by ease of availability as well as its relevance to Ru liners of interest to semiconductor metallization. The Ru substrate was first rinsed with ethanol and DI water. Ru surface oxides were cathodically reduced at an applied potential of –0.6 V vs. SHE for 60 s in an acidic electrolyte containing 50 mM

32 H2SO4 . The Ru substrate was then immersed in the Znupd electrolyte, and Zn was

underpotentially deposited on Ru at a potential of –0.95 V vs. SHE. Following the Znupd

adlayer formation, the substrate was removed from the Zn+2-containing electrolyte, dried under a stream of N2, and immediately immersed in a 100 mM CoSO4 electrolyte at a pH

of 6.5. This facilitated, under open-circuit conditions, the spontaneous SLRR of Znupd by

Co in a time period of ~60 s. The open-circuit potential during SLRR was recorded using

a VersaSTAT 4 potentiostat. The substrate was cycled through the UPD and SLRR process

steps multiple times for growing multi-layers of Co. Both electrolytes were prepared using

deoxygenated DI water, and air exposure was minimized (to minimize dissolved oxygen)

by sealing the contents of the electrochemical cell using Teflon stoppers. The importance

112

of minimizing dissolved oxygen in the e-ALD electrolytes must be emphasized here. This

is a known issue in many other electrochemical ALD processes49,53 which also suffer from

extreme sensitivity to dissolved oxygen content of the electrolytes.

Characterization of Co Electrochemical ALD Coverage on Ru. __ LSV was employed as

an electroanalytical tool to characterize the gradual surface change from Ru substrate to

Co e-ALD deposit. An electrolyte containing 1 mM ZnSO4 and 100 mM NH4OH

(pH = 11.2) was used for the LSV studies. During LSV, the potential was scanned at 20

mV/s from OCP to –1 V vs. SHE and the current response was recorded. Since Znupd

proceeds at different onset potentials on Ru and Co, the position of the peak current on the

potential axis during LSV is an indicator of the surface composition after various e-ALD cycles. After e-ALD for various cycles were performed using the procedure described

above, the substrate was transferred to the alkaline ZnSO4 electrolyte for LSV-based

characterization. Air exposure during transfer was minimized to less than 60s.

Anodic Stripping Coulometry of Electrochemical ALD Deposits. __ Anodic stripping

coulometry was utilized to quantify the e-ALD Co deposit mass. Co deposited using

e-ALD was electrochemically stripped under potentiostatic conditions (0.3 V vs. SHE) in

an electrolyte containing 100 mM CoSO4. The electrolyte pH was adjusted to 5 by H2SO4.

The stripping charge density provided (via Faraday’s law) the mass of Co deposited after various number of e-ALD cycles.

113

Deposit Roughness Characterization. __ The surface roughness of the deposited e-ALD

Co films were characterized via an in situ electrochemical technique based on coulometry

during Znupd. Co deposits fabricated using e-ALD were subjected to Znupd in an electrolyte containing 1 mM ZnSO4 and 100 mM NH4OH (pH = 11.2). This electrolyte has the same

composition as that used for the potentiostatic Znupd step during e-ALD growth. The

electrode potential was scanned from OCP to –0.9 V vs. SHE (at a scan rate of 20 mV/s)

and the corresponding charge density was recorded. This charge density corresponds to

Znupd as well as some parasitic hydrogen co-evolution. Nonetheless, the charge density is

proportional to the electrochemically active surface area, which enabled semi-quantitative

characterization of the surface roughness evolution during Co e-ALD. Additionally, the

morphology of the deposited Co films on Ru was also characterized using AFM (Veeco

Dimension 3100 AFM) operated under tapping mode.

5.3 Results and Discussion

In this section, the characteristics of Zn underpotential deposition on two relevant

substrates (Co and Ru) are discussed. Following that, the Znupd behavior is leveraged for

developing an electrochemical ALD (e-ALD) sequence for growing Co nano-layers.

Finally, the e-ALD Co deposit properties (surface coverage, roughness) are characterized

as a function of the number of e-ALD cycles.

114

5.3.1 Polarization Studies of Zn Underpotential Deposition

In the present work, LSV measurements of Znupd were performed on PVD-Ru and

PVD-Co substrates. The electrolyte composition is described in the experimental section

5.2.1. The scan rate was 20 mV/s. Following observations are evident in the voltammograms (Fig. 5.1 and Fig. 5.2):

(i) Znupd on Co (Fig. 5.1): The substrate potential was scanned from OCP to –1 V

vs. SHE. During the cathodic potential scan, a reduction peak was observed at

a potential of about –0.77 V vs. SHE. This peak corresponds to Znupd on a

PVD-Co substrate. A background voltammogram (i.e., in the absence of Zn+2

in solution) is also shown for reference. The peak around –0.77 V was absent

in this background scan. Also, at potentials cathodic to –0.8 V vs. SHE, a sharp

increase in current was recorded in the background scan. This was attributed to

hydrogen co-evolution, which is moderately catalyzed by the Co substrate. In a

similar potential range, the hydrogen co-evolution current in the scan with Zn+2

present in the electrolyte was relatively small. This is believed to be due to the

presence of a Znupd adlayer (formed on Co at potential close to –0.77 V) which

122,123 is known to suppress the hydrogen evolution reaction . The Znupd peak

position on Co, i.e., –0.77 V, is quite similar to that observed previously on a

PVD-Cu substrate50.

115

Figure 5.1. Linear sweep voltammetry scans collected on a PVD-Co substrate with 1 mM ZnSO4 supported by 100 mM NH4OH (red) and 100 mM NH4OH only (blue). Scan rate was 20 mV/s. A Znupd peak is observed at a potential of –0.77 V vs. SHE on Co.

+2 (ii) Znupd on Ru (Fig. 5.2): On a PVD-Ru substrate, in the presence of Zn in the

electrolyte, a broad cathodic current peak was observed at a potential of

–0.62 V vs. SHE. This peak was attributed to Znupd on Ru. Since the onset

potential for electrodeposition of Zn is about –1 V vs. SHE89,92, the potential

window scanned in Fig. 5.2 does not show any bulk electrodeposition of Zn. It

is noteworthy that the peak positions for Znupd on Co (–0.77 V, Fig. 5.1) and

that on Ru (–0.62 V, Fig. 5.2) are separated by about 150 mV. This surface

sensitive nature of Znupd allows characterization of the surface coverage of Co

deposited during the early stages of e-ALD Co as discussed later. Based on LSV

investigations reported above, a potential of –0.95 V vs. SHE is guaranteed to

116

facilitate Znupd layer formation on PVD-Co and PVD-Ru substrates while

avoiding bulk Zn electrodeposition.

Figure 5.2. Linear sweep voltammetry scans collected on a PVD-Co substrate and a PVD- Ru substrate. Electrolyte contained 1 mM ZnSO4 supported by 100 mM NH4OH. Scan rate was 20 mV/s. Znupd peaks are observed on both substrates but potentials corresponding to peak UPD currents are separated by 150 mV.

5.3.2 Electrochemical Atomic Layer Deposition of Co

PVD-Ru substrates were employed for the growth of atomic layers of Co via e-ALD. The two-step protocol utilized for Co e-ALD is illustrated in Fig. 5.3. STEP-1 involved Znupd from a de-aerated Znupd electrolyte (described in section 5.2.1). STEP-2

involved surface-limited redox replacement (SLRR) of Zn by nobler Co from a de-aerated

117 electrolyte containing 100 mM CoSO4 (pH = 6.5). The growth protocol for e-ALD Co consisted of STEP-1 and STEP-2 repeated sequentially:

(i) (STEP-1) Sacrificial Znupd layer formation: Zn was underpotentially deposited onto

Ru at an applied potential of EUPD = –0.95 V vs. SHE. The choice of this potential

is based on findings in Figs. 5.1 and 5.2 which concluded that this potential

facilitates Znupd on Ru and Co surfaces without allowing bulk Zn electrodeposition.

Znupd adlayer formation was performed potentiostatically for 20 s.

(ii) (STEP-2) Spontaneous SLRR of sacrificial Znupd layer by a monolayer of Co:

Following the deposition of a sacrificial Znupd layer in STEP-1, the substrate was

removed from the Znupd electrolyte, dried under a stream of N2 and then transferred

to the SLRR electrolyte. Under open-circuit conditions, spontaneous redox

replacement of the Znupd layer by a more noble Co monolayer was facilitated. The

relatively large Co+2 concentration employed in STEP-2, i.e., 100 mM, accelerated

the SLRR kinetics compared to previously reported SLRR kinetics for the ‘one-

cell’ Cu e-ALD process50. Thus, the SLRR process was terminated after just 60 s

which allowed the redox replacement reaction to proceed to near completion.

118

Figure 5.3. Schematic representation of an electrochemical ALD process for deposition of Co. Sacrificial Znupd (STEP-1) is carried out at an applied potential of –0.95 V vs. SHE. Spontaneous surface-limited redox replacement (STEP-2) of Znupd by nobler Co is performed under open-circuit conditions in an electrolyte with 100 mM CoSO4 at pH = 6.5.

During e-ALD of Co using the two-step protocol outlined above, the current and electrode potential transients were recorded. These are shown in Figs. 5.4 and 5.5, respectively. The following observations were made:

(i) During potentiostatic Znupd adlayer formation (STEP-1), the current density

changes dramatically over the 20 s UPD time period. The current density is large

(~500 μA/cm2) at short times and rapidly decreases over 20 s (Fig. 5.4). This

decrease is consistent with the self-terminating properties of the Znupd process. It is

noted that the charge density measured by integrating the current trace over time is

larger during the first few cycles, and then decreases to a constant cycle-

119

independent value after about 2–3 cycles. The rationale for this is the increased

propensity for hydrogen evolution on the exposed Ru substrate which is not

completely covered by Co during the first few cycles of e-ALD. After about 3

cycles, when the Ru substrate is completely covered by Co, the integrated charge

density during Znupd on Co remains constant.

Figure 5.4. Transient response of the current density during underpotential deposition of Zn measured in STEP-1 of each electrochemical ALD cycle. Response is shown for e-ALD cycles n = 1, 2, 5 and 6.

(ii) During the subsequent SLRR step (STEP-2), a gradual anodic drift in the

open-circuit potential was noticed (Fig. 5.5). This indicates that the sacrificial Znupd

layer (which is less noble) is gradually replaced by a more noble Co layer. The

steady-state OCP of a pure PVD-Co substrate in the SLRR electrolyte was

120

independently measured to be about –0.3 V vs. SHE. Thus, the SLRR process step

(STEP-2) was terminated after 60 s when the surface potential reaches close to

–0.3 V (Fig. 5.5) indicative of the near complete redox replacement reaction. Not

self-evident in Fig. 5.5 is the drift of the substrate OCP after varying number of e-

ALD cycles. As the number of e-ALD Co cycles increased, the OCP of the substrate

(measured just before the Znupd step was potentiostatically triggered) drifted in the

negative (cathodic) direction. This too suggested that the noble Ru substrate was

gradually covered with Co as the number of e-ALD cycles increased.

Figure 5.5. Transient response of the electrode potential during electrochemical ALD of Co mediated by the surface-limited redox replacement of sacrificial Znupd. Response is shown for e-ALD cycles n = 1, 2, 5 and 6.

121

5.3.3 Characterization of Electrochemical ALD Co Coverage on Ru using Zn

Underpotential Deposition

The onset potential for Znupd depends on the substrate. This is seen in Fig. 5.2. The

peak current associated with Znupd on Co corresponds to a potential of –0.77 V vs. SHE,

whereas the peak current associated with Znupd on Ru corresponds to –0.62 V vs. SHE, i.e., a 150 mV difference in the potentials for Znupd on Co vs. Ru. This suggests that linear sweep voltammetry (in a Znupd electrolyte) of a Ru substrate coated with e-ALD Co deposit can discern exposed Ru from Co-covered Ru. Such a technique, developed in greater depth elsewhere107, was implemented to characterize the evolution of e-ALD Co coverage on Ru.

Electrochemical ALD of Co was performed on a PVD-Ru substrate for various cycles following the protocol described above. After e-ALD Co deposition, the substrate was rinsed with de-oxygenated DI water, dried under a stream of N2 gas, and immersed in

a Znupd electrolyte (described in section 5.2.1). The electrode potential was scanned in the

cathodic direction from the OCP to –1 V vs. SHE at a scan rate of 20 mV/s. Fig. 5.6

portrays the LSV scans collected on a PVD-Ru substrate which was covered with varying

number of cycles (n) of e-ALD Co. The voltammogram collected on a blank PVD-Ru

substrate (n = 0) served as the baseline. For n = 0, the peak in the LSV scan at –0.62 V

represents Znupd on Ru as also seen earlier in Fig. 5.2. As the number of e-ALD Co cycles

increases (n = 3, 5, 10), a cathodic shift in the OCP was observed, consistent with the

transformation of the surface from Ru (more noble) to Co (comparatively less noble).

122

Figure 5.6. Linear scan voltammetry collected during Znupd onto a PVD-Ru substrate (n = 0) or on a Ru substrate covered with various cycles (n = 3, 5, 10) of Co e-ALD. Electrolyte is 1 mM ZnSO4 supported by 100 mM NH4OH. Scan rate is 20 mV/s.

123

After 3 cycles of e-ALD Co deposited on Ru, the broad peak around –0.62 V was still observed indicative of the fact that Ru was not completely covered by the e-ALD Co deposit. A small peak around –0.83 V began to form after n = 3 cycles suggesting that Co deposition had commenced, thereby providing sites for Znupd deposition on Co in the LSV

scan. This observation suggests that the Ru substrate is not completely covered by Co in

the first few cycles of e-ALD. This could be due to various factors such as corrosion of the

Znupd adlayer due to small quantities of dissolved oxygen (even after rigorous de-aeration)

or surface oxidation during sample transfer in air. Increasing the cycle number further

decreased the current associated with Znupd on Ru. After 5 cycles of e-ALD Co, the Znupd

peak on Ru completely disappeared and a well-defined Znupd peak on e-ALD deposited Co

appeared at –0.83 V vs. SHE. This indicates that, after n = 5 cycles, the Ru substrate was

completely covered by Co. After n = 10 cycles, the voltammograms essentially resembled

the one after n = 5 cycles indicating no active surface area increase (i.e., no roughness

evolution) between 5 and 10 cycles of e-ALD. This is further discussed in the coulometry measurements provided below.

5.3.4 Electrochemical ALD of Co Growth Rate Characterization using Anodic

Stripping Coulometry

To determine the mass of e-ALD Co deposited as a function of the e-ALD cycle number (n), anodic stripping coulometry was performed. After e-ALD Co deposition, anodic stripping coulometry was performed in a 100 mM CoSO4 electrolyte with pH

adjusted to ~5. Stripping was performed potentiostatically at 0.3 V vs. SHE. At this potential, hydrogen evolution is not thermodynamically permitted. Thus, the only feasible

124

reaction is Co dissolution. The current density transients during stripping of 3 cycles of

e-ALD Co (on Ru substrate) is shown in Fig. 5.7a. During anodic stripping, the current

eventually decays to zero after ~30 s indicating complete stripping of the Co layer from the

Ru substrate. The Co stripping charge density was plotted as a function of the number of

e-ALD Co cycles. This is shown in Fig. 5.7b. A linear increase in charge density was

observed, indicating the constant growth rate of Co e-ALD on Ru. From Fig. 5.7b, a growth

rate corresponding to 172 µC/cm2 per e-ALD cycle (or 0.54× 1015 atoms/cm2/cycle) was

computed. This surface atom density is lower than that expected for complete Co

monolayer coverage in each cycle, suggesting that the deposition rate is likely to provide

sub-monolayer growth per deposition cycle. The likely cause of this is the surface oxidation of the Znupd layer during sample transfer (exposure to ambient) between the UPD and

SLRR steps. In analogous two-step e-ALD experiments for Cu deposition, low average mass densities were observed per deposition cycle. This indirectly supports the Zn oxidation induced loss of deposition efficiency in a two-cell e-ALD configuration.

125

Figure 5.7. Current and charge densities measured during stripping of e-ALD Co at an applied potential of 0.3 V vs. SHE in deoxygenated 100 mM CoSO4 electrolyte at pH = 5. (a) Typical stripping current density transient (after n = 3 cycles of e-ALD); and (b) Stripping charge density shows a linear relationship with the number of e-ALD cycles, indicating consistent e-ALD Co growth rate. 126

5.3.5 Roughness Evolution during Co Electrochemical ALD

The surface morphology of the deposited e-ALD Co films was characterized using

an electrochemical technique based on coulometry during Znupd formation. Coulometry

during UPD formation has been used previously to characterize the electrochemically

active surface area and thus the evolution of roughness during deposition87,88,107. The

fabricated e-ALD Co films were transferred to a Znupd electrolyte. In this electrolyte, the

substrate potential was scanned from OCP to –0.9 V vs. SHE at a scan rate of 20 mV/s and the charge was recorded. This facilitates Znupd as confirmed earlier in Figs. 5.1 and 5.2. For

coulometry-based roughness characterization, the first few cycles of e-ALD were neglected

as these do not provide coalesced films (discussed above). Coulometry data beyond 5

cycles was obtained because the Ru substrate was completely covered by e-ALD Co after

5 cycles (Fig. 5.6). The charge density for Znupd on e-ALD Co was estimated by integrating the area under the curve from OCP to –0.9 V vs. SHE.

Table 5.1. Charge density measured during Znupd onto e-ALD deposited Co films after varying number of e-ALD cycles. The charge density is relatively independent of the number of e-ALD Co cycles, which is an indication of minimal roughness amplification during Co e-ALD on Ru.

Net Charge Density Measured Number of Co e-ALD cycles (n) (µC/cm2) 0 600 5 560 7 595 8 581 10 616

127

It is worthwhile to mention that the charge density measured incorporates Zn+2-reduction

and contributions due to parasitic hydrogen co-evolution. The charge density on a Ru

substrate (n = 0) was also calculated for reference. The net charge density remained

unaltered during the first 10 cycles of e-ALD Co as seen in Table 5.1. Since the Znupd

charge density is an indirect measure of the electrochemically active surface area, it may

be concluded that substantial roughness evolution did not occur during the first 10 cycles

of e-ALD. The smooth nature of the e-ALD Co deposits fabricated through Znupd was further confirmed by AFM measurements as shown in Fig. 5.8. The deposit RMS roughness does not increase substantially beyond the substrate roughness up to 10 cycles of Co e-ALD indicating minimal roughness evolution and supporting the true layer-by- layer growth mode of e-ALD. This is consistent with the coulometry based roughness characterization reported in Table 5.1.

128

Figure 5.8. (a) RMS values indicates minimal roughness evolution during electrochemical ALD of Co; and (b) Corresponding AFM images for 0, 3 and 10 cycles of Co e-ALD.

129

5.4 Conclusions

In this chapter, an electrochemical ALD process, which utilizes sacrificial Znupd

instead of conventionally used Pbupd, for enabling the deposition of Co films on a Ru

substrate is demonstrated. This two-step process, involving Znupd followed by its redox

replacement by Co, allows layer-by-layer growth of Co films. Process characteristics, i.e.,

deposition rate, surface coverage evolution and film roughness, were investigated using

electrochemical methods. These characteristics were found to be favorable for potential

application of e-ALD for nano-fabrication of Co films in semiconductor interconnect metallization.

130

CHAPTER 6. Electroless Atomic Layer Deposition of Copper Facilitated by Electroless Zinc Underpotential Deposition

6.1 Introduction

The e-ALD process is extensively discussed in the previous chapters37,49,50,52. In the

e-ALD approach, Znupd is performed under potentiostatic conditions which implies that

external control of the electrode potential is necessary. Subsequently, during the surface- limited redox replacement (SLRR) step, the Zn adlayer is replaced by Cu under open-

circuit conditions. Multilayers of Cu may be grown, in a layer-by-layer deposition sequence, by repeating UPD and SLRR process steps cyclically. The performance characteristics of ‘one-cell’ Cu e-ALD were discussed in detail in Chapter 3 and 4.

Despite its numerous attractive features, e-ALD suffers from one major drawback that may limit its use in certain applications. Practically relevant substrates in semiconductor nano-electronics are often highly resistive or contain micro-patterns. The precise and uniform application of a predetermined electrode potential (as needed in the

UPD step of e-ALD) to all electro-active regions of a resistive or micro-patterned substrate may be challenging or even impractical. Therefore, development of a UPD process in which controlling substrate’s potential externally is not essential is of great interest. Two such attempts are reported in literature. Cappillino et al.60 reported atomic-layer electroless

deposition by hydrogen (H) assisted modification of a palladium (Pd) electrode followed

by substitution of the surface PdH layer by a nobler metal; however, this technique is not

feasible for the deposition of metals more negative in the EMF series than H2 such as Co.

59,62 Furthermore, Ambrozik et al. reported an electroless approach in which Pbupd formation 131

was facilitated by the use of an ‘executive’ Pb counter electrode rather than direct electrode

potential manipulation; but, this is not a true electroless process as it requires an external

electrical contact during the UPD step. To address these shortcomings, in the present work, electroless (or contactless) deposition of an adlayer of Znupd is facilitated using glyoxylic

acid as a reducing agent. Redox replacement (SLRR) of Znupd by a nobler Cu layer then

enables atomic layer deposition in a truly electroless or contactless mode. Polarization

studies of the oxidation and reduction half-reactions confirm electroless Znupd formation.

Anodic stripping coulometry is used to validate the layer-by-layer growth mode in

electroless Cu e-ALD. Finally, the roughness of the deposited Cu films was characterized

using atomic force microscope (AFM).

6.2 Experimental Details

6.2.1 Methods

Polarization Studies.__ Linear sweep voltammetry (LSV) was utilized to investigate the

oxidation kinetics of glyoxylic acid (GA) on a PVD-Cu substrate with a surface area of

1 cm2. GA oxidation studies were performed in a three-electrode cell in which the Cu substrate served as working electrode, a platinum (Pt) wire served as counter electrode, and a saturated Ag|AgCl (0.199 V vs. SHE, Radiometer Analytical) electrode served as reference electrode. All solutions were prepared using analytical-grade chemical reagents and de-oxygenated DI water. The alkaline electrolyte (pH = 13 adjusted using KOH) for

GA oxidation studies consisted of 190 mM glyoxylic acid monohydrate (CHOCOOH.H2O,

Acros Organics) at 60 °C (referred to as ‘GA only’ electrolyte). The electrolyte was

132

deoxygenated by bubbling argon (Ar) gas for 1 hr prior to experiments. The electrode

potential was scanned at 20 mV/s using PAR VersaSTAT 4 potentiostat. GA oxidation

polarization was also investigated on a PVD-Cu substrate coated with a thin (~0.5 µm)

layer of electroplated Zn. Additionally, Zn underpotential deposition (Znupd) studies were

performed on a PVD-Cu substrate. The electrolyte employed for Znupd studies consisted of

1 mM zinc oxide (ZnO, Fisher Scientific) in pH = 13 solution (referred to below as ‘Zn only’ electrolyte). Electrolyte temperature was maintained at 60 °C through a temperature controlled circulating bath.

__ Two-Compartment Cell for Znupd Studies. A two-compartment galvanic electrochemical

cell was employed to demonstrate Znupd. One compartment contained ‘GA only’ electrolyte

whereas the other compartment contained ‘Zn only’ electrolyte. The two compartments

were connected by a porous frit. A PVD-Cu electrode (1 cm2 surface area) was placed in

each compartment. To facilitate spontaneous Znupd, the two Cu electrodes were contacted

externally. Following Znupd on Cu, GA oxidation polarization measurements were

performed on the same electrode in a separate three-electrode cell containing ‘GA only’

electrolyte. Potential was scanned at 20 mV/s.

Electroless (Contactless) Atomic Layer Deposition of Cu. __ A specialized substrate

consisting of ruthenium (Ru) coated with a Cuupd monolayer (ML) was used for

demonstrating electroless Cu e-ALD. Conditions under which the Cuupd ML can be formed

124 are described elsewhere . After Cuupd ML deposition, the Ru substrate was dried under a

stream of nitrogen, and then immediately immersed in an electrolyte containing 190 mM

133

GA and 1 mM ZnO adjusted to pH = 13 and maintained at 60 °C (referred to as ‘complete

electroless’ electrolyte). This facilitated, under open-circuit conditions, spontaneous

2- oxidation of GA which provided electrons for the reduction of [Zn(OH)4] ions to a Znupd

layer. After immersion in the ‘complete electroless’ solution for 300 s, the substrate was

removed and dried again. Then, it was immersed in an acidified (pH = 4) 100 mM CuSO4

solution for 30 s to facilitate the spontaneous surface-limited redox replacement of

electroless-deposited Znupd by a Cu ML. In some experiments, the electroless Znupd and

SLRR process steps were repeated to deposit additional Cu MLs. During sample transfer

between electrolytes, the substrate was rinsed in de-oxygenated DI water and dried under

nitrogen gas. After electroless Cu e-ALD was complete, anodic stripping coulometry was

performed to quantify the mass of Cu deposited. The electrolyte employed for stripping coulometry consisted of 50 mM H2SO4. During stripping, the potential was scanned from

OCP to 0.6 V vs. SHE followed by a potentiostatic hold at 0.6 V to completely strip the

deposited Cu. The stripping charge density provided via Faraday’s law the mass density of

Cu deposited during electroless e-ALD. Roughness of the electroless e-ALD Cu films was characterized using AFM (Veeco Dimension 3100 AFM) operated under tapping mode.

6.3 Results and Discussion

In this section, polarization studies of the anodic and cathodic half-reactions during

electroless Znupd are reported. After demonstration of electroless Znupd, an electroless

e-ALD protocol is presented that allows for the fabrication of Cu atomic layers. Finally,

the process characteristics such as the growth rate and roughness evolution during

electroless Cu e-ALD are characterized.

134

6.3.1 Polarization Studies of GA Oxidation and Znupd

Glyoxylic (GA) is widely used as a reducing agent in the bulk electroless deposition

of Cu125,126; however, it’s use as reductant in adlayer formation by UPD has not been

attempted. In this work, GA oxidation on Cu using the ‘GA only’ electrolyte (composition

reported in experimental section) at pH = 13 and at 60 °C was attempted. Znupd formation

was also investigated under similar conditions but from a ‘Zn only’ electrolyte. Fig. 6.1

illustrate the polarization behaviors of the GA oxidation and the Znupd formation reactions.

From Fig. 6.1, it is observed that at a potential of about –0.79 V vs. SHE both GA oxidation

2 on Cu and Znupd formation reactions are facilitated at equal rates (27 µA/cm ). Thus, in

accordance with mixed potential theory, GA oxidation can spontaneously induce Znupd

formation at –0.79 V. Note that bulk electrodeposition of Zn occurs at potentials negative

-2 with respect to –1.3 V vs. SHE when using [Zn(OH)4] = 1 mM (pH = 13). Also, at

–0.79 V, H2 co-evolution is minimal because of the alkaline medium and the high

overpotential for HER on Zn50. Thus, the reductive current measured in the ‘Zn only’

electrolyte (Fig. 6.1, grey curve) at –0.79 V corresponds to Znupd. From above observations, it is concluded that GA oxidation can spontaneously trigger Znupd formation on Cu.

GA oxidation polarization was also investigated on a PVD-Cu substrate coated with a thin (~0.5 µm) layer of electroplated Zn. A substantial anodic shift in the GA oxidation polarization curve on Zn (compared to that on Cu) is evident in Fig. 6.1. This suggests that if a Cu substrate is completely covered with Zn, the GA oxidation reaction is rendered unfavorable. This property allows for self-terminating attributes of the electroless Znupd

process as shown below.

135

Figure 6.1. Linear scan voltammograms showing the polarization behavior of (i) GA oxidation on Cu (blue); (ii) GA oxidation on Zn (red) from an electrolyte containing 190 mM GA at pH = 13, 60 °C; and (iii) Znupd on Cu (grey) from an electrolyte containing 1 mM ZnO maintained at pH = 13, 60 °C.

6.3.2 Feasibility Demonstration of an Electroless (Contactless) Znupd Process in a

Galvanic Two-Compartment Cell

The two-compartment electrochemical setup and protocol employed is depicted in

Fig. 6.2 and details are discussed in experimental section above. In the presence of external contact, GA oxidation is facilitated on the Cu electrode in the left-side ‘GA only’ compartment. This releases electrons which are transferred externally to the Cu electrode in the right-side ‘Zn only’ electrolyte thereby facilitating Znupd. This is confirmed by

polarization of the Znupd-covered Cu electrode in a ‘GA only’ electrolyte. The GA

oxidation now closely matches that on an electroplated Zn surface [Fig. 6.2(a)], which 136

confirms the presence of electroless Znupd. However, in the absence of external contact between the Cu electrodes, one placed each in the ‘GA only’ and the ‘Zn only’ electrolytes, no Znupd adlayer is formed. This is confirmed via polarization measurements on the Cu

electrode originally placed in the ‘Zn only’ electrolyte and then transferred to a ‘GA only’

electrolyte for characterizing the GA oxidation kinetics. The GA oxidation polarization

behavior [shown in Fig. 6.2(b) in red] closely matches that of GA oxidation on a blank Cu

electrode [Fig. 6.2(b) in blue] suggesting that Znupd formation was not facilitated in the

two-compartment setup in the absence of external contact.

Figure 6.2. Two-compartment cell to study electroless Znupd: (a) In the presence of external contact, Znupd is facilitated in the right-side compartment. This is confirmed by polarization measurements of the Znupd-coated Cu electrode in a separate cell with ‘GA only’ electrolyte; (b) In the absence of external contact, the Cu electrode in the right-side compartment does not facilitate Znupd.

137

In the presence of an external electrical connection in the two-compartment cell,

the GA oxidation reaction (left-side compartment) is:

−− 2CHOCOOH+2 OH → 2 COOHCOOH++ H2 2 e [6.1]

The above reaction proceeds favorably on Cu sites thereby releasing electrons that

are used in Znupd adlayer formation (right-side compartment):

−2 −− [(Zn OH )4 ] +→24e Znupd +OH [6.2]

The net spontaneous reaction in a ‘complete electroless’ solution would then be:

−2 − [(Zn OH )4 ] + 2CHOCOOH→+ Znupd ++22 COOHCOOH H2 OH [6.3]

Since GA oxidation is only effectively catalyzed by Cu and not by Zn (Fig. 6.1), the

reaction [6.3] above would self-terminate after the Znupd has completely covered the underlying Cu substrate.

138

Figure 6.3. Schematic of the electroless Cu e-ALD process mediated by electroless Znupd: (a) depicts the starting substrate (Ru with 1ML Cu); (b) represents the sequential cycle of electroless Znupd followed by its redox replacement by Cu; and (c) depicts anodic stripping coulometry as a means to measure the mass of the deposited electroless e-ALD Cu films.

6.3.3 Growth Rate and Roughness Characterization during Electroless ALD

The sequence of process steps used to fabricate Cu via electroless e-ALD is shown

in Fig. 6.3. Electroless e-ALD consisted of: (i) electroless Znupd in a ‘complete electroless’

bath (composition described above) followed by (ii) SLRR of Znupd adlayer by a Cu ML.

Stripping coulometry was employed to characterize the deposited Cu after each electroless

e-ALD cycle. The total stripping (Cuupd + electroless e-ALD) current density transients

during stripping of 5 cycles of electroless Cu e-ALD is shown in Fig. 6.4a. During anodic stripping, the current eventually decays to zero after ~30 s indicating complete stripping of the Cu layer from the Ru substrate. The stripping charge density (compensated for the

initial Cuupd layer) is shown in Fig. 6.4 as a function of the number of electroless e-ALD cycles. A linear increase in Cu stripping charge density is observed with increasing number of cycles indicating a steady growth rate corresponding to ~197 µC/cm2/cycle, which is

equivalent to a mass gain of ~65 ng/cm2/cycle. This growth rate is somewhat lower than

that reported in Chapter 3 for ‘one-cell’ Cu e-ALD50 indicating sub-monolayer growth in

139 electroless e-ALD likely due to surface oxidation of the Znupd during sample transfer between the UPD and SLRR electrolytes. Analogous ‘two-pot’ e-ALD Cu experiments also provided similar (lower) growth rates suggesting surface oxidation as the likely cause.

Electroless e-ALD deposit roughness characterization (Fig. 6.5) confirmed that the

RMS roughness was maintained at the substrate roughness level (~2.5 Å) during the initial four cycles of electroless e-ALD.

140

Figure 6.4. Current and charge densities measured during stripping of total Cu deposited (Cuupd + electroless Cu e-ALD) at an applied potential of 0.6 V vs. SHE in deoxygenated 50 mM H2SO4 electrolyte. (a) Typical stripping current and charge density transient (after n = 5 cycles of electroless e-ALD); and (b) Electroless e-ALD Cu stripping mass gain (after subtracting charge due to Cuupd) shows a linear relationship with the number of electroless e-ALD cycles.

141

Figure 6.5. RMS roughness measured using AFM after various electroless e-ALD cycles. (a) AFM line scans during various (n = 0, 2, 4) e-ALD cycles; and (b) RMS values indicate that the roughness does not substantially increase above the substrate roughness level during the first 5 cycles of electroless Cu e-ALD.

6.4 Conclusions

A novel technique for electroless (contactless) e-ALD of Cu via electroless Znupd is

demonstrated. This technique utilizes electroless-deposited sacrificial Znupd adlayer which

then undergoes spontaneous surface-limited replacement by Cu. The developed electroless

e-ALD process is robust, as it enables contactless implementation of e-ALD which has

numerous advantages including the ability to fabricate atomically-precise and smooth Cu

films onto electrically-isolated or micro-patterned substrates used in semiconductor nano-

manufacturing.

142

CHAPTER 7. Conclusions and Future Work

7.1 Summary and Conclusions

A novel electrochemical ALD process mediated by sacrificial Znupd has been

developed for the fabrication of nano-films of Cu and Co with precise atomic-scale control over thickness without evolution of surface roughness. The present study leads to the

following key conclusions:

1. Zn underpotential deposition was studied on Cu, Ru and Co substrates and the self-

limiting characteristics of Znupd were verified. Chronoamperometry during Znupd

provided the transport-kinetics properties, i.e., the diffusion coefficient of Zn+2

−5 2 −3 DZn =1.16 × 10 cm /s and the surface reaction rate constant krxn =2.93 × 10 cm/s.

2. Basic feasibility of the Cu e-ALD process mediated by Znupd was demonstrated

employing e-QCM. The efficiency of the Cu e-ALD process was 86%. Smooth and

conformal deposition onto blanket and patterned substrates was confirmed using AFM

and TEM. The Cu e-ALD process provides a route for one-step, lead-free ALD of seed

layers in semiconductor interconnect metallization.

3. A comprehensive diffusion-reaction model was developed to characterize the growth

rate and morphology evolution during Cu e-ALD as a function of various process

+2 b +2 b variables: bulk Cu concentration (CCu ), Zn concentration (CZn ), and the UPD time

b (tupd). The Cu e-ALD growth rate and deposit roughness increased with increase in CCu 143

b and tupd, while the growth rate exhibited no dependence on CZn . The model thus

provides guidelines for the selection of optimal process parameters that enable e-ALD

of Cu with minimal roughness evolution and a deposit growth rate close to a

monolayer-per-cycle.

4. The Znupd enabled e-ALD approach was also extended to more active metals such as

Co. A two-step process involving Znupd followed by its redox replacement by Co,

enabled layer-by-layer growth of Co nano-films.

5. A protocol for electroless zinc underpotential deposition was developed using

glyoxylic acid as the reducing agent. This enabled electroless e-ALD for the fabrication

of atomically-precise and smooth Cu films on resistive or micro-patterned substrates.

7.2 Outlook and Future Work

Electrochemical ALD is considered a promising alternative to replace the conventional deposition techniques (electrodeposition and vapor-phase ALD) in advanced semiconductor interconnect metallization. The electrochemical ALD protocol developed in the present thesis employs a benign aqueous chemistry based on Znupd for enabling thin films of Cu and Co with precise atomic scale control over thickness. However, e-ALD of non-metals such as Ge in aqueous electrolytes is challenging due to the pronounced effect

127–129 of H2 evolution on a Ge surface . Suggested future directions to address this challenge

include fundamental investigations of the e-ALD process in non-aqueous electrolytes such

as the deep eutectic solvents which have higher electrochemical stability than water130–132. 144

In relation to this, mechanistic understanding of the underpotential deposition process itself

from non-aqueous deep eutectic solvents is lacking and significant research opportunities

exist to address this lack of mechanistic knowledge. With continued device miniaturization

following Moore's law, increased electrical resistivity of sub-10 nm Cu lines is a major

133,134 issue. Compounds such as Cu3Ge which possess low thin-film resistivity are being

researched but approaches like e-ALD to enable their atomically-precise fabrication must

be investigated.

Numerous other applications require atomically-precise fabrication of materials.

For example, high surface area core-shell electrocatalysts where the shell is Pt or Pt-alloy

135,136 are used in fuel cells . Fabrication of monolayer-thick shells of Pt3Ni

electrocatalysts137,138 using e-ALD is an area for future work to extend the applicability of

the Znupd-enabled e-ALD process developed in this work.

145

BIBLIOGRAPHY

1. G. E. Moore, “Craming more components onto integrated circuits,” Electronics, 38,

8, 114–117, 1965.

2. Z. Tokei, I. Ciofi, P. Roussel, P. Debacker, P. Raghavan, M. H. Van Der Veen, N.

Jourdan, C. J. Wilson, V. V. Gonzalez, C. Adelmann, L. Wen, K. Croes, O. V. P. K.

Moors, M. Krishtab, S. Armini, and J. Bommels, “On-chip interconnect trends,

challenges and solutions: how to keep RC and reliability under control,” 2016 IEEE

Symp. VLSI Technol., 1–2, 2016.

3. F. Griggio, J. Palmer, F. Pan, N. Toledo, A. Schmitz, I. Tsameret, R. Kasim, G.

Leatherman, J. Hicks, A. Madhavan, J. Shin, J. Steigerwald, A. Yeoh, and C. Auth,

“Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic

technology,” 2018 IEEE Int. Reliab. Phys. Symp., 6E.3-1-6E.3-5, 2018.

4. A. S. Oates, “Interconnect reliability challenges for technology scaling : A circuit

focus,” 2016 IEEE Int. Interconnect Technol. Conf. / Adv. Met. Conf., 59, 2016.

5. M. R. Baklanov, C. Adelmann, L. Zhao, and S. De Gendt, “Advanced interconnects:

materials, processing, and reliability,” ECS J. Solid State Sci. Technol., 4, 1, Y1–

Y4, 2015.

6. X. Zhang, H. Huang, R. Patlolla, F. W. Mont, X. Lin, M. Raymond, C. Labelle, E.

T. Ryan, D. Canaperi, T. E. Standaert, T. Spooner, G. Bonilla, and D. Edelstein,

“Methods to lower the resistivity of ruthenium interconnects at 7 nm node and

beyond,” 2017 IEEE Int. Interconnect Technol. Conf., 1–3, 2017.

146

7. A. Grill, J. P. Hummel, C. V. Jahnes, V. V. Patel, and K. L. Saenger, “Dual

damascene processing for semiconductor chip interconnects,” U.S. Patent No.

6,140,226., 2000.

8. C. W. Kaanta, S. G. Bombardier, W. J. Cote, W. R. Hill, G. Kerszykowski, H. S.

Landis, D. J. Poindexter, C. W. Pollard, G. H. Ross, J. G. Ryan, S. Wolff, and J. E.

Cronin, “Dual Damascene: a ULSI wiring technology,” 1991 Proc. Eighth Int. IEEE

VLSI Multilevel Interconnect. Conf., 144–152, 1991.

9. S. Lakshminarayanan, J. Steigerwald, D. T. Price, M. Bourgeois, T. P. Chow, R. J.

Gutmann, S. P. Murarka, S. Member, and S. Contacts, “Contact and via Structures

with copper interconnects fabricated using dual damascene technology,” IEEE

Electron Device Lett., 15, 8, 307–309, 1994.

10. H. Philipsen, K. Vandersmissen, A. Cockburn, D. Erickson, C. Drijbooms, A.

Moussa, H. Bender, and H. Struyf, “Metrology for monitoring and detecting process

issues in a TSV module,” ECS J. Solid State Sci. Technol., 3, 6, Q109–Q119, 2014.

11. A. Radisic, Y. Cao, P. Taephaisitphongse, A. C. West, and P. C. Searson, “Direct

copper electrodeposition on TaN barrier layers,” J. Electrochem. Soc., 150, 5, C362–

C367, 2003.

12. X. Jing, J. Tan, and J. Liu, “32/28nm BEOL Cu gap-fill challenges for metal film,”

2015 China Semicond. Technol. Int. Conf., 1–3, 2015.

13. S. M. George, “Atomic layer deposition: An overview,” Chem. Rev., 110, 1, 111–

131, 2010.

147

14. M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): From precursors to thin

film structures,” Thin Solid Films, 409, 1, 138–146, 2002.

15. Y. Xuan, Y. Q. Wu, T. Shen, T. Yang, and P. D. Ye, “High performance submicron

inversion-type enhancement-mode InGaAs MOSFETs with ALD Al2O3, HfO2 and

HfAlO as gate dielectrics,” 2007 IEEE Int. Electron Devices Meet., 637–640, 2007.

16. V. Pore, A. Rahtu, M. Leskelä, M. Ritala, T. Sajavaara, and J. Keinonen, “Atomic

layer deposition of photocatalytic TiO2 thin films from tetramethoxide and

water,” Chem. Vap. Depos., 10, 3, 143–148, 2004.

17. Z. Li, S. T. Barry, and R. G. Gordon, “Synthesis and characterization of copper(I)

amidinates as precursors for atomic layer deposition (ALD) of copper metal,” Inorg.

Chem., 44, 6, 1728–1735, 2005.

18. J. M. Kim, H. B. R. Lee, C. Lansalot, C. Dussarrat, J. Gatineau, and H. Kim,

“Plasma-enhanced atomic layer deposition of cobalt using cyclopentadienyl

isopropyl acetamidinato-cobalt as a precursor,” Jpn. J. Appl. Phys., 49, 05FA10: 1-

5, 2010.

19. T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, “Reaction mechanism studies on

atomic layer deposition of ruthenium and platinum,” Electrochem. Solid-State Lett.,

6, 9, C130–C133, 2003.

20. T. Aaltonen, P. Alen, M. Ritala, and M. Leskelä, “Ruthenium thin films grown by

atomic layer deposition,” Chem. Vap. Depos., 9, 1, 45–49, 2003.

21. Z. Li, A. Rahtu, and R. G. Gordon, “Atomic layer deposition of ultrathin copper

148

metal films from a liquid copper(I) amidinate precursor,” J. Electrochem. Soc., 153,

11, C787–C794, 2006.

22. P. Mårtensson and J. O. Carlsson, “Atomic layer epitaxy of copper on tantalum,”

Chem. Vap. Depos., 3, 1, 45–50, 1997.

23. T. P. Moffat, M. Walker, P. J. Chen, J. E. Bonevich, W. F. Egelhoff, L. Richter, C.

Witt, T. Aaltonen, M. Ritala, M. Leskelä, and D. Josell, “Electrodeposition of Cu on

Ru barrier layers for damascene processing,” J. Electrochem. Soc., 153, 1, C37–

C50, 2006.

24. P. C. Andricacos, C. Uzoh, J. O. Dukovic, J. Horkans, and H. Deligianni,

“Damascene copper electroplating for chip interconnections,” IBM J. Res. Dev., 42,

5, 567–574, 1998.

25. R. Akolkar and U. Landau, “A time-dependent transport-kinetics model for additive

interactions in copper interconnect metallization,” J. Electrochem. Soc., 151, 11,

C702–C711, 2004.

26. O. Chyan, T. N. Arunagiri, and T. Ponnuswamy, “Electrodeposition of copper thin

film on ruthenium,” J. Electrochem. Soc., 150, 5, C347–C350, 2003.

27. A. Joi, R. Akolkar, and U. Landau, “Additives for bottom-up copper plating from

an alkaline complexed electrolyte,” J. Electrochem. Soc., 160, 12, D3001–D3003,

2013.

28. D. Josell, D. Wheeler, C. Witt, and T. P. Moffat, “Seedless superfill: Copper

electrodeposition in trenches with ruthenium barriers,” Electrochem. Solid-State

149

Lett., 6, 10, C143–C145, 2003.

29. R. Chan, T. N. Arunagiri, Y. Zhang, O. Chyan, R. M. Wallace, M. J. Kim, and T.

Q. Hurd, “Diffusion studies of copper on ruthenium thin film,” Electrochem. Solid-

State Lett., 7, 8, G154–G157, 2004.

30. M. Zheng, M. Willey, and A. C. West, “Electrochemical nucleation of copper on

ruthenium,” Electrochem. Solid-State Lett., 8, 10, C151–C154, 2005.

31. U. Emekli and A. C. West, “Electrochemical nucleation of copper: The effect of

poly(ethylene glycol),” J. Electrochem. Soc., 157, 5, D257–D263, 2010.

32. U. Emekli and A. C. West, “Effect of additives and pulse plating on copper

nucleation onto Ru,” Electrochim. Acta, 54, 4, 1177–1183, 2009.

33. A. Radisic, A. C. West, and P. C. Searson, “Influence of additives on nucleation and

growth of copper on n-Si(111) from acidic sulfate solutions,” J. Electrochem. Soc.,

149, 2, C94–C99, 2002.

34. A. Radisic, P. M. Vereecken, P. C. Searson, and F. M. Ross, “The morphology and

nucleation kinetics of copper islands during electrodeposition,” Surf. Sci., 600, 9,

1817–1826, 2006.

35. J. Kelber, S. Rudenja, and C. Bjelkevig, “Electrodeposition of copper on Ru(0 0 0

1) in sulfuric acid solution: Growth kinetics and nucleation behavior,” Electrochim.

Acta, 51, 15, 3086–3090, 2006.

36. R. Akolkar, C.-C. Cheng, R. Chebiam, A. Fajardo, and V. Dubin, “Advanced

Electrochemical Processes for Sub-50 nm On-chip Metallization,” in ECS

150

Transactions, 2007, 2, 6, 13–18.

37. C. Thambidurai, D. K. Gebregziabiher, X. Liang, Q. Zhang, V. Ivanova, P.-H.

Haumesser, and J. L. Stickney, “E-ALD of Cu nanofilms on Ru/Ta wafers using

surface limited redox replacement,” J. Electrochem. Soc., 157, 8, D466–D471,

2010.

38. C. Thambidurai, Y. G. Kim, and J. L. Stickney, “Electrodeposition of Ru by atomic

layer deposition (ALD),” Electrochim. Acta, 53, 21, 6157–6164, 2008.

39. R. R. Adžić, “Electrocatalysis on surfaces modified by foreign metal adatoms,” Isr.

J. Chem., 18, 1–2, 166–181, 1979.

40. R. R. Adžić, D. N. Simić, A. R. Despić, and D. M. Dražić, “Electrocatalysis by

foreign metal monolayers: Oxidation of formic acid on platinum,” J. Electroanal.

Chem., 65, 2, 587–601, 1975.

41. L. C. Grabow, Q. Yuan, H. A. Doan, and S. R. Brankovic, “Novel 2D RuPt core-

edge nanocluster catalyst for CO electro-oxidation,” Surf. Sci., 640, 50–58, 2015.

42. J. Kye, M. Shin, B. Lim, J.-W. W. Jang, I. Oh, and S. Hwang, “Platinum monolayer

electocatalyst on gold nanostructures on silicon for photoelectrochemical hydrogen

evolution.,” ACS Nano, 7, 7, 6017–6023, 2013.

43. D. Banga, B. Perdue, and J. Stickney, “Formation of CuIn(1-x)GaxSe2 (CIGS) by

electrochemical atomic layer deposition (ALD),” J. Electrochem. Soc., 161, 4,

D141–D146, 2014.

44. N. Jayaraju, D. Vairavapandian, Y. G. Kim, D. Banga, and J. L. Stickney,

151

“Electrochemical atomic layer deposition (E-ALD) of Pt nanofilms ssing SLRR

cycles,” J. Electrochem. Soc., 159, 10, D616–D622, 2012.

45. M. Fayette, Y. Liu, D. Bertrand, J. Nutariya, N. Vasiljevic, and N. Dimitrov, “From

Au to Pt via surface limited redox replacement of Pb UPD in one-cell

configuration,” Langmuir, 27, 9, 5650–5658, 2011.

46. J. Nutariya, M. Fayette, N. Dimitrov, and N. Vasiljevic, “Growth of Pt by surface

limited redox replacement of underpotentially deposited hydrogen,” Electrochim.

Acta, 112, 813–823, 2013.

47. D. Gokcen, S.-E. Bae, and S. R. Brankovic, “Stoichiometry of Pt submonolayer

deposition via surface-limited redox replacement reaction,” J. Electrochem. Soc.,

157, 11, D582–D587, 2010.

48. J. Y. Kim, Y.-G. Kim, and J. L. Stickney, “Copper nanofilm formation by

electrochemical atomic layer deposition,” J. Electrochem. Soc., 154, 4, D260–D266,

2007.

49. L. T. Viyannalage, R. Vasilic, and N. Dimitrov, “Epitaxial growth of Cu on Au (

111 ) and Ag ( 111 ) by surface limited redox replacement-An electrochemical and

STM study,” J. Phys. Chem. C, 111, 4036–4041, 2007.

50. K. Venkatraman, R. Gusley, L. Yu, Y. Dordi, and R. Akolkar, “Electrochemical

atomic layer deposition of copper: A lead-free process mediated by surface-limited

redox replacement of underpotentially deposited zinc,” J. Electrochem. Soc., 163,

12, D3008–D3013, 2016.

152

51. L. B. Sheridan, D. K. Gebregziabiher, J. L. Stickney, and D. B. Robinson,

“Formation of palladium nanofilms using electrochemical atomic layer deposition

(E-ALD) with chloride complexation,” Langmuir, 29, 5, 1592–1600, 2013.

52. S. R. Brankovic, J. X. Wang, and R. R. Adžić, “Metal monolayer deposition by

replacement of metal adlayers on electrode surfaces,” Surf. Sci., 474, L173–L179,

2001.

53. R. Vasilic, L. T. Viyannalage, and N. Dimitrov, “Epitaxial growth of Ag on Au(111)

by galvanic displacement of Pb and Tl monolayers,” J. Electrochem. Soc., 153, 9,

C648–C655, 2006.

54. R. Vasilic and N. Dimitrov, “Epitaxial growth by monolayer-restricted galvanic

displacement,” Electrochem. Solid-State Lett., 8, 11, C173–C176, 2005.

55. C. Mitchell, M. Fayette, and N. Dimitrov, “Homo- and hetero-epitaxial deposition

of Au by surface limited redox replacement of Pb underpotentially deposited layer

in one-cell configuration,” Electrochim. Acta, 85, 450–458, 2012.

56. X. Liang, Q. Zhang, M. D. Lay, and J. L. Stickney, “Growth of Ge nanofilms using

electrochemical atomic layer deposition, with a bait and switch surface-limited

reaction,” J. Am. Chem. Soc., 133, 21, 8199–8204, 2011.

57. D. Banga, N. Jarayaju, L. Sheridan, Y. G. Kim, B. Perdue, X. Zhang, Q. Zhang, and

J. Stickney, “Electrodeposition of CuInSe 2 (CIS) via Electrochemical Atomic

Layer Deposition (E-ALD),” Langmuir, 28, 5, 3024–3031, 2012.

58. X. Liang, N. Jayaraju, C. Thambidurai, Q. Zhang, and J. L. Stickney, “Controlled

153

electrochemical formation of GexSbyTez using atomic Layer Deposition (ALD),”

Chem. Mater., 23, 7, 1742–1752, 2011.

59. S. Ambrozik, B. Rawlings, N. Vasiljevic, and N. Dimitrov, “Metal deposition via

electroless surface limited redox replacement,” Electrochem. commun., 44, 19–22,

2014.

60. P. J. Cappillino, J. D. Sugar, F. El Gabaly, T. Y. Cai, Z. Liu, J. L. Stickney, and D.

B. Robinson, “Atomic-layer electroless deposition: A scalable approach to surface-

modified metal powders,” Langmuir, 30, 16, 4820–4829, 2014.

61. L. P. Colletti, B. H. Flowers, and J. L. Stickney, “Formation of thin films of CdTe,

CdSe, and CdS by electrochemical atomic layer epitaxy,” J. Electrochem. Soc., 145,

5, 1442–1449, 1998.

62. S. Ambrozik and N. Dimitrov, “The deposition of Pt via electroless surface limited

redox replacement,” Electrochim. Acta, 169, 248–255, 2015.

63. A. Krishnamoorthy, D. Duquette, and SP Murarka, “Copper alloy electroplating

bath for microelectronic applications,” U.S. Patent No. 6,319,387, 2001.

64. J. A. (John A. Dean, Lange’s handbook of chemistry, 15th ed. McGraw-Hill, 1999.

65. A. F. Mayadas and M. Shatzkes, “Electrical-resistivity model for polycrystalline

films: The case of arbitrary reflection at external surfaces,” Phys. Rev. B, 1, 4, 1382–

1389, 1970.

66. K. Fuchs, “The conductivity of thin metallic films according to the electron theory

of metals,” Math. Proc. Cambridge Philos. Soc., 34, 1, 100–108, 1938.

154

67. E. H. Sondheimer, “The mean free path of electrons in metals,” Adv. Phys., 1, 1, 1–

42, 1952.

68. D. Gall, “Electron mean free path in elemental metals,” J. Appl. Phys., 119, 085101:

1-5, 2016.

69. H. Zhang, J. Poole, R. Eller, and M. Keefe, “Cobalt sputtering target and sputter

deposition of Co thin films for cobalt silicide metallization,” J. Vac. Sci. Technol. A

Vacuum, Surfaces, Film., 17, 4, 1904–1910, 1999.

70. M. Hashimoto, H. Qiu, T. Ohbuchi, M. Adamik, H. Nakai, A. Barna, and P. B.

Barna, “Characterization of cobalt films grown on MgO ( 001 ) by dc-biased-sputter

deposition,” J. Cryst. Growth, 166, 792–797, 1996.

71. T. Maruyama, “Cobalt thin films prepared by chemical vapor deposition from cobalt

acetylacetonates,” Appl. Phys. Lett., 36, 2, 705–707, 1997.

72. A. R. Ivanova, G. Nuesca, X. Chen, C. Goldberg, A. E. Kaloyeros, B. Arkles, and

J. J. Sullivan, “The effects of processing parameters in the chemical vapor deposition

of cobalt from cobalt tricarbonyl nitrosyl,” J. Electrochem. Soc., 146, 6, 2139–2145,

1999.

73. R. G. Charles and P. G. Haverlack, “The chemical vapor deposition of cobalt metal

from cobalt (II) acetylacetonate,” J. Inorg. Nucl. Chem., 31, 4, 995–1005, 1969.

74. H.-B.-R. Lee and H. Kim, “High-quality cobalt thin films by plasma-enhanced

atomic layer deposition,” Electrochem. Solid-State Lett., 9, 11, G323–G325, 2006.

75. B. S. Lim, A. Rahtu, and R. G. Gordon, “Atomic layer deposition of transition

155

metals,” Nat. Mater., 2, 11, 749–754, 2003.

76. H. Shimizu, K. Sakoda, T. Momose, M. Koshi, and Y. Shimogaki, “Hot-wire-

assisted atomic layer deposition of a high quality cobalt film using cobaltocene:

Elementary reaction analysis on NH x radical formation,” J. Vac. Sci. Technol. A

Vacuum, Surfaces, Film., 30, 1, 01A144: 1-7, 2012.

77. F. Pearlstein and R. F. Weightman, “Electroless cobalt deposition from acid baths,”

J. Electrochem. Soc., 121, 8, 1023, 1974.

78. S. S. Djokic, “Electroless deposition of cobalt using hydrazine as a reducing agent,”

J.Electrochem.Soc., 144, 7, 2358–2363, 1997.

79. D. M. Kolb, M. Przasnyski, and H. Gerischer, “Underpotential deposition of metals

and work function differences,” Electroanal. Chem. Interfacial Electrochem., 54, 1,

25–38, 1974.

80. E. Herrero, L. J. Buller, and H. D. Abruña, “Underpotential deposition at single

crystal surfaces of Au, Pt, Ag and other materials,” Chem. Rev., 101, 7, 1897–1930,

2001.

81. M. T. Paffett, C. T. Campbell, T. N. Taylor, and S. Srinivasan, “Cu adsorption on

Pt(111) and its effects on chemisorption: A comparison with electrochemistry,”

Surf. Sci., 154, 1, 284–302, 1985.

82. G. M. Brisard, E. Zenati, H. a Gasteiger, N. M. Markovie, and P. N. Ross,

“Underpotential Deposition of lead on copper ( 111 ): A study using a single-crystal

rotating ring disk electrode and ex Situ low-energy electron diffraction and auger

156

electron spectroscopy,” Langmuir, 11, 16, 2221–2230, 1995.

83. K. Takayanagi, D. M. Kolb, K. Kambe, G. Lehmpfuhl, and and G. L. K.

Takayanagi, D. M. Kolb, K. Kambe, “Deposition of monolayer and bulk lead on

Ag(111) studied in vacuum and in an electrochemical cell,” Surf. Sci., 100, 2, 407–

422, 1980.

84. Y. Yu, Y. Hu, X. Liu, W. Deng, and X. Wang, “The study of Pt@Au electrocatalyst

based on Cu underpotential deposition and Pt redox replacement,” Electrochim.

Acta, 54, 11, 3092–3097, 2009.

85. J. Zhang, K. Sasaki, E. Sutter, and R. R. Adzic, “Stabilization of Platinum Oxygen-

Reduction Electrocatalysts Using Gold Clusters,” Science., 315, 5809, 220–222,

2007.

86. S. Trasatti and O. A. Petrii, “Real surface area measurments in electrochemistry,” J.

Electroanal. Chem., 327, 1, 353–376, 1992.

87. C. L. Green and A. Kucernak, “Determination of the platinum and ruthenium surface

areas in platinum-ruthenium alloy electrocatalysts by underpotential deposition of

Copper. I. Unsupported catalysts,” J. Phys. Chem. B, 106, 5, 1036–1047, 2002.

88. Y. Liu, S. Bliznakov, and N. Dimitrov, “Comprehensive study of the application of

a pb underpotential deposition-assisted method for surface area measurement of

metallic nanoporous materials,” J. Phys. Chem. C, 113, 28, 12362–12372, 2009.

89. M. G. Chu, J. McBreen, and G. Adzic, “Substrate effects on zinc deposition from

zincate solutions I. deposition on Cu, Au, Cd and Zn,” J. Electrochem. Soc., 128,

157

11, 2281–2286, 1981.

90. Y. Fujiwara and H. Enomoto, “Electrodeposition of βʹ-brass from cyanide baths with

accumulative underpotential deposition of Zn,” J. Electrochem. Soc., 147, 5, 1840–

1846, 2000.

91. K. Venkatraman, Y. Dordi, and R. Akolkar, “Electrochemical atomic layer

deposition of cobalt enabled by the surface-limited redox replacement of

underpotentially deposited zinc,” J. Electrochem. Soc., 164, 2, D104–D109, 2017.

92. J. C. Ballesteros, E. Chaînet, P. Ozil, G. Trejo, and Y. Meas, “Electrochemical

studies of Zn underpotential/overpotential deposition on a nickel electrode from

non-cyanide alkaline solution containing glycine,” Electrochim. Acta, 56, 16, 5443–

5451, 2011.

93. S. Taguchi and A. Aramata, “Correlation of the underpotential deposition (upd) of

zinc ions on Pt(111), Pt(100), and Pt(110) with anion specific adsorption,” J.

Electroanal. Chem., 457, 73–81, 1998.

94. A. R. Despić and M. G. Pavlović, “Deposition of zinc of foreign substrates,”

Electrochim. Acta, 27, 11, 1539–1549, 1982.

95. M. A. Quaiyyum, A. Aramata, S. Moniwa, S. Taguchi, and M. Enyo,

“Underpotential deposition of Zn2+ ions on platinum, palladium and gold at various

pH values,” J. Electroanal. Chem., 373, 61–66, 1994.

96. I. T. Bae, “Alloy formation at electrodeposited zinc–copper electrode interfaces at

room temperature,” J. Electrochem. Soc., 155, 5, D395–D399, 2008.

158

97. G. Y. Wu, S. E. Bae, A. A. Gewirth, J. Gray, X. D. Zhu, T. P. Moffat, and W.

Schwarzacher, “Pb electrodeposition on polycrystalline Cu in the presence and

absence of Cl- : A combined oblique incidence reflectivity difference and in situ

AFM study,” Surf. Sci., 601, 8, 1886–1891, 2007.

98. L. H. Mendoza-Huizar, J. Robles, and M. Palomar-Pardavé, “Nucleation and growth

of cobalt onto different substrates Part I. Underpotential deposition onto a gold

electrode,” J. Electroanal. Chem., 521, 95–106, 2002.

99. U. A. Paulus, T. J. Schmidt, H. A. Gasteiger, and R. J. Behm, “Oxygen reduction on

a high-surface area Pt/Vulcan carbon catalyst: a thin-film rotating ring-disk

electrode study,” J. Electroanal. Chem., 495, 134–145, 2001.

100. A. Bard and L. Faulkner, Electrochemical methods: fundamentals and applications,

2nd ed. John Wiley & Sons, 1980.

101. J. H. Wang, “On the theory of the polarographic diffusion current. I. Diffusion of

small amounts of lead and zinc ions in solutions of various supporting electrolytes,”

J. Am. Chem. Soc., 76, 6, 1528–1532, 1954.

102. W. C. Hsie and J. R. Selman, “Mass transport in supported zinc halide solutions—

II. Complexation and migration effects,” Electrochim. Acta, 30, 10, 1381–1392,

1985.

103. A. Agnew and R. Paterson, “Transport in aqueous solutions of group IIB metal salts

at 298.15 K. Part 6.—Irreversible thermodynamic parameters for zinc chloride and

verification of Onsager’s reciprocal relationships,” J. Chem. Soc. Faraday Trans. 1

Phys. Chem. Condens. Phases, 74, 0, 2896–2906, 1978. 159

104. N. E. Lay and D. J. Duquette, “The evolution of surface roughness of copper

electrodeposition directly on ultra-thin air exposed TaN layers,” ECS Trans., 1, 11,

1–9, 2006.

105. G. Sauerbrey, “Verwendung von schwingquarzen zur wagung dunner schichten und

zur mikrowagung,” Zeitschrift fur Phys., 155, 2, 206–222, 1959.

106. M. Hepel, K. Kanige, and S. Bruckenstein, “In situ underpotential deposition study

of lead on silver using the electrochemical quartz crystal microbalance,” J.

Electroanal. Chem. Interfacial Electrochem., 266, 2, 409–421, 1989.

107. L. Yu and R. Akolkar, “Communication—underpotential deposition of lead for

investigating the early stages of electroless copper deposition on ruthenium,” J.

Electrochem. Soc., 163, 6, D247–D249, 2016.

108. G. M. Brisard, E. Zenati, H. a. Gasteiger, N. M. Marković, and P. N. Ross,

“Underpotential deposition of lead on Cu(100) in the presence of Chloride: Ex-situ

low-energy electron diffraction, auger electron epectroscopy, and electrochemical

studies,” Langmuir, 13, 8, 2390–2397, 1997.

109. N. Dimitrov, R. Vasilic, and N. Vasiljevic, “A kinetic model for redox replacement

of UPD layers,” Electrochem. Solid-State Lett., 10, 7, D79–D83, 2007.

110. E. Bulut, D. Wu, N. Dole, H. Kilic, and S. R. Brankovic, “Editors’ choice—Reaction

kinetics of metal deposition via surface limited redox replacement of

underpotentially deposited monolayer studied by surface reflectivity and open

circuit potential measurements,” J. Electrochem. Soc., 164, 4, D159–D168, 2017.

160

111. G. Oskam, P. M. Vereecken, and P. C. Searson, “Electrochemical deposition of

copper on n-Si/TiN,” J. Electrochem. Soc., 146, 4, 1436–1441, 1999.

112. M. Georgiadou, D. Veyret, R. L. Sani, and R. C. Alkire, “Simulation of shape

evolution during electrodeposition of copper in the presence of additive,” J.

Electrochem. Soc., 148, 1, C54–C58, 2001.

113. H. Siegenthaler and K. Jüttner, “Voltammetric investigation of lead adsorption on

Cu(111) single crystal substrates,” J. Electroanal. Chem. Interfacial Electrochem.,

163, 327–343, 1984.

114. R. Vasilic, N. Vasiljevic, and N. Dimitrov, “Open circuit stability of

underpotentially deposited Pb monolayer on Cu(1 1 1),” J. Electroanal. Chem., 580,

203–212, 2005.

115. T. N. Theis, “The future of interconnection technology,” IBM J. Res. Dev., 44, 3,

379–390, 2000.

116. K. Barmak, A. Darbal, K. J. Ganesh, P. J. Ferreira, J. M. Rickman, T. Sun, B. Yao,

A. P. Warren, and K. R. Coffey, “Surface and grain boundary scattering in

nanometric Cu thin films: A quantitative analysis including twin boundaries,” J.

Vac. Sci. Technol. A, 32, 6, 061503: 1-7, 2014.

117. D. Josell, S. H. Brongersma, and Z. Tőkei, “Size-dependent resistivity in nanoscale

interconnects,” Annu. Rev. Mater. Res., 39, 1, 231–254, 2009.

118. J. S. Chawla, F. Gstrein, K. P. O’Brien, J. S. Clarke, and D. Gall, “Electron

scattering at surfaces and grain boundaries in Cu thin films and wires,” Phys. Rev.

161

B, 84, 235423: 1-10, 2011.

119. M. H. Van Der Veen, K. Vandersmissen, D. Dictus, S. Demuynck, R. Liu, X. Bin,

P. Nalla, A. Lesniewska, L. Hall, K. Croes, L. Zhao, J. Bömmels, A. Kolics, and Z.

Tökei, “Cobalt bottom-up contact and via prefill enabling advanced logic and

DRAM technologies,” 2015 IEEE Int. Interconnect Technol. Conf. 2015 IEEE

Mater. Adv. Met. Conf., 25–28, 2015.

120. N. Bekiaris, Z. Wu, H. Ren, M. Naik, J. H. Park, M. Lee, T. H. Ha, W. Hou, J. R.

Bakke, M. Gage, Y. Wang, and J. Tang, “Cobalt fill for advanced interconnects,”

2017 IEEE Int. Interconnect Technol. Conf., 1–3, 2017.

121. C. K. Hu et al., “Future on-chip interconnect metallization and electromigration,”

2018 IEEE Int. Reliab. Phys. Symp., 4F.1-1-4F.1-6, 2018.

122. B. N. Popov, G. Zheng, and R. E. White, “The underpotential deposition of zinc for

mitigation of hydrogen absorption and penetration into HY-130 steel,” Corros. Sci.,

36, 12, 2139–2153, 1994.

123. S. Rashkov, C. Bozhkov, V. Kurdyavtsev, K. Pedan, and S. Bagaev, “The

relationship between the underpotential deposition of zinc and the penetration of

hydrogen in armco-iron substrates,” J. Electroanal. Chem., 248, 421–429, 1988.

124. L. Yu, “Application of Lead Underpotential Deposition for the Characterization of

Heterogeneous Surfaces in Electrochemical Systems,” Ph.D., Dissertation, Case

Western Reserve University, 2017.

125. H. Honma and T. Kobayashi, “Electroless copper deposition process using glyoxylic

162

acid as a reducing agent,” J. Electrochem. Soc., 141, 3, 730–733, 1994.

126. L. Yu, L. Guo, R. Preisser, and R. Akolkar, “Autocatalysis during electroless copper

deposition using glyoxylic acid as reducing agent,” J. Electrochem. Soc., 160, 12,

3004–3008, 2013.

127. N. K. Mahenderkar, Y.-C. Liu, J. A. Koza, and J. A. Switzer, “Electrodeposited

germanium nanowires,” ACS Nano, 8, 9, 9524–9530, 2014.

128. J. I. Hall and A. E. Koenig, “Electrochemical properties of germanium,” Trans.

Electrochem. Soc., 65, 1, 215–219, 1934.

129. X. Liang, Y.-G. Kim, D. K. Gebergziabiher, and J. L. Stickney, “Aqueous

electrodeposition of Ge monolayers,” Langmuir, 26, 4, 2877–2884, 2010.

130. Andrew P. Abbott, David Boothby, Glen Capper, A. David L. Davies, and R. K.

Rasheed, “Deep eutectic solvents formed between choline chloride and carboxylic

acids: versatile alternatives to ionic Liquids,” J. Am. Chem. Soc., 126, 29, 9142–

9147, 2004.

131. E. L. Smith, A. P. Abbott, and K. S. Ryder, “Deep eutectic solvents (DESs) and their

applications,” Chem. Rev., 114, 21, 11060–11082, 2014.

132. Q. Zhang, K. De Oliveira Vigier, S. Royer, and F. Jérôme, “Deep eutectic solvents:

Syntheses, properties and applications,” Chem. Soc. Rev., 41, 21, 7108–7146, 2012.

133. M. O. Aboelfotoh and H. M. Tawancy, “Effect of crystal structure on the electrical

resistivity of copper‐germanium thin‐film alloys,” J. Appl. Phys., 75, 5, 2441–2446,

1994.

163

134. L. Krusin‐Elbaum and M. O. Aboelfotoh, “Unusually low resistivity of copper

germanide thin films formed at low temperatures,” Appl. Phys. Lett., 58, 12, 1341–

1343, 1991.

135. H. A. Gasteiger, S. S. Kocha, B. Sompalli, and F. T. Wagner, “Activity benchmarks

and requirements for Pt, Pt-alloy, and non-Pt oxygen reduction catalysts for

PEMFCs,” Appl. Catal. B Environ., 56, 1–2, 9–35, 2005.

136. S. Mukerjee, S. Srinivasan, M. P. Soriaga, and J. McBreen, “Role of structural and

electronic properties of Pt and Pt alloys on electrocatalysis of oxygen reduction,” J.

Electrochem. Soc., 142, 5, 1409–1422, 1995.

137. C. Chen, Y. Kang, Z. Huo, Z. Zhu, W. Huang, H. L. Xin, J. D. Snyder, D. Li, J. A.

Herron, M. Mavrikakis, M. Chi, K. L. More, Y. Li, N. M. Markovic, G. A. Somorjai,

P. Yang, and V. R. Stamenkovic, “Highly crystalline multimetallic nanoframes with

three-dimensional electrocatalytic surfaces,” Science., 343, 6177, 1339–1343, 2014.

138. V. Stamenković, T. J. Schmidt, A. P. N. Ross, and N. M. Marković, “Surface

composition effects in electrocatalysis: Kinetics of oxygen reduction on well-

defined Pt3Ni and Pt3Co alloy surfaces,” J. Phys. Chem. B, 106, 46, 11970–11979,

2002.

164