Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification

Total Page:16

File Type:pdf, Size:1020Kb

Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification Updated for Intel® Quartus® Prime Design Suite: 17.1 Subscribe QPS5V3 | 2017.11.06 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1 Simulating Intel FPGA Designs.......................................................................................11 1.1 Simulator Support.................................................................................................11 1.2 Simulation Levels..................................................................................................12 1.3 HDL Support........................................................................................................ 12 1.4 Simulation Flows...................................................................................................13 1.5 Preparing for Simulation........................................................................................ 14 1.5.1 Compiling Simulation Models...................................................................... 14 1.6 Simulating Intel FPGA IP Cores............................................................................... 15 1.6.1 Generating IP Simulation Files.................................................................... 15 1.6.2 Scripting IP Simulation.............................................................................. 17 1.7 Using NativeLink Simulation (Intel Quartus Prime Standard Edition).............................24 1.7.1 Setting Up NativeLink Simulation (Intel Quartus Prime Standard Edition)..........25 1.7.2 Running RTL Simulation (NativeLink Flow)....................................................25 1.7.3 Running Gate-Level Simulation (NativeLink Flow).......................................... 26 1.8 Running a Simulation (Custom Flow)....................................................................... 26 1.9 Document Revision History.....................................................................................27 2 ModelSim - Intel FPGA Edition, ModelSim, and QuestaSim Support*..............................29 2.1 Quick Start Example (ModelSim with Verilog)............................................................29 2.2 ModelSim, ModelSim-Intel FPGA Edition, and QuestaSim Guidelines.............................30 2.2.1 Using ModelSim-Intel FPGA Edition Precompiled Libraries............................... 30 2.2.2 Disabling Timing Violation on Registers........................................................ 30 2.2.3 Passing Parameter Information from Verilog HDL to VHDL.............................. 31 2.2.4 Increasing Simulation Speed...................................................................... 31 2.2.5 Simulating Transport Delays....................................................................... 31 2.2.6 Viewing Simulation Messages..................................................................... 32 2.2.7 Generating Power Analysis Files.................................................................. 33 2.2.8 Viewing Simulation Waveforms................................................................... 33 2.2.9 Simulating with ModelSim-Intel FPGA Edition Waveform Editor........................34 2.3 ModelSim Simulation Setup Script Example.............................................................. 34 2.4 Unsupported Features........................................................................................... 35 2.5 Document Revision History.....................................................................................35 3 Synopsys VCS and VCS MX Support................................................................................37 3.1 Quick Start Example (VCS with Verilog)................................................................... 37 3.2 VCS and QuestaSim Guidelines...............................................................................37 3.2.1 Simulating Transport Delays....................................................................... 38 3.2.2 Disabling Timing Violation on Registers........................................................ 38 3.2.3 Generating Power Analysis Files.................................................................. 39 3.3 VCS Simulation Setup Script Example......................................................................39 3.4 Document Revision History.....................................................................................40 4 Cadence* Incisive Enterprise (IES) Support.................................................................. 41 4.1 Quick Start Example (NC-Verilog)............................................................................41 4.2 Cadence Incisive Enterprise (IES) Guidelines............................................................ 42 4.2.1 Using GUI or Command-Line Interfaces....................................................... 42 4.2.2 Elaborating Your Design............................................................................. 42 4.2.3 Back-Annotating Simulation Timing Data (VHDL Only)....................................43 Intel® Quartus® Prime Standard Edition Handbook Volume 3 Verification 2 Contents 4.2.4 Disabling Timing Violation on Registers........................................................ 43 4.2.5 Simulating Pulse Reject Delays................................................................... 43 4.2.6 Viewing Simulation Waveforms................................................................... 44 4.3 IES Simulation Setup Script Example.......................................................................44 4.4 Document Revision History.....................................................................................45 5 Aldec* Active-HDL and Riviera-PRO Support..................................................................46 5.1 Quick Start Example (Active-HDL VHDL).................................................................. 46 5.2 Aldec Active-HDL and Riviera-PRO Guidelines........................................................... 47 5.2.1 Compiling SystemVerilog Files.................................................................... 47 5.2.2 Simulating Transport Delays....................................................................... 47 5.2.3 Disabling Timing Violation on Registers........................................................ 47 5.3 Using Simulation Setup Scripts............................................................................... 48 5.4 Document Revision History.....................................................................................48 6 Design Debugging Using In-System Sources and Probes............................................... 49 6.1 Hardware and Software Requirements..................................................................... 51 6.2 Design Flow Using the In-System Sources and Probes Editor.......................................51 6.2.1 Instantiating the In-System Sources and Probes IP Core................................ 52 6.2.2 In-System Sources and Probes IP Core Parameters........................................53 6.3 Compiling the Design............................................................................................ 53 6.4 Running the In-System Sources and Probes Editor.................................................... 54 6.4.1 In-System Sources and Probes Editor GUI.................................................... 54 6.4.2 Programming Your Device With JTAG Chain Configuration............................... 54 6.4.3 Instance Manager..................................................................................... 55 6.4.4 In-System Sources and Probes Editor Pane...................................................55 6.5 Tcl interface for the In-System Sources and Probes Editor.......................................... 57 6.6 Design Example: Dynamic PLL Reconfiguration......................................................... 59 6.7 Document Revision History.....................................................................................61 7 Timing Analysis Overview.............................................................................................. 63 7.1 Timing Analysis Overview.......................................................................................63 7.2 Timing Analyzer Terminology and Concepts.............................................................. 63 7.2.1 Timing Netlists and Timing Paths.................................................................63 7.2.2 Clock Setup Check.................................................................................... 66 7.2.3 Clock Hold Check...................................................................................... 67 7.2.4 Recovery and Removal Time.......................................................................68 7.2.5 Multicycle Paths........................................................................................ 69 7.2.6 Metastability............................................................................................ 70 7.2.7 Common Clock Path Pessimism Removal...................................................... 71 7.2.8 Clock-As-Data Analysis.............................................................................. 72 7.2.9 Multicycle Clock Setup Check and Hold Check Analysis................................... 74 7.2.10 Multicorner Analysis.................................................................................77 7.3 Document Revision History.....................................................................................78
Recommended publications
  • Nios II Custom Instruction User Guide
    Nios II Custom Instruction User Guide Subscribe UG-20286 | 2020.04.27 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Nios II Custom Instruction Overview..............................................................................4 1.1. Custom Instruction Implementation......................................................................... 4 1.1.1. Custom Instruction Hardware Implementation............................................... 5 1.1.2. Custom Instruction Software Implementation................................................ 6 2. Custom Instruction Hardware Interface......................................................................... 7 2.1. Custom Instruction Types....................................................................................... 7 2.1.1. Combinational Custom Instructions.............................................................. 8 2.1.2. Multicycle Custom Instructions...................................................................10 2.1.3. Extended Custom Instructions................................................................... 11 2.1.4. Internal Register File Custom Instructions................................................... 13 2.1.5. External Interface Custom Instructions....................................................... 15 3. Custom Instruction Software Interface.........................................................................16 3.1. Custom Instruction Software Examples................................................................... 16
    [Show full text]
  • Intel Quartus Prime Pro Edition User Guide: Programmer Send Feedback
    Intel® Quartus® Prime Pro Edition User Guide Programmer Updated for Intel® Quartus® Prime Design Suite: 21.2 Subscribe UG-20134 | 2021.07.21 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Intel® Quartus® Prime Programmer User Guide..............................................................4 1.1. Generating Primary Device Programming Files........................................................... 5 1.2. Generating Secondary Programming Files................................................................. 6 1.2.1. Generating Secondary Programming Files (Programming File Generator)........... 7 1.2.2. Generating Secondary Programming Files (Convert Programming File Dialog Box)............................................................................................. 11 1.3. Enabling Bitstream Security for Intel Stratix 10 Devices............................................ 18 1.3.1. Enabling Bitstream Authentication (Programming File Generator)................... 19 1.3.2. Specifying Additional Physical Security Settings (Programming File Generator).............................................................................................. 21 1.3.3. Enabling Bitstream Encryption (Programming File Generator).........................22 1.4. Enabling Bitstream Encryption or Compression for Intel Arria 10 and Intel Cyclone 10 GX Devices.................................................................................................. 23 1.5. Generating Programming Files for Partial Reconfiguration.........................................
    [Show full text]
  • Introduction to Intel® FPGA IP Cores
    Introduction to Intel® FPGA IP Cores Updated for Intel® Quartus® Prime Design Suite: 20.3 Subscribe UG-01056 | 2020.11.09 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Introduction to Intel® FPGA IP Cores..............................................................................3 1.1. IP Catalog and Parameter Editor.............................................................................. 4 1.1.1. The Parameter Editor................................................................................. 5 1.2. Installing and Licensing Intel FPGA IP Cores.............................................................. 5 1.2.1. Intel FPGA IP Evaluation Mode.....................................................................6 1.2.2. Checking the IP License Status.................................................................... 8 1.2.3. Intel FPGA IP Versioning............................................................................. 9 1.2.4. Adding IP to IP Catalog...............................................................................9 1.3. Best Practices for Intel FPGA IP..............................................................................10 1.4. IP General Settings.............................................................................................. 11 1.5. Generating IP Cores (Intel Quartus Prime Pro Edition)...............................................12 1.5.1. IP Core Generation Output (Intel Quartus Prime Pro Edition)..........................13 1.5.2. Scripting IP Core Generation....................................................................
    [Show full text]
  • BSD UNIX Toolbox 1000+ Commands for Freebsd, Openbsd
    76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page iii BSD UNIX® TOOLBOX 1000+ Commands for FreeBSD®, OpenBSD, and NetBSD®Power Users Christopher Negus François Caen 76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page ii 76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page i BSD UNIX® TOOLBOX 76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page ii 76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page iii BSD UNIX® TOOLBOX 1000+ Commands for FreeBSD®, OpenBSD, and NetBSD®Power Users Christopher Negus François Caen 76034ffirs.qxd:Toolbox 4/2/08 12:50 PM Page iv BSD UNIX® Toolbox: 1000+ Commands for FreeBSD®, OpenBSD, and NetBSD® Power Users Published by Wiley Publishing, Inc. 10475 Crosspoint Boulevard Indianapolis, IN 46256 www.wiley.com Copyright © 2008 by Wiley Publishing, Inc., Indianapolis, Indiana Published simultaneously in Canada ISBN: 978-0-470-37603-4 Manufactured in the United States of America 10 9 8 7 6 5 4 3 2 1 Library of Congress Cataloging-in-Publication Data is available from the publisher. No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means, electronic, mechanical, photocopying, recording, scanning or otherwise, except as permitted under Sections 107 or 108 of the 1976 United States Copyright Act, without either the prior written permission of the Publisher, or authorization through payment of the appropriate per-copy fee to the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923, (978) 750-8400, fax (978) 646-8600. Requests to the Publisher for permis- sion should be addressed to the Legal Department, Wiley Publishing, Inc., 10475 Crosspoint Blvd., Indianapolis, IN 46256, (317) 572-3447, fax (317) 572-4355, or online at http://www.wiley.com/go/permissions.
    [Show full text]
  • Absolute BSD—The Ultimate Guide to Freebsd Table of Contents Absolute BSD—The Ultimate Guide to Freebsd
    Absolute BSD—The Ultimate Guide to FreeBSD Table of Contents Absolute BSD—The Ultimate Guide to FreeBSD............................................................................1 Dedication..........................................................................................................................................3 Foreword............................................................................................................................................4 Introduction........................................................................................................................................5 What Is FreeBSD?...................................................................................................................5 How Did FreeBSD Get Here?..................................................................................................5 The BSD License: BSD Goes Public.......................................................................................6 The Birth of Modern FreeBSD.................................................................................................6 FreeBSD Development............................................................................................................7 Committers.........................................................................................................................7 Contributors........................................................................................................................8 Users..................................................................................................................................8
    [Show full text]
  • Intel® Arria® 10 Device Overview
    Intel® Arria® 10 Device Overview Subscribe A10-OVERVIEW | 2020.10.20 Send Feedback Latest document on the web: PDF | HTML Contents Contents Intel® Arria® 10 Device Overview....................................................................................... 3 Key Advantages of Intel Arria 10 Devices........................................................................ 4 Summary of Intel Arria 10 Features................................................................................4 Intel Arria 10 Device Variants and Packages.....................................................................7 Intel Arria 10 GX.................................................................................................7 Intel Arria 10 GT............................................................................................... 11 Intel Arria 10 SX............................................................................................... 14 I/O Vertical Migration for Intel Arria 10 Devices.............................................................. 17 Adaptive Logic Module................................................................................................ 17 Variable-Precision DSP Block........................................................................................18 Embedded Memory Blocks........................................................................................... 20 Types of Embedded Memory............................................................................... 21 Embedded Memory Capacity in
    [Show full text]
  • Connecting to IBM I Operations Console 7.1
    IBM IBM i Connecting to IBM i Operations Console 7.1 IBM IBM i Connecting to IBM i Operations Console 7.1 Note Before using this information and the product it supports, read the information in “Notices for software topics,” on page 69. | This edition applies to IBM i 7.1 (product number 5770–SS1) and to all subsequent releases and modifications until | otherwise indicated in new editions. This version does not run on all reduced instruction set computer (RISC) | models nor does it run on CISC models. © Copyright IBM Corporation 2000, 2010. US Government Users Restricted Rights – Use, duplication or disclosure restricted by GSA ADP Schedule Contract with IBM Corp. Contents Operations Console ......... 1 Multiple local PC consoles on a network .. 31 What's new for IBM i 7.1 .......... 1 Changing from one console type to another .. 31 PDF file for Operations Console ........ 1 Switching from one console type to another Planning considerations for Operations Console .. 2 when the current console is not operational . 32 Planning considerations for your configuration .. 2 Changing from a twinaxial console to an Console planning considerations...... 2 Operations Console local console on a Planning considerations for your backup network (LAN) ........... 32 console ............. 4 Changing the console from a twinaxial Verification of Operations Console requirements 6 console to an Operations Console local Operations Console hardware requirements 6 console on a network (LAN) in a Operations Console software requirements . 8 nonpartitioned system ........ 33 Planning considerations for your Operations Changing the console from a twinaxial Console installation or upgrade ...... 8 console to an Operations Console local Preparation for your network environment .
    [Show full text]
  • Intel FPGA Product Catalog Devices: 10 Nm Device Portfolio Intel Agilex FPGA and Soc Overview
    • Cover TBD INTEL® FPGA PRODUCT CATALOG Version 19.3 CONTENTS Overview Acceleration Platforms and Solutions Intel® FPGA Solutions Portfolio 1 Intel FPGA Programmable Acceleration Overview 61 Devices Intel Acceleration Stack for Intel Xeon® CPU with FPGAs 62 Intel FPGA Programmable Acceleration Cards 63 10 nm Device Portfolio - Intel AgilexTM - Intel Programmable Acceleration Card with 63 FPGA and SoC Overview 2 Intel Arria 10 GX FPGA - Intel Agilex FPGA Features 4 - Intel FPGA Programmable Acceleration Card D5005 64 Generation 10 Device Portfolio - Intel FPGA Programmable Acceleration Card N3000 65 - Generation 10 FPGAs and SoCs 6 - Intel FPGA Programmable Acceleration Card 66 - Intel Stratix® 10 FPGA and SoC Overview 7 Comparison - Intel Stratix 10 FPGA Features 9 Accelerated Workload Solutions 67 - Intel Stratix 10 SoC Features 11 - Intel Stratix 10 TX Features 13 - Intel Stratix 10 MX Features 15 Design Tools, OS Support, and Processors - Intel Stratix 10 DX Features 17 Intel Quartus® Prime Software 68 - Intel Arria® 10 FPGA and SoC Overview 20 DSP Builder for Intel FPGAs 71 - Intel Arria 10 FPGA Features 21 Intel FPGA SDK for OpenCL™ 72 - Intel Arria 10 SoC Features 23 - Intel Cyclone® 10 FPGA Overview 25 Intel SoC FPGA Embedded Development Suite 73 - Intel Cyclone 10 GX FPGA Features 26 SoC Operating System Support 74 - Intel Cyclone 10 LP FPGA Features 27 Nios® II Processor 75 - Intel MAX® 10 FPGA Overview 29 - Intel MAX 10 FPGA Features 30 Nios II Processor Embedded Design Suite 76 Nios II Processor Operating System Support 28
    [Show full text]
  • Intel FPGA SDK for Opencl Pro Edition: Programming Guide Send Feedback
    Intel® FPGA SDK for OpenCL™ Pro Edition Programming Guide Updated for Intel® Quartus® Prime Design Suite: 21.2 Subscribe UG-OCL002 | 2021.06.23 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Intel® FPGA SDK for OpenCL™ Overview......................................................................... 7 1.1. Intel FPGA SDK for OpenCL Pro Edition Programming Guide Prerequisites......................7 1.2. Intel FPGA SDK for OpenCL FPGA Programming Flow..................................................8 2. Intel FPGA SDK for OpenCL Offline Compiler Kernel Compilation Flows........................ 10 2.1. One-Step Compilation for Simple Kernels................................................................ 11 2.2. Multistep Intel FPGA SDK for OpenCL Pro Edition Design Flow.................................... 12 3. Obtaining General Information on Software, Compiler, and Custom Platform...............16 3.1. Displaying the Software Version (version)............................................................... 16 3.2. Displaying the Compiler Version (-version).............................................................. 16 3.3. Listing the Intel FPGA SDK for OpenCL Utility Command Options (help).......................17 3.3.1. Displaying Information on an Intel FPGA SDK for OpenCL Utility Command Option (help <command_option>)............................................................. 17 3.4. Listing the Intel FPGA SDK for OpenCL Offline Compiler Command Options (no argument, -help, or -h)......................................................................................17
    [Show full text]
  • Intel® Arria® 10 FPGA Performance Benchmarking Methodology and Results
    WHITE PAPER FPGA Intel® Arria® 10 FPGA Performance Benchmarking Methodology and Results Intel Arria 10 FPGAs deliver more than a speed grade faster core performance † and up to a 20% fMAX advantage for publicly available OpenCore designs. Authors Introduction Martin S. Won This paper presents a rigorous methodology for evaluating and benchmarking the Senior Member of Technical Staff core performance of the Intel® Arria® 10 FPGA programmable logic product family, Intel Programmable Solutions Group with the goal of transparently presenting the methods and data such that any interested party can reproduce and analyze the results.† To this end, ten publicly- Madhu Monga available designs from OpenCores representing a variety of functions were Applications Engineer implemented in a device from the Intel Arria 10 FPGA family and a device from the Intel Programmable Solutions Group closest competitor: the Xilinx* UltraScale* family. The benchmark results show that Intel Arria 10 FPGAs deliver up to 20% higher performance than Xilinx UltraScale devices, as measured by the maximum clock frequencies achieved in the example designs across a range of device utilization.† Background: evaluating the performance of Intel FPGA and Table of Contents SoC products Introduction ....................1 The programmable logic industry does not have a standard benchmarking Background: evaluating the methodology. Therefore, Intel employs rigorous internal analysis using a broad performance of Intel FPGA and combination of customer and internally-generated designs to understand and SoC products .................1 quantify the performance of its programmable logic products relative to prior- generation Intel products and competing products. The designs are collected from Increasing transparency via a variety of market segments, such as high-performance computing, image and OpenCore-based performance video processing, wired and wireless communications, and consumer products.
    [Show full text]
  • Embedded Design Handbook
    Embedded Design Handbook Subscribe EDH | 2020.07.22 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Introduction................................................................................................................... 6 1.1. Document Revision History for Embedded Design Handbook........................................ 6 2. First Time Designer's Guide............................................................................................ 8 2.1. FPGAs and Soft-Core Processors.............................................................................. 8 2.2. Embedded System Design...................................................................................... 9 2.3. Embedded Design Resources................................................................................. 11 2.3.1. Intel Embedded Support........................................................................... 11 2.3.2. Intel Embedded Training........................................................................... 11 2.3.3. Intel Embedded Documentation................................................................. 12 2.3.4. Third Party Intellectual Property.................................................................12 2.4. Intel Embedded Glossary...................................................................................... 13 2.5. First Time Designer's Guide Revision History............................................................14 3. Hardware System Design with Intel Quartus Prime and Platform Designer.................
    [Show full text]
  • AN 821: Interface Planning for Intel® Stratix® 10 Fpgas
    AN 821: Interface Planning for Intel® Stratix® 10 FPGAs Updated for Intel® Quartus® Prime Design Suite: 17.1 Subscribe AN-821 | 2017.12.15 Send Feedback Latest document on the web: PDF | HTML Contents Contents 1. Interface Planning for Intel® Stratix® 10 FPGAs............................................................. 3 1.1. FPGA_TOP Design Example Overview....................................................................... 3 1.2. Design Example Files............................................................................................. 5 1.3. Design Example Walkthrough.................................................................................. 6 1.3.1. Step 1: Project Setup.................................................................................6 1.3.2. Step 2: Initialize Interface Planner............................................................... 7 1.3.3. Step 3: Update Plan with Project Assignments............................................... 8 1.3.4. Step 4: Plan Periphery Placement................................................................ 8 1.3.5. Step 5: Report Placement Data.................................................................. 14 1.3.6. Step 6: Validate and Export Plan Constraints............................................... 15 1.3.7. Step 7: Apply Plan Constraints...................................................................16 1.4. Modifying the FPGA_TOP Design Example................................................................17 1.4.1. Modifying the VHDL Generics.....................................................................17
    [Show full text]