<<

US 20090 137191A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2009/0137191A1 Lee (43) Pub. Date: May 28, 2009

(54) COPPERCMP POLISHING PAD CLEANING Publication Classification COMPOSITION COMPRISING OF (51) Int. Cl AMDOXME COMPOUNDS B24B 53/02 (2006.01) B24B I/00 (2006.01) (76)76) InventorI tOr: Waiai Mun Lee,Lee. Fremont,F t, CA (US)(US CD7C 249/04 (2006.01) Correspondence Address: B24B 7/20 (2006.01) MORGAN LEWIS & BOCKUS LLP 1111 PENNSYLVANIAAVENUE NW (52) U.S. Cl...... 451/36: 451/56: 451/41:564/268 WASHINGTON, DC 20004 (US) (21) Appl. No.: 12/260,602 (57) ABSTRACT 1-1. The present invention relates to methods of using amidoxime (22) Filed: Oct. 29, 2008 compositions for cleaning polishing pads, particularly after O O chemical mechanical planarization or polishing is provided. Related U.S. Application Data A polishing pad is cleaned of Cu CMP by-products, subse (60) Provisional application No. 61/000,727, filed on Oct. quent to or during planarizing a wafer, to reduce pad-glazing 29, 2007, provisional application No. 61/006,227, by applying to the polishing pad Surface a composition com filed on Dec. 31, 2007. prising an aqueous amidoxime compound solution in . 3.

Patent Application Publication May 28, 2009 Sheet 1 of 8 US 2009/0137191A1

Eg.Fig. Art)1 atent Application Publication May 28, 2009 Sheet 2 of 8 US 2009/0137191A1

Patent Application Publication May 28, 2009 Sheet 3 of 8 US 2009/0137191A1

Time (minutes)

Fig. 3 Patent Application Publication May 28, 2009 Sheet 4 of 8 US 2009/0137191A1

CUC0. (Cu(OH)2 also possible

948 946 944. 942 940 938 936 934. 932 930 928 926 Binding Energy (eV) Control Fig. 4 Patent Application Publication May 28, 2009 Sheet 5 of 8 US 2009/0137191A1

9 x10" C08U0450 07 Spe

CuO (low levels)

948 946 944 942 94O 938 936 934. 932 930 928 926 Binding Energy (eV) MeaSUrement taken after 2 hours of cleaning step Fig. 5 Patent Application Publication May 28, 2009 Sheet 6 of 8 US 2009/0137191A1

C08U05098 Spe

Analyzed on May Cu20 and Control O After Chemical reatment CU Analyzed on May 12 After Chenical Treatment

Measurement taken after days of cleaning

"Shake-up" aSSOciatedCUA" with : N

948 946 94 942 940 938 936 984 932 93 928 Binding Energy (eV) Fig. 6 Patent Application Publication May 28, 2009 Sheet 7 of 8 US 2009/0137191A1

C08UO15011 proAfter Cherica Treatment, Day C08UO1503.proAfter Cherical Treatment, After Days 2008 May 1100keV CFRR 9.0385e000rax 2008 May 100key (FRR 2.3033e-COrnax CIF

CO3UO150 pro CO3UO1503.pro

HHo ext 40 so go to go go to Sputer Depth (A) Sputer Depth (A) Fig. 7 Patent Application Publication May 28, 2009 Sheet 8 of 8 US 2009/0137191A1

Copper Solubility in Water

2 - O 1 2 3 4 5 6 7 8 9 O 12 13 14 15 16 2 2 18 18 16 16 14 14 12 12 O.8 O8 O6 O6 04 O4 is O2 O2 O O ai -02 -02 -04 -04 -0.6 -0.6 -08 -08 . -1 -12 -12 -14 -14 .16 -16 -8 -1.8 2 - O 1 2 3 4 5 6 7 8 9 10 1 2 3 4 15 16 pH Fig. 8 US 2009/O 137191 A1 May 28, 2009

COPPERCMP POLISHING PAD CLEANING 0007 FIG. 1 is a schematic top plan view of a conventional COMPOSITION COMPRISING OF CMP apparatus 11 comprising a rotatable platen 15 on which AMDOXME COMPOUNDS is mounted a polishing pad 17 for polishing semiconductor substrate S. The polishing pad 17 can be a conventional CROSS-REFERENCE TO RELATED slurry-type pad having a plurality of concentric circumferen APPLICATIONS tial grooves 19 as illustrated, or a fixed abrasive-type polish ing pad. 0001. This application claims the benefit of U.S. Provi 0008 CMP apparatus 11 further comprises a pivot arm21, sional Application No. 61/000,727, filed Oct. 29, 2007, and a holder or conditioning head 23 mounted to one end of the U.S. Provisional Application No. 61/006,227, filed Dec. 31, pivot arm 21, a pad conditioner 25. Such as a pad embedded 2007, both of which are incorporated herein by reference in with diamond crystals, mounted to the underside of the con their entirety. ditioning head 23, a slurry source Such as a slurry/rinse arm 27, and a substrate mounting head 29 operatively coupled to FIELD OF THE INVENTION platen 15 to urge Substrate S against the working Surface of 0002 The present invention discloses a method and a sys polishing pad 17. Pivot arm 21 is operatively coupled to tem for cleaning a chemical-mechanical polishing (CMP) platen 15, and maintains conditioning head 23 against the pad. More specifically, the present invention discloses a polishing pad 17 as the pivot arm 21 sweeps back and forth method of cleaning a polishing pad surface Subsequent to across the radius of polishing pad 17 in an arcing motion. chemical-mechanical polishing a wafer Surface. The method Slurry/rinse arm 27 is stationarily positioned outside the including applying to the polishing pad Surface a cleaning sweep of the pivot arm 21 and the conditioning head 23 composition comprising one or more compounds having at coupled thereto. least one amidoxime functional group in water. The compo 0009. In operation, the substrate S is placed face down sition is then allowed to react with a residue that may be on the beneath the substrate mounting head 29, and the substrate pad to produce water soluble by-products. Next, the pad mounting head 29 presses the substrate S firmly against the surface is rinsed with water, preferably deionized water, to polishing pad 17. Slurry is introduced to the polishing pad 17 Substantially remove the by-products. A mechanical condi via slurry/rinse arm 27, and platen 15 rotates as indicated by tioning operation is Subsequently performed on the Surface of arrow R. Pivot arm 21 Scans from side to side in an arcing the pad. In one example, the wafer Surface can be a metal, motion as indicated by arrow S. such as copper or a copper alloy. 0010. When the pad is grooved, then grooves 19 channel the slurry (not shown) between the substrate S and the pol BACKGROUND OF THE INVENTION ishing pad 17. The semi-porous Surface of the polishing pad 17 becomes saturated with slurry which, with the downward 0003. The present invention relates generally to semicon force of the substrate mounting head 29 and the rotation of the ductor processing, particularly chemical-mechanical polish platen 15, abrades and planarizes the surface of the substrate ing (CMP). The present invention is applicable to polishing S. The diamond crystals (not shown) embedded in the rotating pads employed in CMP particularly conditioning the polish conditioner 25 continually roughens the surface of the pol ing pad to reduce defects. ishing pad 17 to ensure consistent polishing rates. Pad clean 0004 Current semiconductor processing typically com ing must be performed frequently to clean polishing residue prises forming an integrated circuit containing a plurality of and compacted slurry from the polishing pad 17. conductive patterns on vertically stacked levels connected by 0011 Conventional pad cleaning techniques employ rins vias and insulated by inter-layer dielectrics. As device geom ing wherein the substrate mounting head 29 is removed from etry plunges into the deep Sub-micron range, chips compris contact with the polishing pad 17, the supply of slurry from ing five or more levels of metallization are formed. the slurry/rinse arm 27 is turned off, and a rinsing fluid such 0005. In manufacturing multi-level semiconductor as deionized water is supplied via the slurry/rinse arm 27. devices, it is necessary to form each level with a high degree However, merely rinsing the polishing pad following CMP is Surface planarity, avoiding Surface topography, Such as often ineffective in removing polishing residues, particularly bumps or areas of unequal elevation, i.e., Surface irregulari after CMP of metal films, because polishing by-products stick ties. In printing photolithographic patterns having reduced to the polishing pad. geometry dictated by the increasing demands for miniatur 0012 Conventional polishing pads employed in abrasive ization, a shallow depth of focus is required. The presence of slurry processing typically comprise a grooved porous poly Surface irregularities can exceed the depth of focus limita meric Surface. Such as polyurethane, and the abrasive slurry tions of conventional photolithographic equipment. Accord varied in accordance with the particular material undergoing ingly, it is essential to provide flat planar Surfaces in forming CMP. Basically, the abrasive slurry is impregnated into the levels of a semiconductor device. In order to maintain accept pores of the polymeric Surface while the grooves convey the able yield and device performance, conventional semicon abrasive slurry to the wafer undergoing CMP. Another type of ductor methodology involves some type of planarization or polishing pad is a fixed abrasive pad wherein abrasive ele leveling technique at Suitable points in the manufacturing ments are mounted on a backing. When conducting CMP process. with a fixed abrasive pad, a chemical agent without abrasive 0006. A conventional planarization technique for elimi particles is applied to the pad Surface. nating or Substantially reducing Surface irregularities is CMP 0013 When conducting CMP on a metal-containing sur wherein abrasive and chemical action is applied to the Surface face, e.g., Cuora Cu alloy, the working or polishing Surface of the wafer undergoing planarization. The polishing pad is of the polishing pad undergoes changes believed to be caused employed together with a chemical agent to remove material by, interalia, polishing by-products resulting from the reac from the wafer surface. tion of metal being removed from the wafer Surface. Such as US 2009/O 137191 A1 May 28, 2009

Cu, with components of the CMP slurry or chemical agent, more compounds having at least one amidoxime functional e.g., oxidizer, complexing agents and inhibitors. Such by group in water (e.g. deionized water), optionally, with an acid products typically deposit onto the polishing pad and accu or a base in amount Such that the composition effectively mulate causing a colored stain or glazed area. Such a Surface solubilizes the copper and copper alloy. In one embodiment, exhibits a lower coefficient of friction and, hence, a substan the composition is applied to a rotating polishing padata flow tially lower material removal rate by adversely impacting rate of about 100 to about 600 ml/min. In that embodiment, polishing uniformity and increasing polishing time. In addi the composition may be applied to the polishing pad for about tion, Such glazing causes scratching of the wafer Surface. 3 seconds to about 20 seconds after conducting CMP on each Conventional approaches to remedy pad glazing include pad of a plurality to wafers having a surface comprising Cuor Cu conditioning, as with nylon brushes or diamond disks for alloy. removing the deposited by-products from the polishing pad 0020. Another embodiment of the invention is a method Surface. However, Such a conventional remedial approach to comprising: (a) conducting chemical-mechanical polishing the glazing problem is not particularly effective in completely (CMP) on a first wafer surface of a first wafer containing removing glazing. Pad conditioning with a diamond disk also copper (Cu) or a Cu-based alloy on a Surface of a polishing greatly reduces pad lifetime. pad; (b) removing the first wafer from the pad; (c) applying to 0014. There exists a need for methodology enabling the the polishing pad Surface a cleaning composition, wherein the planarization of a wafer Surface containing Cu or Cu alloy cleaning composition is a solution comprising about 2 ppm to with reduced pad glazing. There exists a particular need for a about 50 percent by weight of one or more compounds having methodology enabling CMP of a wafer surface containing Cu at least one amidoxime functional group in water (e.g. deion or Cu alloys at high production throughput. ized water), optionally, with an acid or a base in amount Such 0015. Further, most formulations used in the CMP process that the composition effectively solubilize the copper and contain complexing agents, sometimes called chelating copper alloy; (d) rinsing the polishing pad surface with water agents. Much metal-chelating functionality is known which to remove any cleaning composition on the polishing Surface; causes a central metalion to be attached by coordination links (e) conducting CMP on a second wafer, and then (f) repeating to two or more nonmetal atoms (ligands) in the same mol steps (b) through (e) one or more times. In one embodiment, ecule. Heterocyclic rings are formed with the central (metal) the water is deionized water. In another embodiment, the atom as part of each ring. When the complex becomes more cleaning is applied to a rotating polishing pad at a flow rate of soluble in the solution, it functions in the cleaning process. If about 100 to about 600 ml/min. In that embodiment, the the complexed product is not soluble in the solution, it cleaning composition is applied to the rotating polishing pad becomes a passivating agent by forming an insoluble film on for about 3 seconds to about 20 seconds. In one embodiment, top of the metal Surface. The current complexing agents in the one or more compounds containing at least one ami use, such as, glycolic acid, glyoxylic acid, lactic acid, phos doXime functional group may be present in the polishing phonic acid, are acidic in nature and have a tendency to attack composition in an amount of about 0.001 percent by weight to the residue and remove both metals and metal oxides, such as about 5 percent by weight. In another embodiment, the one or copper and copper oxide. This presents a problem for formu more compounds containing at least one amidoxime func lators where a chelating function is sought but only selec tional group may be present in the polishing composition in tively to metal oxide and not the metal itself, e.g., in an an amount of about 2 ppm to about 50 percent by weight. In application involving metal. Such as copper. Accordingly, yet another embodiment, the cleaning composition may fur there is a need for complexing agents that are not aggressive ther contain one or more oxidizers and one or more surface toward metal substrates, while effectively providing for the active agents; preferably the Surface-active agents include at of metal ions residue created during the manufac least one member selected from the group consisting of turing processes. anionic Surfactants, Zwitter-ionic Surfactants, multi-ionic 0016. In some cases, the biodegradability is also unsatis Surfactants, and combinations thereof. In one embodiment of factory. Thus, EDTA proves to have inadequate biodegrad the invention, the surfactant may be selected from sodium ability in conventional tests, as does PDTA or HPDTA and salts of polyacrylic acid, potassium oleate, SulfoSuccinates, corresponding aminomethylenephosphonates which, more SulfoSuccinate derivatives, Sulfonated amines, Sulfonated over, are often undesirable because of their phosphorus con amides, Sulfates of alcohols, alkylanyl Sulfonates, carboxy tent. Phosphorus is also a dopant in semiconductor devices; lated alcohols, alkylamino propionic acids, alkyliminodipro therefore, it is desirable to have CMP pad cleaning solutions pionic acids, and combinations thereof. In another embodi with non-phosphor containing compounds. ment, the Surfactant is present in an amount between about 0017. The present invention addresses the aforementioned 0.001 and about 10 percent by weight of the composition. In problems. yet another embodiment, the cleaning composition further comprises a compound with oxidization or reduction poten SUMMARY OF THE INVENTION tial. Optionally, the composition may be further diluted with 0018. An aspect of the present invention is a method of water (e.g. about 10 to 500 times) prior to applying it to the cleaning a polishing pad Surface to prevent or Substantially polishing pad surface. reduce pad glazing stemming from conducting CMP on a 0021. Yet another embodiment of the invention is a wafer Surface containing Cuor Cu alloy. method of cleaning a Surface of a polishing pad, comprising: 0019. One embodiment of the invention is a method of 0022 (a) conducting chemical-mechanical polishing cleaning a polishing pad surface Subsequent to chemical (CMP) on a first wafer on the surface of the polishing pad; mechanical polishing (CMP) a wafer Surface containing cop 0023 (b) removing the first wafer from the polishing pad; per (Cu) or a Cu-based alloy comprising applying to the 0024 (c) applying to the polishing pad Surface a cleaning polishing pad Surface a cleaning composition comprising composition, wherein the cleaning composition is a solution from about 2 ppm to about 50 percent by weight of one or comprising from about 2 ppm to about 50 percent by weight US 2009/O 137191 A1 May 28, 2009 of one or more compounds having at least one amidoxime droxyamino)-3-iminopropyl Hexitol: 3,3'3".3"-(ethane-1,2- functional group in deionized water, optionally, with an acid diylbis(azanetriyl)tetrakis(N'-hydroxypropanimidamide); or a base in amount Such that the composition effectively 3,3'-(ethane-1,2-diylbis(oxy)bis(N'-hydroxypropanimida solubilize the copper and copper alloy; and mide); 3-(diethylamino)-N'-hydroxypropanimidamide: 3,3'- 0025 (d) cleaning the polishing pad surface with the (piperazine-1,4-diyl)bis(N'-hydroxypropanimidamide); cleaning composition. The cleaning composition may further 3-(2-ethoxyethoxy)-N'-hydroxypropanimidamide: 3-(2-(2- contain peroxide or hydroxylamine, with the mix (dimethylamino)ethoxy)ethoxy)-N'-hydroxypropanimida ing ratio of the one or more compounds having at least one mide: N'-hydroxy-3-(phenylamino)propanimidamide: 3.3', amidoxime functional group: or hydroxy 3"-nitrilotris(N'-hydroxypropanimidamide); 3,3'-(2,2-bis lamine: water ranging from about 1:4:20 to about 1:1:5, the ((3-(hydroxyamino)-3-iminopropoxy)methyl)propane-1,3- waiting time for allowing the solution to react with the residue diyl)bis(oxy)bis(N-hydroxypropanimidamide); 3,3'-(2,2'- being between about 30 to about 180 seconds, and the solu (methylazanediyl)bis(ethane-2,1-diyl)bis(oxy)bis(N'- tion being applied to the polishing padata heated temperature hydroxypropanimidamide); N,N-bis(3-amino-3- between about 40° C. and about 80°C. In one embodiment, (hydroxyimino)propyl); 3,3'-(2-(N'- the surface of the first wafer to be polished is substantially hydroxycarbamimidoyl)phenylazanediyl)bis(N'- comprised of an oxide, and the cleaning composition, option hydroxypropanimidamide); 3,3'-(2,2'-(3-amino-3- ally, further contains H2O, or hydroxylamine. (hydroxyimino)propylazanediyl)bis(ethane-2,1-diyl))bis 0026. In one embodiment of the invention, the one or more (oxy)bis(N'-hydroxypropanimidamide) and mixtures compounds having at least one amidoxime functional group thereof. have at least one of the following structures: 0033. In yet another embodiment, the one or more com pounds having at least one amidoxime functional group are NOH NOH NOH NOH selected from the group consisting of 3,3'3".3"-(ethane-1,2- diylbis(azanetriyl)tetrakis(N'-hydroxypropanimidamide); R ls.NH R NRH...l.. R NRR, Rul NRRR 3,3'-(ethane-1,2-diylbis(oxy)bis(N'-hydroxypropanimida mide); 1,2,3,4,5,6-hexakis-O-3-(hydroxyamino)-3-imino propyl Hexitol: 3,3'-(2,2-bis((3-(hydroxyamino)-3-imino or tautomers thereof, wherein R. R. R., and R are indepen propoxy)methyl)propane-1,3-diyl)bis(oxy)bis(N- dently alkyl, heteroalkyl, aryl or heteroaryl. hydroxypropanimidamide); N'.2-dihydroxyacetimidamide 0027. In another embodiment of the invention, the one or more compounds having at least one amidoxime functional and mixtures thereof. group have the following structure: 0034. In yet another embodiment, the one or more com pounds having at least one amidoxime functional group are Y NOH derived from the reaction of a nitrile with hydroxylamine. 0035. According to the present invention, the foregoing and other aspects are achieved in part by a method of cleaning R NH2 a polishing pad surface subsequent to CMP a wafer surface R R2 containing Cuora Cu alloy, the method comprising applying to the polishing pad Surface a cleaning composition compris wherein R, R2 and R are independently hydrogen, heteroa ing: about 2 ppm to about 50 percent by weight of one or more toms, heterogroups, alkyl, heteroalkyl, aryl or heteroaryl; and compounds with at least one amidoxime functional group in wherein Y is O, NH or NOH. water, preferably deionized water, optionally with an acid or 0028. In another embodiment of the invention, the one or a base in an amount Such that the composition effectively more compounds having at least one amidoxime functional solubilize the copper and copper alloy. The cleaning compo group have the following structure: sition can further include a compound with an oxidation or reduction potential. Y NOH 0036) Another aspect of the present invention is a method comprising the sequential steps: (a) conducting CMP on a R NH2 first wafer Surface containing Cuora Cu alloy on a surface of a polishing pad; (b) applying to the polishing pad Surface a R R4 Rs cleaning composition comprising: about 2 ppm to about 50 NH2 percent by weight of one or more compounds with at least one R6 amidoxime functional group in deionized water, optionally R7 with an acid or a base in amount Such that the composition NOH effectively solubilize the copper and copper alloy; (c) rinsing the polishing pad surface with water to remove any cleaning 0029 wherein R. R. and R are independently hydrogen, composition on the polishing pad surface; (d) conducting heteroatoms, heterogroups, alkyl, heteroalkyl, aryl or het CMP on a second wafer surface; and (e) repeating steps (b) eroaryl, through (d). 0030 wherein Y is O. NH or NOH, and 0037 Another aspect of the present invention is a method 0031 wherein R. Rs. RandR, are independently hydro comprising: (a) conducting chemical-mechanical polishing gen, heteroatoms, heterogroups, alkyl, heteroalkyl, aryl or (CMP) on a first wafer surface of a first wafer containing heteroaryl. copper (Cu) or a Cu-based alloy on a Surface of a polishing 0032. In another embodiment, the one or more compounds pad; (b) removing the first wafer from the pad; (c) applying to having at least one amidoxime functional group are selected the polishing pad Surface a cleaning composition, wherein the from the group consisting of 1,2,3,4,5,6-hexakis-O-3-(hy cleaning composition is a solution comprising about 2 ppm to US 2009/O 137191 A1 May 28, 2009

about 50 percent by weight of one or more compounds having capable of modifications in various obvious respects, all with at least one amidoxime functional group in water, optionally, out departing from the present invention. Accordingly, the with an acid or a base in amount Such that the composition drawings and description are to be regarded as illustrative in effectively solubilize the copper and copper alloy; (d) rinsing nature, and not as restrictive. The aspects of the present inven the polishing pad surface with water to remove any cleaning tion may be realized and obtained as particularly pointed out composition on the polishing Surface; (e) conducting CMP on in the appended claims. a second wafer; and then (9 repeating steps (b) through (e) one or more times. BRIEF DESCRIPTION OF DRAWINGS 0038 Another aspect of the present invention is an appa ratus for conducting a CMP on a wafer Surface containing Cu 0044 FIG. 1 schematically illustrates a conventional CMP or Cu alloy with significantly reduced pad glazing. apparatus. 0039. A further aspect of the present invention is an appa 0045 FIG. 2 schematically illustrates a CMP apparatus in ratus for conducting CMP on a wafer Surface containing Cuor accordance with an embodiment of the present invention. a Cu alloy, the apparatus comprising: a platen; a polishing 0046 FIG.3 shows a plot of copper thickness lost vs. time sheet or pad mounted on the platen; a first dispenser adapted for cleaning compositions comprising hydrogen peroxide, an to dispense a cleaning composition on a working Surface of amidoxime compound and a mixture of hydrogen peroxide the polishing sheet or pad; and a source of the cleaning com and an amidoxime compound. position coupled to the first dispenser, the cleaning composi 0047 FIG. 4 shows the result of an ESCA analysis of the tion comprising: about 2 ppm to about 50 percent by weight of copper Surface without any treatment, indicating a high con one or more compounds with at least one amidoxime func centration of Cu(II) oxide. tional group in deionized water, optionally with an acid or a 0048 FIG. 5 shows the efficacy Cu(II) oxide removal by base in amount such that the composition effectively solubi the amidoxime solution. lize the copper and copper alloy. 0049 FIG. 6 shows that amidoxime compounds also 0040 Another aspect of the present invention is a method inhibit the growth of Cu(II) oxide. of cleaning a surface of a polishing pad, the method including 0050 FIG. 7 is an Auger depth profile analysis of the the steps of (a) conducting chemical-mechanical polishing cleaning treated copper Surface. The result suggests that the (CMP) on a first wafer on the surface of the polishing pad; (b) Cu(I) and Cu(II) oxide thickness have not increased. removing the first wafer from the polishing pad; (c) applying 0051 FIG. 8 shows a Copper Pourbaix diagram. to the polishing pad surface a cleaning composition, wherein the cleaning composition is a solution comprising from about DETAILED DESCRIPTION OF THE INVENTION 2 ppm to about 50 percent by weight of one or more com pounds having at least one amidoxime functional group in 0.052 The present invention addresses and solves the pad deionized water, optionally, with an acid or a base in amount glazing problem attendant upon conducting chemical-me such that the composition effectively solubilize the copper chanical polishing (CMP) on a wafer Surface containing Cu and copper alloy; and (d) cleaning the polishing pad Surface and/or Cualloys. As employed throughout this disclosure, the with the cleaning composition. symbol Cu is intended to encompass high purity elemental 0041 Embodiments of the present invention comprise copper as well as copper-based alloys, e.g., copper alloys conducting CMP on a plurality of wafers having a surface containing about 80% of copper and greater. As also containing Cuora Cu alloy. After each wafer is subjected to employed throughout this disclosure, the expression “ex situ” CMP, the polishing pad Surface is cleaned with a cleaning treatment is intended to encompass polishing pad treatment Solution containing one or more compounds with at least one conducted while a wafer is not in contact with the polishing amidoxime functional group in deionized water, optionally pad and/or undergoing CMP. including an acid such as phosphoric acid, and 0053 Pad glazing attendant upon conducting CMP of a Sulfuric acid, or a base. Such as potassium, Sodium or ammo wafer Surface containing Cu adversely impacts the unifor nium hydroxide. The cleaning solution is then rinsed away mity and polishing rate of CMP. Accordingly, pad condition from the polishing pad surface with pressurized water. Pad ing is conventionally conducted, notably with a diamond conditioning can also be implemented before, during and/or disk. It is believed that pad glazing stems from the accumu after applying the cleaning Solution. Embodiments of the lation of polishing by-products, particularly Cu-complexes present invention further include an apparatus containing a with slurry components, such as complexing agents and first dispenser for dispensing the cleaning solution and, a inhibitors. second dispenser for rinsing the polishing pad Surface after 0054 The present invention addresses and solves the pad application of the cleaning solution, and a computer pro glazing problem attendant upon conducting CMP of a wafer grammed to implement CMP polishing pad Surface cleaning Surface containing Cuby addressing the Source of the prob and polishing pad Surface rinsing. lem, i.e., by removing the Cu-containing polishing by-prod 0042. In certain embodiments, the composition can be ucts before such polishing by-products transform into a glaz further diluted with water prior to applying it to the polishing ing on the pad surface. In accordance with embodiments of pad surface. The dilution factor can be from about 10 to 500. the present invention, after conducting CMP on a wafer sur 0043. Additional aspects of the present invention will face containing Cu, the polishing pad working Surface is become readily apparent to those skilled in this art from the treated with a cleaning composition comprising about 2 ppm following detailed description, wherein embodiments of the to about 50 percent by weight of one or more compounds with present invention are described, simply by way of illustration at least one amidoxime functional group in deionized water, of the best mode contemplated for carrying out the present optionally with an acid or a base in amount Such that the invention. As will be realized, the present invention is capable composition effectively solubilize the copper and copper of other and different embodiments, and its several details are alloy. Subsequent to cleaning, the polishing pad surface is US 2009/O 137191 A1 May 28, 2009

rinsed with water, as by water under pressure, to remove the face to remove the remaining cleaning Solution and dissolved cleaning solution prior to initiating CMP on a Subsequent polishing by-products prior to initiating CMP of a Subsequent wafer. wafer. The apparatus can also be programmed for implement 0055 Embodiments of the present invention further ing polishing pad conditioning before, during and/or after include optionally conditioning the pad Surface to remove any treatment of the pad Surface with a cleaning Solution. glazing which may occur, as by employing a conventional 0060 An apparatus in accordance with an embodiment of disk, before, during and/or after treatment with the cleaning the present invention is schematically illustrated in FIG. 2. composition The inventive apparatus 31 comprises many components 0056. Embodiments of the present invention comprise described with reference to the conventional apparatus 11 treating the polishing pad Surface with a cleaning Solution illustrated in FIG. 1. However, the inventive apparatus 31 containing one or more compounds with at least one ami further comprises a source of cleaning Solution33, a cleaning doXime functional group in deionized water, optionally Solution containing one or more compounds with at least one including an acid such as phosphoric acid, acetic acid and amidoxime functional group in deionized water, optionally Sulfuric acid, or a base. Such as potassium, Sodium or ammo including an acid such as phosphoric acid, acetic acid and nium hydroxide. Given the present disclosure and objectives, Sulfuric acid, or a base. Such as potassium, Sodium or ammo the optimum flow rate and time for treating a polishing pad nium hydroxide, coupled to slurry/rinse arm 27, and a con Surface can be determined in a particular situation. For troller 35 coupled to the platen 15, pivot arm 21, slurry/rinse example, it was found Suitably to apply the cleaning Solution arm 27 and the source of cleaning solution33. Additionally, to a rotating polishing pad at a flow rate of about 100 to about source of rinsing fluid 39 (e.g., a source of deionized water) is 600 ml/min, e.g., about 100 to about 200 ml/min, for about 3 coupled to the slurry/rinse arm 27 and the controller 35. to about 20 seconds. The solution can then be removed from Controller 35 can be programmed for controlling all aspects the polishing pad surface by applying pressurized deionized ofoperation, including CMP of a substrate S on polishing pad water for about 2 to about 20 seconds. 17, conditioning the polishing pad 17 via pivot arm 21, dis 0057. It was found that the sequential treatment of a pol pensing (via slurry/rinse arm 27) cleaning solution from the ishing pad surface with a cleaning Solution containing one or Source of cleaning Solution 33, and dispensing rinsing fluid more compounds with at least one amidoxime functional from the source of rinsing fluid 39. group, an acid or a base and water followed by rinsing with 0061. In operation, a substrate S is placed face down water significantly reduces pad glazing, increases wafer to beneath the substrate mounting head 29, and the substrate wafer rate uniformity and reduces wafer scratches. The exact mounting head 29 presses the substrate S firmly against the mechanism underpinning the significant reduction in pad polishing pad 17. Slurry is introduced to the polishing pad 17 glazing attendant upon employing a cleaning Solution in via slurry/rinse arm 27, and platen 15 rotates as indicated by accordance with embodiments of the present invention is not arrow R. Pivot arm 21 Scans from side to side in an arcing known with certainty. However, it is believed that amidoxime motion as indicated by arrow S. compounds form water soluble complexes with Cu and/or the 0062) If the pad is grooved, the grooves 19 channel the Cu-containing CMP by-products and Such complexes dis slurry (not shown) between the substrate S and the polishing Solve in water. Upon Subsequent rinsing with water, the pad 17. The semi-porous surface of the polishing pad 17 remaining cleaning composition and Solubilized by-products becomes saturated with slurry which, with the downward are removed, thereby preventing and/or significantly reduc force of the substrate mounting head 29 and the rotation of the ing the formation of pad glazing in an efficient, cost effective platen 15, abrades and planarizes the surface of the substrate a. S. The diamond crystals (not shown) embedded in the rotating 0058 Embodiments of the present invention, therefore, conditioner 25 continually roughen the surface of the polish comprise a method of conducting CMP on a plurality of ing pad 17 to ensure consistent polishing rates, if necessary. individual wafers having a surface containing Cu. After each 0063. Unlike conventional pad cleaning techniques which wafer is planarized, the polishing pad Surface is treated with merely use a rinsing fluid Such as de-ionized water to remove a cleaning solution and then rinsed, in accordance with slurry particles and polishing residue, the inventive apparatus embodiments of the present invention, to prevent and/or sig 31 employs a cleaning Solution having a chemistry adapted to nificantly reduce pad glazing, thereby improving wafer to improve pad cleaning. Specifically, the cleaning solution has wafer rate uniformity and reducing wafer Scratches a chemistry adapted to solubilize Cu-containing CMP residue 0059 Embodiments of the present invention further on the Surface of polishing pad 17 before glazing occurs. In include polishing apparatus comprising various types of plat this manner, even difficult to remove Cu-containing com ens, including linear platens and apparatuses comprising at pounds in the solid state, can be cleaned from the polishing least one platen, a polishing pad or sheet mounted on the pad 17 in an efficient, cost effective manner. Subsequently, platen, a first dispenser for dispensing a cleaning Solution the surface of polishing pad 17 is rinsed as with pressurized containing one or more compounds with at least one ami deionized water dispensed from slurry/rinse arm 27. doXime functional group in deionized water, optionally with 0064. The present invention advantageously significantly an acid or a base in amount Such that the composition effec reduces polishing pad glazing at its source by Solubilizing and tively solubilize the copper and copper alloy, a second dis removing Cu-containing CMP residue before glazing occurs penser for dispensing water, e.g., pressurized water, on the on the polishing pad surface. The present invention can be polishing pad Surface to remove the cleaning solution and implemented in a cost effective, efficient manner employing dissolved CMP by-products prior to initiating CMP of a sub conventional materials and chemicals, with minor modifica sequent wafer. An apparatus in accordance with embodi tions to existing CMP devices. The present invention signifi ments of the present invention can also include a controller cantly improves wafer-to-wafer CMP rate uniformity and, at programmed for dispensing the cleaning solution onto the the same time, significantly reduces wafer Scratches, in a cost polishing pad surface and for rinsing the polishing pad Sur effective and efficient manner. US 2009/O 137191 A1 May 28, 2009

0065. The present invention is applicable to the manufac 0.076 Ketones or aldehydes possessing a —CH . ture of various types of semiconductor devices. The present —CH2—, or CH group adjacent to the carbonyl group. invention is particularly applicable to manufacturing multi 0.077 Compounds such as malonic esters, malonamide level semiconductor devices having Sub-micron features. and cyanoacetamide, in which a —CH- or —CH2— 0066. In the previous description, numerous specific group is situated between. —COR, —CN, or details are set forth, such as specific materials, structures, —CONH groups. chemicals, processes, etc., to provide a better understanding 0078. A list of the above compounds can be found in the of the present invention. However, the present invention can CRC Handbook Table for Identifica be practiced without resorting to the details specifically set tion, 3' Ed. Published by The Chemical Rubber Company, forth. In other instances, well known methodology, materials such Table is incorporated herein by reference. and features have not been described in detail in order not to 007.9 Formulations containing amidoximes may option unnecessarily obscure the present invention. ally include other complexing agents and the amidoxime 0067. Only the preferred embodiment of the present compound could have other functional groups that have a invention and but a few examples of its versatility are shown chelate functionality within the itself. and described in the present disclosure. It is to be understood 0080. The compositions of the present application include that the present invention is capable of use in various other semiconductor processing compositions comprising water combinations and environments and capable of changes or and at least one compound containing at least one amidoxime modifications within the scope of the inventive concept as functional group. It a preferred embodiment the at least one expressed herein. amidoxime functional groups are derived from a nitrile com 0068 Amidoxime Containing Compounds pound. 0069. The content of the amidoxime in the pad cleaner of I0081. In some embodiments the nitrile compound is the present invention is set preferably not less than 2 ppm and derived from the cyanoethylation of a compound selected not greater than 50 percent by weight in deionized water. from the group consisting of Sugar alcohols, hydroxy acids, More preferably, it is set between 0.01 percent by weight and Sugar acids, monomeric polyols, polyhydric alcohols, glycol 20 percent by weight, more preferably between 1 percent by ethers, polymeric polyols, polyethylene glycols, polypropy weight and 10 percent by weight. lene glycols, amines, amides, imides, amino alcohols, and 0070 A preferred source of the amidoxime group is from synthetic polymers. a nitrile compound that is derived from the cyanoethylation of I0082 In use in as a CMP pad cleaner, the cleaning agent a compound selected from the group consisting of Sugar may further include one or more oxidizers and one or more alcohols, hydroxy acids, Sugar acids, monomeric polyols, Surface-active agents, such as a Surfactant in the classes dis polyhydric alcohols, glycol ethers, polymeric polyols, poly closed herein (anionic Surfactants, Zweitter-ionic Surfactants, glycols, polypropylene glycols, amines, amides, multi-ionic Surfactants, or combinations thereof). Examples imides, amino alcohols, and synthetic polymers. of Such surfactants include: sodium salts of polyacrylic acid, 0071. The reaction of nitrile-containing compounds with potassium oleate, Sulfo Succinates, SulfoSuccinate derivatives, hydroxylamine is as follows, for example: Sulfonated amines, Sulfonated amides, Sulfates of alcohols, alkylanyl Sulfonates, carboxylated alcohols, alkylamino pro pionic acids, alkyliminodipropionic acids, and combinations N OH N-OH thereof and wherein the surfactant comprises between about C 22 HN 1 -- R- 4. 0.001 to about 10 percent by weight of the composition. R1 Hydroxylamine VNH2 I0083. Organic Acid and/or Basic Component Nitrile I0084. In embodiments of the present invention, the aque Amidoxime (AO) ous composition may include: a) a monofunctional, difunc tional or trifunctional organic acid; and/or b) one or more basic compounds selected from quaternary amines, hydroxy 0072 The amidoxime structure can be represented in their lamine, hydroxylamine derivatives (including salts), hydra resonance form as illustrated below Zine or hydrazine Salt base, compounds, and one or more alkanolamines. N-OH HN-OH I0085. In another embodiment, the composition contains at least one alkaline (basic) compound that is an alkanolamine. R (4v R. R. -'w Preferred alkanolamines are monoethanolamine, 2-(2-hy NH2 NH droxylethylamino), 2-(2-aminoethoxy)ethanol, N.N. N-tris(2-hydroxyethyl)-, isopropanolamine, Amidoxime (AO) 3-amino-1-propanol, 2-amino-1-propanol, 2-(N-methy lamino)ethanol. 2-(2-aminoethylamino)ethanol, and mix 0073 Amidoximes are made by the reaction of hydroxy tures thereof. lamine with nitrile compounds. The most preferred com I0086) Suitable organic acids include methanesulfonic pounds which undergo cyanoethylation include the follow acid, oxalic acid, lactic acid, citric acid, Xylenesulfonic acid, 1ng: toluenesulfonic acid, , tartaric acid, propionic 0074 Compounds containing one or more —OH or acid, benzoic acid, ascorbic acid, gluconic acid, malic acid, —SH groups, such as water, alcohols, phenols, OXimes, malonic acid, Succinic acid, gallic acid, butyric acid, trifluo hydrogen Sulphide and thiols. racetic acid, glycolic, and mixtures thereof. 0075 Compounds containing one or more —NH I0087 Chelating Agent groups, e.g., ammonia, primary and secondary amines, 0088. In another alternative or additional embodiment, the hydrazines, and amides. aqueous composition can include a chelation agent that will US 2009/O 137191 A1 May 28, 2009

complex with transition metal ions and mobile ions. In a 0098. Examples of amidoximes can be prepared from preferred embodiment, the chelation agent includes ethylene reacting hydroxylamine with a nitrile compound illustrated in diamine tetraacetic acid (EDTA), an oxime, 8-hydroxy quino the equation below, for example. Herein a number of ami line, polyalkylenepolyamine or crown ether. doXime compounds are disclosed in addition to the example I0089. Oxidizing Agent below. Any such compound is for use with the present inven 0090. In another alternative or additional embodiment, the tion. aqueous composition can include an oxidizing agent that will maintain metal film oxide layers. In a preferred embodiment, the oxidizing agent includes ammoniumperoxydisulfate, per N-OH. acetic acid, urea hydroperoxide, sodium percarbonate or R EN + H2N-OH -- R-C Sodium perborate. Other oxidizing agents include hydrogen Nitrile Hydroxylamine YH, peroxide; hydroxylamine and its salts; nitrate, Sulfate, chlo ride and mixtures; a peracetic acid, perchloric acid, periodic Amidoxime acid and mixtures thereof; persulfates Such as ammonium persulfate, sodium persulfate and potassium persulfate, (0099. Oxidizing Compound Na2O, BaO and (CHC).O. hypochlorous acid (HClO); 0100. The oxidizer includes, in some embodiments of the organic peroxides (ketoneperoxides, diacylperoxides, hydro present invention, hydrogen peroxide; hydroxylamine and its peroxides, alkylperoxides, peroxyketals, alkylperesters, per salts; nitrate, Sulfate, chloride and mixtures; a peracetic acid, oxycarbonates, water-soluble peroxides and Such). Among perchloric acid, periodic acid and mixtures thereof, persul these, hydrogen peroxide (H2O) and hydroxylamine, fates such as ammonium persulfate, Sodium persulfate and hydroxylamine Sulfate, hydroxyl ammonium salts and mix potassium persulfate, NaO, BaO and (CHC).O. tures thereof are preferable because they do not contain a hypochlorous acid (HClO); organic peroxides (ketoneperoX metal component or do not generate a harmful byproduct. ides, diacylperoxides, hydroperoxides, alkylperoxides, per 0091. The cleaning agents of the current invention include oxyketals, alkylperesters, peroxycarbonates, water-soluble chelation. The cleaning action of the current invention effi peroxides and Such). Among these, hydrogen peroxide ciently removes residual particles from the surface of the (H2O) and hydroxylamine, hydroxylamine Sulfate, hydroxyl CMP pad and also complexes the metal that is removed in ammonium salts and mixtures thereofare preferable because Solution. they do not contain a metal component or do not generate a 0092. The methods of the present invention may also use harmful byproduct. compositions that are substantially free from fluoride-con 0101. A content of the oxidizing agent to the total amount taining compounds, acid compounds, organic solvents, of the CMP pad cleaning composition of the present invention alkanolamines, quaternary ammonium compounds, hydroxy is appropriately set within a range of 0.01 to 10 wt %, taking lamine and hydroxylamine derivatives, non-hydroxyl-con the polishing efficiency, the polishing accuracy and the like taining amines, alkanolamines, non-amidoxime group into consideration. The content thereof is set preferably not chelating agents, and Surfactants. less than 0.05 wt % and more preferably not less than 0.1 wt 0093. The compositions herein may contain substantially % to achieve a better polishing rate, but preferably not greater than 5 wt % and more preferably not greater than 3 wt % to no additional components. Suppress the dishing and regulate the polishing rate. When the 0094. In some embodiments the organic solvent, which is content of the oxidizing agent is too low, the chemical effects miscible with water, is in an amount from about 5% to about of the polishing slurry become Small so that the polishing rate 15% by weight. obtained may become insufficient or the damage may become 0095 Other preferred embodiments contain a surface apparent on the polished face. On the other hand, when the active agent. Such as: (a) non-ionic; (b) anionic; (c) cationic; content of the oxidizing agent is too high, its etching capabil (d) Zwitterionic; (e) amphoteric Surfactants, (f) and mixtures ity (chemical effect) against the copper-based metal increases thereof. and the dishing is likely to occur. 0096. In some embodiments, the cleaning agent further 0102 Additional Complexing Agent comprises a Surface-active agent is selected from the group 0103) Additionally, pursuant to some embodiments of the consisting of: (a) non-ionic; (b) anionic; (c) cationic; (d) present invention, the cleaner may further include other com Zwitterionic; (e) amphoteric Surfactants; (f) and mixtures plexing agents for copper, Such as such as carboxylic acids thereof and/or at least one basic compound which includes and amino acids. one or more alkanolamines selected from the group consist 0104. As carboxylic acids, there can be given, for instance, ing of monoethanolamine, 2-(2-hydroxylethylamino)etha oxalic acid, malonic acid, tartaric acid, malic acid, glutaric nol, 2-(2-aminoethoxy)ethanol, N.N.N-tris(2-hydroxy acid, citric acid, maleic acid, formic acid, acetic acid, propi ethyl)-ammonia, isopropanolamine, 3-amino-1-propanol, onic acid, butyric acid, Valeric acid, acrylic acid, lactic acid, 2-amino-1-propanol, 2-(N-methylamino)ethanol. 2-(2-ami Succinic acid, nicotinic acid and their salts. noethylamino)ethanol, and mixtures thereof in an amount 0105. As amino acids, there can be given, for instance, from about 0.5% to about 5% by weight. arginine, arginine hydrochloride, arginine picrate, arginine 0097. It is preferred that the amidoxime group is derived flavianate, lysine, lysine hydrochloride, lysine dihydrochlo from a nitrile compound that is derived from the cyanoethy ride, lysine picrate, histidine, histidine hydrochloride, histi lation of a compound selected from the group consisting of dine dihydrochloride, glutamic acid, Sodium glutaminate Sugar alcohols, hydroxy acids, Sugar acids, monomeric poly monohydrate, glutamine, glutathione, glycylglycine, alanine, ols, polyhydric alcohols, glycol ethers, polymeric polyols, .beta.-alanine, gamma.-aminobutyric acid, .epsilon.-ami polyethylene glycols, polypropylene glycols, amines, nocarproic acid, aspartic acid, aspartic acid monohydrate, amides, imides, amino alcohols, and synthetic polymers. potassium aspartate, calcium aspartate trihydrate, tryp US 2009/O 137191 A1 May 28, 2009

tophan, threonine, , cysteine, cysteine hydrochloride be selected as may be beneficial for the application, the chem monohydrate, oxyproline, isoleucine, leucine, methionine, istry, and/or the conditions. Examples of other complexing ornithine hydrochloride, phenylalanine, phenylglycine, pro groups include hydroxamic acid, thiohydroxamic acid, N-hy line, serine, tyrosine and valine. droxyurea, N-hydroxycarbamate, and N-nitroso-alkyl-hy 0106 AS inorganic acids, there can be given, for instance, droxylamine. These groups offer synergistic advantages nitric acid, nitrous acid, Sulfuric acid, Sulfurous acid, persul when used with amidoximes of removing metal oxide, Such furic acid, boric acid, perboric acid, phosphoric acid, phos as copper oxide, residue by rendering Such oxides soluble in phorous acid, hypophosphorous acid and silicic acid. aqueous Solutions. As with amidoximes, these functional 0107 An added feature for this invention is to add small groups can be formed by reaction with hydroxylamine or quantities of metalion chelators which could include di-, tri-, hydroxylamine derivatives. tetra-functional groups, i.e., EDTA, citric acid, oximes, lactic acid, 8-hydroxy quinoline and other well known agents that 0118 Regarding other complexing agents that may will chelate with metal ions under acid conditions. Other optionally be used with amidoximes in the compositions of possible agents are polyethylene oxide, polyethyleneimine the present application, complexing agents may be purchased and crown ethers. These latter two compounds have varying commercially or prepared by known methods. A non-exhaus affinity for mobile ions (Li, Na, K, and certain alkaline earth tive list has been previously presented. ions). Concentrations preferably vary from 0.01 to 10 wt %. 0119. One example of a synergistic functional group is a 0108 Surfactants hydroxamic acid group. Such groups are well known (H. L. 0109. One preferred cleaning solution of the present Yale, “The Hydroxamic Acids, Chem. Rev. 209-256 invention includes a Surface-active agent to promote even (1943)). Polymers containing hydroxamic acid groups are wetting of the semiconductor surface. Preferred embodi known and can be prepared by addition of hydroxylamine to ments include, but are not limited to, non-ionic, anionic, anhydride groups of anhydride-containing copolymers. Such cationic, Zwitterionic or amphoteric Surfactants or mixtures as styrene-maleic anhydride copolymer or poly(Vinylmethyl thereof. Surfactants (nonionics, anionics and cationics) can ether/maleic anhydride) copolymers, or by reaction of be included in these formulations. hydroxylamine with ester groups. Hydroxamic acid-contain 0110. Other Additives ing polymers can also be prepared by acid-catalyzed hydroly 0111. The cleaning solutions of the present invention may sis of polymers that contain amidoxime groups (U.S. Pat. No. contain a variety of additives such as a dispersing agent, a buffer agent and a viscosity modifier, which are in wide use as 3.345.344). common additives to the polishing slurry, provided that they I0120 U.S. Pat. No. 6,235,935, for example, discusses the do not affect adversely the properties of the cleaner. formation of high purity oXimes from aqueous hydroxy 0112 A key component of the formulations of the present lamine and ketones reacted at ambient temperature without invention is the presence of one or more compounds with at addition of impurities such as salts or acids. least one amidoxime functional group. Without being bound I0121 Thiohydroxamic acids are compounds with another to any particular theory, it is understood that the multidentate synergistic type of functional group with amidoximes and can complexing agents disclosed above complex with Substrate be prepared by addition of hydroxylamine to dithiocarboxylic Surfaces to remove contaminants on Such surfaces. The ami acids (H. L. Yale, Chem. Rev., 33, 209-256 (1943)). doXime molecule can be designed to function as passivation 0.122 N-hydroxyureas are compounds with another syn on metal Surface by rendering insoluble metal complex or as ergistic type of functional groups with amidoximes and can cleaning agent by rendering the metal containing residue be prepared by reaction of hydroxylamine with an isocyanate more soluble. (A. O. Ilvespaa et al., Chime (Switz.) 18, 1-16 (1964)). 0113 Amidoxime copper complexes have shown to be I0123 N-Hydroxycarbamates are compounds with another readily soluble in water under basic condition while less synergistic type of functional groups with amidoximes and soluble under acidic condition. Accordingly, the passivating/ can be prepared by reaction of hydroxylamine with either a cleaning effect of the amidoxime chemistry can be affected by altering the pH. linear or cyclic carbonate (A. O. Ilvespaa et al., Chimia 0114 U.S. Pat. No. 6,166,254, for example, discusses the (Switz.) 18, 1-16 (1964)). formation of amidoximes from aqueous hydroxylamine free 0.124 N-Nitroso-alkyl-hydroxylamines are compounds base and nitriles, such as the reaction of with with another synergistic type of functional group with ami aqueous hydroxylamine at ambient temperature to yield high doXimes and can be prepared by nitrosation of alkyl hydroxy purity acetamidoxime. lamines (M. Shino et al., Bioorganic and Medicinal Chem 0115. It will be obvious to those skills of the art that other istry 95, 1233-1240 (2001)). nitriles will react with hydroxylamine freebase in similar 0.125 One embodiment of the present invention involves aS. cleaning solutions which comprise at least one chelating 0116 Amidoximes have been shown to complex with compound with one or more amidoxime functional group. metals, such as copper. Amidoximes of cyanoethylated cel lulose have also been shown to complex with copper and N-OH other metalions. (See, Altas H. Basta, International Journal of M Polymeric Materials, 42, 1-26 (1998)). R-C 0117. One preferred embodiment of the present invention V is to compositions, and methods of use thereof, containing a group of higher pH range chelating compounds comprising at least two functional groups where at least one Such group is an 0.126 The amidoximes can be prepared by the reaction of amidoxime. The other groups or complexing compounds may nitrile-containing compounds with hydroxylamine. US 2009/O 137191 A1 May 28, 2009

nitrile, 3-Methyl-2-phenylbutyronitrile, 1,2-Dicyanopro pane, 1-Undecanonitrile (or 1-Hendecanonitrile), N-OH A 2-Phenylvaleronitrile, 10-Undecenonitrile (or 10 Hende R EN + H2N-OH -- R-C cenonitrile), 3-Phenylpropionitrile, 2-Cyanobenzalchloride (or C.C. Dichloro-o-tolunitrile), N-Methylanilinonitrile (or Nitrile Hydroxylamine NH2 N-Cyano-N-methylaniline), 3-(2-Chlorophenyl)propioni Amidoxime trile, 1,3-Dicyano-2-methypropane (or 2-Methylglutaroni trile), O-Benzoyl lactonitrile (or Lactonitrile benzoate), 0127. A convenient route to the formation of amidoxime 3-Cyanobenzalchloride (or O.C.-Dichloro-m-tolunitrile), chelating compounds is by adding hydroxylamine to the cor 4-Cyanobenzalchloride (or O.C.-Dichloro-p-tolunitrile), responding nitrile compound. There are several methods Dodecanonitrile (or Lauronitrile), 1,3-Dicyanopropane (or known for preparing nitrile-containing compounds, includ Glutaronitrile), 4-Methoxyhydrocinnamonitrile (or 3-(4- ing cyanide addition reactions such as hydrocyanation, poly Methoxyphenyl)-), 1,4-Dicyanobutane (Adi merization of nitrile-containing monomers to form polyacry ponitrile), 1.2.2.3-Tetramethyl-3-cyclopentene-1-acetoni lonitrile or copolymers of with vinyl monomers, trile (or 5-Methyl-O-campholenonitrile), and dehydration of amides. Typical procedures for the Syn 1-Cyanocyclohexene, 2-Hydroxybutyronitrile (or Propanal theses of nitriles may be found in J. March, Advanced Organic ), Hydnocarponitrile, C.-Chloro-O-phenylaceto Chemistry, 4th ed., John Wiley and Sons, NY, (1992). nitrile. Butyl cyanoacetate, 3-Bromopropionitrile, 2,4- 0128 Nitrile compounds listed in the CRC Handbook Diphenylbutyronitrile. Thiophene-2-acetonitrile, Trans-4- (pages 344-368) can be used in this invention include, but are Chlrocrotononitrile, 2-Cyanopentanoic acid, AZelaonitrile not limited to, the following: , Cyanoacetal (or 1,7-Dicyanoheptane), 3-Chloro-2-hydroxy-2-methylpro dehyde. Acrylonitrile, Fluoroacetonitrile, Acetonitrile (or pionitrile (or Chloroacetone ), 1.11-Dicyanoun Cyanomethane), Trichloroacetonitrile, Methacrylonitrile (or decane (or 1.11-Dicyanohendecane), 2-Cyanobutyric acid, C.-Methylacrylonitrile), Proionitrile (or Cyanoethane), Isobu 2-Cyanobiphenyl, 1,12-Dicyanodedecane (or C.(I)-Dodecane tyronitrile, Trimethylacetonitrile (or tert-Butylcyanide), dicyanide), 1-Cyano-4-isopropenylcyclohexene, Sebaconi 2-Ethyacrylonitrile, Dichloroacetonitrile, C. Chloroisobuty trile (or 1.8-Dicyanooctane), Suberonitrile (or 1,6-Dicyano ronitrile, n- (or 1-Cyanopropane), trans-Croto hexane), 3-Cyanoindene (or indene-3-carbonitrile), Ami nonitrile, Allycyanide, Methoxyacetonitrile, 2-Hydroxy noacetonitrile (or Glycinonitrile), 2-Cyanodiphenylmethane, isobutyronitrile (or cyanohydrins), 3-Hydroxy-4- N-Piperidinoacetonitrile, 3-Chloro-2-tolunitrile, Tetrade methoxybenzonitrile, 2-Methylbutyronitrile, canonitrile, Cinnamonitrile, Trichloroacrylonitrile, DL-Man Chloroacetonitrile, Isovaleronitrile, 2,4-Pentadienonitrile, delonitrile (or Benzaldehyde cyanohydrins), Pentadecanoni 2-Chlorocrotononitrile, Ethoxyacetonitrile, 2-Methycroto trile, 2-Methoxybenzonitrile, (2-Chlorophenyl)acetonitrile nonitrile, 2-Bromoisobutyronitrile, 4-Pentenonitrile, (or 2-Chlorobenzylcyanide), 1,1-Dicyanoethane (or Methyl Thiophene-2,3-dicarbonitrile (or 2,3-Dicyanothiophene), ), 2-Cyanopyridine (or 2-Pyridinecarbonitrile; 3.3-Dimethylacrylonitrile, Valeronitrile (or 1 Cyanobutane), Picolinonitrile), 4-tolunitrile (or 4-Methylbenzonitrile), 2-Chlorobutyronitrile, Diethylacetonitrile, 2-Furanecarboni D-Mandelonitrile, d.1-(2-Bromophenyl)acetonitrile (or trile (or beta-Furonitrile; 2 Cyanofuran), 2-Methylacetoac 2-Bromobenzyl cyanide), (4-Chlorophenyl)acetonitrile (or etonitrile, Cyclobutanecarbonitrile (or Cyanocyclobutane), 4-Chlorobenzyl cyanide), Malononitrile (or cya 2-Chloro-3-methylbutyronitrile, Isocapronitrile (or 4-Meth nide), Hexadecanonitrile, Maleonitrile (or cis-1,2-Dicyano ylpentanonitrile), 2,2-Dimethylacetoacetonitrile, 2-Methyl ethylene), 2.2-Dicyanopropane (or Dimethylmalononitrile), hexanonitrile, 3-Methoxypropionitrile, n-Capronitrile tert-Butylacetonitrile (or Neopentyl cyanide), 1-Naphthylac (n-Hexanonitrile), (Ethylamino) acetonitrile (or N-Ethylgly etonitrile, 4.4-Dicyanoheptane (or Dipropylmalononitrile), cinonitrile), d.l-3-Methylhexanonitrile, Chlorofumaronitrile, Heptadecanonitrile, 1-Naphthonitrile (or 1-Cyanonaptha 2-Acetoxypropionitrile (or O-Acetylactonitrile), 3-Ethox lene), 2-Cyanopropionic acid, 4-Fluorobenzonitrile, Cou ypropionitrile, 3-Chlorobutyronitrile, 3-Chloropropionitrile, marilonitrile (or Coumarin-2-carbonitrile), Indole-3-acetoni Indole-3-carbonitrile (or 3-Cyanoindole). 5-Methylhexano trile, 3-Bromobenzonitrile, 2-(N-Anilino)-butyronitrile, nitrile. Thiophene-3-carbonitrile (or 3-Cyanothiophene), d.l- Trans-o-Chlorocinnamonitrile, Octadecanonitrile, 3-Chlo 4-Methylhexanonitrile, d.l-Lactonitrile (or Acetaldehydecy robenzonitrile, 2-Chlorobenzonitrile, 4-Chloromandeloni anohydrin), Glycolnitrile (or Formaldehydecyanohydrin), trile, Nonadecanonitrile, 2-Bromo-4-tolunitrile, 3.3-Dicy Heptanonitrile, 4-Cyanoheptane, . Thiophene-2- anopentane (or Diethylmalononitrile), 4-Cyanobutyric acid, carbonitrile (or 2-Cyanothiophene), 2-Octynonitrile, 4-Chlo 5-Chloro-2-tolunitrile, (4-Aminophenyl)acetonitrile (or robutyronitrile, Methyl cyanoacetate, Dibenzylacetonitrile, 4-Aminobenzyl cyanide), meso-2,3-Dimethyl-Succinoni 2-Tolunitrile (or 2-Methoxybenzonitrile), 2.3.3-Trimethyl-1- trile, 3-Bromo-4-tolunitrile, (4-Bromophenyl)acetonitrile (or cyclopentene-1-carbonitrile (or -Campholytonitrile), 4-Bromobenzyl cyanide), N-Anilinoacetonitrile, 3-Cyano Caprylonitrile (or Octanonitrile), 1,1-Dicyanopropane (or propionic acid, 3-Chloro-4-tolunitrile, 3.3-Diphenylacry Ethylmalononitrile), Ethylcyanoacetate, 1,1-Dicyanobutane lonitrile (B-Phenylcinnamonitrile), 3-Bromo-2-hydroxyben (or Propylmalononitrile), 3-Tolunitrile (or 3-Methylbenzoni Zonitrile, 4.4-Dicyanoheptane (or Dipropylmalononitrile), trile), Cyclohexylacetonitrile, 4.4-Dicyano-1-butene (or trans-2,3-Diphenyl acrylonitrile, Eicosanonitrile, 3-Cyan Allylmalononitrile), 3-Isopropylidene-1-methyl-cyclopen opyridine (or Nicotinonitrile), (4-Iodophenyl)acetonitrile (or tane-1-carbonitrile (or B Fencholenonitrile), 3-Hydroxypro 4-Iodobenzyl cyanide), 4-Cyanodiphenyl , 2-(N- pionitrile, 1,1-Dicyano-3-methylbutane (or Isobutylmalono Anilino) valeronitrile, 2-Aminobenzonitrile (or Anthra nitrile), Nonanonitrile, 2-Phenylcrotononitrile, nilonitrile), 2-Bromobenzonitrile, 5-Cyanothiazole, 3-Ami Ethylenecyanohydrin, 2-Phenylpropionitrile, Phenylacetoni nobenzonitrile, 2-Quinolinoacetonitrile, 2-Iodobenzonitrile, trile (or Benzylcyanide), Phenoxyacetonitrile, 4-Hydroxy 2.4.6-Trimethylbenzonitrile, C.-Aminobenzyl cyanide, butyronitrile, (3-Tolyl)acetonitrile (or m-Xylycyanide), Cyanoform (or Tricyanomethane). Succinonitrile, 2-Iodo-4- (4-Tolyl)acetonitrile (or p-Xylycyanide), 4-Isopropylben tolunitrile (2-Iodo-4-methylbenzonitrile), 2,6-Dinitroben Zonitrile, (2-Tolyl)acetonitrile (or o-Xylycyanide), Decano Zonitril, d.1-2,3-Dimethylsuccinonitrile, 2-Chloro-4-toluni US 2009/O 137191 A1 May 28, 2009 trile, 4-Methoxybenzonitrile, 2,4-Dichlorobenzonitrile, phenylduinoline (or 2-Phenyl-4quinolinonitrile), 4-Methoxycinnamonitrile, 3.5-Dichlorobenzonitrile, cis-1, Phthalonitrile (or o-Dicyanobenzene), 8-Nitro-2-naphthoni 4-Dicyanocyclohexane, Bromomalononitrile, 2-Naphthoni trile (or 2-Cyano-8-nitronaphthalene), 5-Chloro-2-naph trile (or 2-Cyanonaphthalene), Cyanoacetic acid, 2-Cyano-2- thonitrile (or 5-Chloro-2cyanonaphthalene). 5-Chloro-1- ethylbutyric acid (or Diethylcyanoacetic acid), 2,4- naphthonitrile (or 5-Chloro-1-cyanonaphthalene), 3.5- Diphenylglutaronitrile, beta-Chloro-3-tolunitrile, 4-Chloro Dichloro-4-hydroxybenzonitrile, 4-Nitrobenzonitrile, 2-tolunitrile, 1-Cyanoacenaphthene (or Acenaphthene-1- 5-Bromo-1-naphthonitrile (or 1-Bromo-5cyanonaphtha carbonitrile), Phenylmalononitrile (B-Cyanobenzyl cyanide), lene), 5-Iodo-2-naphthonitrile (or 2-Cyano-5-iodonaphtha 6-Nitro-2-tolunitrile, (4-Hydroxyphenyl)acetonitrile (or lene), 3-Cyano-3-phenylpropionic Acid, 2-Cyano-2-propy 4-Hydroxybenzyl cyanide), 5-Bromo-2-tolunitrile, lvaleramide (or Dipropylcyanoacetamide), 2,6- B-Bromo-2-tolunitrile, 2,2-Diphenylglutaronitrile, (2-Ami Dibromobenzonitrile, 3-Chloro-4-hydroxybenzonitrile, nophenyl)acetonitrile (or 2-Aminobenzyl cyanide), 3,4- 5-Chloro-2,4-dinitrobenzonitrile, 4-Benzamidobenzonitrile Dichlorobenzonitrile, 1,2,2,3-Tetramethylcyclopentene-1- (or N-Benzoylanthranilonitrile), 5-Bromo-2-hydroxyben carbonitrile (or Campholic nitrile), Dicyanodimethylamine Zonitrile, d.l-2,3-Diphenylsuccinonitrile, Isophthalonitrile (or Bis(cyanomethyl) amine), Diphenylacetonitrile (B-Phe (or m-Dicyanobenzene), 2-Hydroxy-4-nitrohenzonitrile (or nylbenzyl cyanide), 4-Cyano-N,N-dimethylaniline, 1-Cy 2-Cyano-5-nitrophenol), d.l-4-Cyano-3,4-diphenylbutyric anoisoquinoline, 4-Cyanopyridine, B-Chloro-4-tolunitrile acid (or d.1-2,3-Diphenylglutaromononitrile), d-3-Carboxy (or 4-Cyanobenzyl chloride), 2,5-Diphenylvaleronitrile, 2.2,3-trimethyicyclopentylacetonitrile, 5-Chloro-2-hydroxy 3-Cyanobenzaldehyde (or 3-Formylbenzonitrile), 6-Nitro-3- henzonitrile (or 4-Chloro-2-cyanophenol), 2,3-Diphenylcin tolunitrile, Benzoylacetonitrile, 6-Chloro-2-tolunitrile, namonitrile (or Cyanotriphenylethylene), 1,7- 8-Cyanoquinoline, 2-Nitro-3-tolunitrile, 2,3,4,5-Tetrachlo Dicyanonaphthalene, 4,4'-Dicyanodiphenylmethane, 2,2'- robenzonitrile, 4-Cyanobiphenyl, 2-Naphthylacetonitrile, Diphenic acid mononitrile (or 2-Carboxy-2'-cyanobiphenyl), cis-2,3-Diphenylacrylonitrile, 4-Aminobenzonitrile (or 5-Nitro-2-naphthonitrile (or 2-Cyano-5-nitronaphthalene), 4-Cyanoaniline), 1-Cyano-2-phenylacrylonitrile (or BenZal 9-Cyanoanthracene (or 9-Anthracenecarbonitrile), 2,3-Dicy malononitrile), 5-Bromo-2,4-dimethyl-benzonitrile, 2-Cyan anopyridine, 1,3-Dicyanonaphthalene, 3-Cyanocoumarin, otriphenylmethane. 5-Cyanoquinoline, 2,6-Dimethylben 2-Cyanocinnamic acid, 2-Cyanobenzoic acid, 1,2-Dicyanon Zonitrile, Phenylcyanoacetic acid, 2-(N-Anilino)- aphthalene, 2-Hydroxy-5-nitrobenzonitrile (or 2-Cyano-4- propionitrile, 2,4-Dibromobenzonitrile, B-(2-Nitrophenyl)- nitrophenol), Tetracyanoethylene, 5-Nitro-1-naphthonitrile acrylonitrile, 5-Chloro-2-nitro-4-tolunitrile, BBromo-3- (or 1-Cyano-5-nitronaphthalene), 1,4-Dicyanonaphthalene, tolunitrile (or 3-Cyanobenzyl bromide), 4-Nitro-3- 1,6-Dicyanonaphthalene, 1.5-Dicyanonaphthalene, 3-Cy tolunitrile, 2-(N-Anilino)-isobutyronitrile, anobenzoic acid, 4-Cyanobenzoic acid, Terephthalonitrile (or 2-Cyanoquinoline, 4-Cyanovaleric acid (or 2-Methylglu p-Dicyanobenzene), 1.8-Dicyanonaphthalene, 4,4'-Dicyano taromononitrile), Fumaronitrile, 4-Chlorobeuzonitrile, biphenyl, 1-2,3-Diphenylsuccinonitrile, 1-Cyano-9,10-an 9-Phenanthrylacetonitrile, 3,5-Dibromobenzonitrile, thraquinone, 2,3-Dicyanonaphthalene, 2,7-Dicyanonaphtha 2-Chloro-3-nitrobenzonitrile, 2-Hydroxybenzonitrile (or lene, 2,6-Dicyanonaphthalene. 2-Cyanophenol), 4-Chloro-2-nitrobenzonitrile, 4-Cyanot riphenylmethane, 4-Chloro-3-nitrobenzonitrile, 3-Nitro-4- I0129. The present invention further includes the “nitrile tolunitrile, 2-Cyano-3-phenylpropionic acid, 3-Cy quaternaries', cationic nitrites of the formula anophenanthrene, 2.3.3-Triphenylpropionitrile, 4-Cyanoquinoline, 4-Bromo-1-naphthonitrile (or 1-Bromo 4-cyanonaphthalene), 4-Bromo-2,5-dimethylbenzonitrile, 9 5-Nitro-3-tolunitrile, 2,4-Dinitrobenzonitrile, 4-Nitro-2-tol R CH-CEN v. 9 M unitrile, 6-Chloro-3-nitrobenzonitrile, 5-Bromo-3-nitro-2- R- N CH tolunitrile, 2-Nitro-4-tolunitrile, 9-Cyanophenanthrene, M pi 3-Cyanoquinoline, 2-Cyanophenanthrene, 3-Nitro-2-toluni R3 trile, 2-Nitrobenzonitrile, 4-Chloro-1-naphthonitrile (or 1-Chloro-4-cyanonaphthalene). 5-Cyanoacenaphthene (or Acenaphthene-5-carbonitrile), 4-Bromobenzonitrile, 2,4,5- in which R is —H, —CH, a C-2-alkyl or -alkenyl radical, Trimethoxybenzonitrile, 4-Hydroxybenzonitrile (or 4-Cy a Substituted C-2-alkyl or -alkenyl radical with at least one anophenol), 2,3-Diphenylvaleronitrile, BBromo-4-tolunitrile substituent from the group —Cl, —Br. —OH, -NH2, —CN, (or 4-Cyanobenzylbromide), (4-Nitrophenyl)aceto nitrile (or an alkyl- or alkenylaryl radical with a C-alkyl group, or is 4-Nitrobenzylcyanide), 6-Bromo-3-nitrobenzonitrile, (2-Hy a Substituted alkyl- or alkenylaryl radical with a C-alkyl droxyphenyl)acetonitrile (or 2-Hydroxybenzyl cyanide), group and at least one further Substituent on the aromatic ring, 3-Nitrobenzonitrile, 4-Bromo-3-nitrobenzonitrile, 4-Cy R and R, independently of one another, are chosen from anoazobenzene, Dipicolinonitrile (or 2,6-Dicyanopyridine), CH-CN. —CH, —CH2—CH, —CH2—CH2—CH, 2-Cyanohexanoic acid, Dibrornomalononitrile (or Bromodi —CH(CH) CH, CH-OH, CH, CH, OH, cyanomethane), 1-Cyanoanthracene, 2.2.3-Triphenylpropi CH(OH) CH, CH, CH, CH, OH, CH, onitrile, 1-Cyanophenanthrene, 2,3-Diphenylbutyronitrile, CH(OH) CH, -CH(OH) CH-CH, -(CHCH 5-Bromo-3nitro-4-tolunitrile, 2,5-Dichlorobenzonitrile, 2.5- O), H where n-1, 2, 3, 4, 5 or 6 and X is an anion. Dibromobenzonitrile, 5-Bromo-2-nitro-4-tolunitrile, 2-Hy 0.130. The general formula covers a large number of cat droxy-3-nitrobenzonitrile (or 2-Cyano-6-nitrophenol), 4-Ni ionic nitrites which can be used within the scope of the tro-1-naphthonitrile (or 1-Cyano-4-nitronaphthalene), present invention. With particular advantage, the detergent 4-Acetamidobenzonitrile, 6-Cyanoquinoline, Apiolonitrile and cleaner according to the invention comprise cationic (or 2,5-Dimethoxy-3,4-methylenedioxybenzonitrile), 1-Ni nitrites in which R is methyl, ethyl, propyl, isopropyl or an tro-2-naphthonitrile (or 2-Cyano-1-nitronaphthalene), 3.5- n-butyl, n-hexyl, n-octyl, n-decyl. n-dodecyl, n-tetradecyl. Dichloro-2-hydroxyhenzonitrile, trans-1,4-Dicyanocyclo inhexadecyl or n-octadecyl radical. R and R are preferably hexane, 3,3,3-Triphenylpropionitrile, 4-Cyano-2- chosen from methyl, ethyl, propyl, isopropyl and hydroxy US 2009/O 137191 A1 May 28, 2009 ethyl, where one or both of the radicals may advantageously hydroxide and metal ion free bases from tetraalkylammo also be a cyanomethylene radical. nium hydroxide, such as tetramethylammonium hydroxide, 0131 For reasons of easier synthesis, preference is given TMAH pentahydrate, BTMAH (benzyltetramethylammo to compounds in which the radicals R to R are identical, for nium hydroxide), TBAH, choline, and TEMAH (Tris(2-hy example (CH),N'''CH, CN (X), (CHCH),N'''CH droxyethyl)methylammonium hydroxide). The amount of CNX, (CHCHCH.)N(CH, CN X, (CHCH(CH)) NCH, CN X or (HO CH, CH,)N(CH, CN catalyst used is typically between 0.05 mol % and 15 mol%. X, where X is preferably an anion which is chosen from the based on unsaturated nitrile. group consisting of hydroxide, chloride, bromide, iodide, 0.136 Preferably, the cyanolates are derived from the fol hydrogensulfate, methosulfate, p-toluenesulfonate (tosylate) lowing groups: arabitol, erythritol, glycerol, isomalt, lactitol, or Xylenesulfonate. maltitol, mannitol, Sorbitol. Xylitol. Sucrose and hydroge 0132) Examples of typical acrylonitrile polymeric materi nated starch hydrosylate (HSH). als, which serve as precursors for preparing our polyami 0.137 The hydroxy acids can include but are not limited to doximes, are listed below. The figures are the percents by the following: hydroxyphenylacetic acid (mandelic acid), weight of each monomer in the polymer. 2-hydroxypropionic acid (lactic acid), glycolic acid, hydrox ySuccinic acid (malic acid), 2,3-dihydroxybutanedioic, acid (tartaric acid), 2-hydroxy-1,2,3-propanetricarboxylic, acid 90% acrylonitrile 10% vinylacetonitrile (citric acid), ascorbic acid, 2-hydroxybenzoic, acid (salicylic 50%" acrylonitrile 50% methacrylonitrile 97% acrylonitrile 3% vinyl acetate acid), 3,4,5-trihydroxybenzoic acid (gallic acid). 50% acrylonitrile 50% vinyl acetate 0.138. The sugar acids can include but are not limited to the 95% acrylonitrile 5% methyl methacrylate 65% acrylonitrile 35% methyl acrylate following: galactonic acid, mannonic, acid, fructonic acid, 45% acrylonitrile 10% methyl acrylate 45% vinyl acetate arabinonic acid, Xylonic acid, ribonic, acid, 2-deoxyribonic 44% acrylonitrile 44% vinyl chloride 12% methyl acrylate acid, and alginic acid. 93% acrylonitrile 7% 2-vinyl pyridine 26% acrylonitrile 74% butadiene 0.139. The amino acids can include but are not limited to 40% 1 acrylonitrile 60% butadiene the following: alanine, Valine, leucine, isoleucine, proline, 33% acrylonitrile 67% styrene tryptophan, phenylalanine, methionine, glycine, serine, 100% acrylonitrile tyrosine, threonine, cysteine, asparagine, glutamine, aspartic acid, glutamic acid, lysine, arginine, and histidine. 0133. Several of the polymers are available commercially, 0140. The group of monomeric polyols- or polyhydric Such as: alcohols, or glycol ethers, can be chosen from ethanol, n- or isopropanol, butanols, glycol, propane- or butanediol, glyc erol, diglycol, propyl or butyl diglycol, hexylene glycol, eth ylene glycol methyl ether, ethyl ether, ethyl Product Manufacturer Composition ene glycol propyl ether, ethylene glycol mono-n-butyl ether, Orion DuPont de Nemours 90% diethylene glycol methyl ether, diethylene glycol ethyl ether, Acrilan Chemistrand 90% Acrylonitriles propylene glycol methyl, ethyl or propyl ether, dipropylene Creslan American Cyanamid 95-96% Acrylonitriles glycol methyl or ethyl ether, methoxy, ethoxy or butoxy trig Zefran Dow Chemical Co. 90% Acrylonitriles Verel Eastman About 50% acrylonitrile lycol, 1-butoxyethoxy-2-propanol, 3-methyl-3-methoxybu Dyrel Carbide &Carbon 40% acrylonitrile-60% Vinyl chloride tanol, propylene glycol t-butyl ether, and pentaerythritol. Chemical Darlan B. F Goodrich 50 Mole percent vinylidene cyanide - 0.141. The group of polymeric polyols can be chosen from 50 Mole percent Vinyl acetate the group of polyethylene glycols and polypropylene glycols: 0142 Polyethylene glycols (abbreviation PEGS) PEGs are polymers of ethylene glycol which satisfy the general 0134. A particularly useful route to nitrites is termed “cya noethylation', in which acrylonitrile undergoes a conjugate formula addition reaction with protic nucleophiles Such as alcohols and amines. Other unsaturated nitrites can also be used in place of acrylonitrile. H O-CH V CH,--OH nue Nucleophile Sun Nuc Acrylonitrile Alcohol-OH 0.143 where n can assume values between 1 (ethylene Amine-NH2 Cyanoethylated Compound glycol, see below) and about 16. Polyethylene glycols are commercially available, for example under the trade names 0135 Preferred amines for the cyanoethylation reaction Carbowax(R) PEG 200 (Union Carbide), EmkapolR 200 (ICT are primary amines and secondary amines having 1 to 30 Americas), LipoxolR 200 MED (HOLS America), Polygly carbon atoms, and polyethylene amine. Alcohols can be pri col E-200 (Dow Chemical), AlkapolR PEG 300 (Rhone mary, secondary, or tertiary. The cyanoethylation reaction (or Poulenc), Lutrol (R) E300 (BASF), and the corresponding trade “cyanoalkylation” using an unsaturated nitrile other than names with higher numbers. acrylonitrile) is preferably carried out in the presence of a 0144 Polypropylene glycols (PPGs) which can be used cyanoethylation catalyst. Preferred cyanoethylation catalysts according to the invention are polymers of propylene glycol include lithium hydroxide, , potassium which satisfy the general formula US 2009/O 137191 A1 May 28, 2009 12

0149 From the group of synthetic polymers: Synthetic polymers such as acetone- condensate, CH3 acetone-isobutyraldehyde condensate, methyl ethyl ketone formaldehyde condensate, poly(allyl alcohol), poly(crotyl CH alcohol), poly(3-chloroallyl alcohol), ethylene-carbon mon H--O 1. CH,--OH oxide copolymers, polyketone from propylene, ethylene and , poly(methallyl alcohol, poly(methyl vinyl where n can assume values between 1 (propylene glycol) and ketone, and poly(). about 12. Of industrial significance here are, in particular, di-, 0150. Synthetic polymers such as acetone-formaldehyde tri- and tetrapropylene glycol, i.e. the representatives where condensate, acetone-isobutyraldehyde condensate, methyl n=2,3 and 4 in the above formula. ethyl ketone-formaldehyde condensate, poly(allyl alcohol), 0145 From the group of organic compounds: poly(crotyl alcohol), poly(3-chloroallyl alcohol), ethylene 0146 Amines: Amines are organic compounds and a type carbon monoxide copolymers, polyketone from propylene, of functional group that contain nitrogen as the key atom. ethylene and carbon monoxide, poly(methallyl alcohol, poly Structurally amines resemble ammonia, wherein one or more (methyl vinylketone, and poly(vinyl alcohol) have also been hydrogen atoms are replaced by organic Substituents such as cyanoethylated and can also serve as platforms for further alkyl, aryland cyclic groups. Compounds containing one or modification into metal-binding polymers. more —NH groups of the formula: 0151. The nitrile groups of these cyanoethylates or cyanoalkylates can be reacted with hydroxylamine to form the amidoxime. In the process described herein for preparing amidoxime groups, hydroxylamine, hydroxylamine hydro chloride, and hydroxylamine sulfate are suitable sources of hydroxylamine. If hydroxylamine salt is used instead of hydroxylamine freebase, a base such as Sodium hydroxide, 0147 Amides—an amide is an amine where one of the Sodium carbonate or metal ion free base Such ammonium nitrogen Substituents is an acyl group; it is generally repre hydroxide, tetraalkylammonium hydroxide should be used to sented by the formula: R (CO)NRR, where either or both release hydroxylamine as freebase for the reaction. R and R may be hydrogen. Specifically, an amide can also 0152 Metal ion freebase, such as ammonium hydroxide be regarded as a derivative of a carboxylic acid in which the or a group of tetraalkylammonium hydroxide, Such as tetram hydroxyl group has been replaced by an amine or ammonia, ethylammonium hydroxide, TMAH pentahydrate, BTMAH in which a —CH- or —CH2— group is situated between (benzyltetramethylammonium hydroxide), TBAH, choline, —CONH groups. and TEMAH (Tris(2-hydroxyethyl)methylammonium hydroxide) are preferred. 0153 Metals, such as copper and others, complex strongly O with containing amidoxime groups, for example C R amidoximes of Sucrose and Sorbitol, to bind metal contami R1 N1 nant residues. 0154 The present invention offers the benefit of binding to the metal oxide Surface to create an oxidation barrier, particu larly where the amidoxime is derived from functionalized 0148. Imides—imide is a functional group consisting of amidoxime polymer, Such as from polyvinylalcohol, poly two carbonyl groups bound to a primary amine or ammonia. acrylonitriles and its copolymers. The structure of the imide moiety is as shown, which possess ing a —CH , —CH2—, or —CH group adjacent to the 0155 The present invention utilizes the cyanoethylated carbonyl group. compounds referenced in “The Chemistry of Acrylonitrile, 2nd ed” as starting materials for synthesis of amidoximes, such reference is incorporated herein to the extent of the cyanoethylated compounds disclosed therein. The most pre ferred staring materials for synthesis of amidoximes are those prepared from cyanoethylated Sugar alcohols, like Sucrose, or reduced Sugar alcohols, like Sorbitol. 0156 The present invention further offers the benefit of increasing the bulk removal of metal during the CMP process From the group of amino alcohol (or alkanolamine)—Amino when a chelating agent disclosed herein (e.g., (1,2,3,4,5,6- alcohols are organic compounds that contain both an amine (hexa-(2-amidoximo)ethoxy)hexane) combined with a com functional group and an alcohol functional, where the amine pound with oxidation and reduction potentials such as can be primary or secondary amines of the formula, wherein hydroxylamine and its salts, hydrogen peroxide, hydrazines. X is independently selected from alkylene, heteroalkylene, 0157 Because the chelating agents disclosed herein are arylene, heteroarylene, alkylene-heteroaryl, or alkylene-aryl not carboxylic acid based but are instead contain multiple group. ligand sites, the present invention further offers the benefit of more efficient and effective binding to metal ions found in semiconductor manufacturing processes, such as residue after plasma etching particularly with leading edge technol ogy where copper is used as conducting metal. 0158 Another advantage of the chelating agents disclosed herein is that such chelating agent could be used in dilution as a Post-copper CMP clean because these groups of com US 2009/O 137191 A1 May 28, 2009 13 pounds are less acidic than organic acid and less basic than bath); discard the low boiling point fraction containing ammonia, choline hydroxide and THEMAH. unchanged di-n-butylamine and collect the B-Di-n-butylami nopropionitrile at 120-122°110 mm. The yield is 55 g. 9 Ethyl n-propyl-2-cyanoethylmalonate R pH: CEN VGE) R-N CH2 0164. Add 8.0 g (10.0 ml) of redistilled acrylonitrile to a / pi stirred solution of ethyl n-propylmalonate (30.2g.) and of 30 R3 percent methanolic potassium hydroxide (4.0g.) intert-butyl alcohol (100 g.). Keep the reaction mixture at 30°-35° C. during the addition and stir for a further 3 hours. Neutralize General Procedures on Preparation of Amidoxime the solution with dilute hydrochloric acid (1:4), dilute with 0159. Examples of cyanoethylation to produce nitrile water and extract with ether. Dry the ethereal extract with compounds: anhydrous magnesium sulfate and distill off the ether: the residue (ethyl n-propyl-2-cyanoethylmalonate; 11 g) solidi Preparation of B-Ethoxypropionitrile, CH, O— fies on cooling in ice, and melts at 31°-32 after recrystalli CH-CH CN zation from ice-cold ethyl alcohol. 0160 Place 25 ml of 2 percent aqueous sodium hydroxide and 26 g (33 ml) of ethyl alcohol in a 250 ml. reagent bottle, Preparation of Cyanoethylated Compound add 26.5 g (33 ml) of acrylonitrile and close the mouth of the bottle with a tightly-fitting cork. Shake the resulting clear 0.165. A cyanoethylated diaminocyclohexane is prepared homogeneous liquid in a shaking machine for 2 hours. During according to U.S. Pat. No. 6.245,932, which is incorporated the first 15 minutes the temperature of the mixture rises 15° to herein by reference, with cyanoethylated methylcyclohexy 20° and thereafter falls gradually to room temperature; two lamines are readily prepared in the presence of water. liquid layers separate after about 10 minutes. Remove the upper layer and add Small quantities of 5 percent acetic acid to it until neutral to litmus; discard the lower aqueous layer. Dry A with anhydrous magnesium sulfate, distil and collect the B-Ethoxypropionitrile at 172-1740. The yield is 32 g. X N-n CN

B-n-Propoxypropionitrile, CH, O—CH2—CH2— NH2 CN B 0161 Introduce 0.15g of potassium hydroxide and 33 g. N-1N (41 ml) of dry n-propyl alcohol into a 150 ml. bolt-head flask, CN warm gently until the Solid dissolves, and then cool to room CN temperature. Clamp the neck of the flask and equip it with a 1N1 H dropping funnel, a mechanical stirrer and a thermometer C (Suitably supported in clamps). Introduce from the dropping CN funnel, with stirring, 26.5 g. (33 ml) of pure acrylonitrile over a period of 2.5-30 minutes (1 drop every ca. 2 seconds). Do not allow the temperature of the mixture to rise above 35-45°. immerse the reaction flask in a cold water bath, when neces sary. When all the acrylonitrile has been added, heat under C Nn-1\ CN reflux in a boiling water bath for 1 hour; the mixture darkens. Cool, filter and distil. Collect the O-n-Propoxypropionitrile at 1S-1 CN 187-189°. The yield is 38 g. H D B-Diethylaminopropionitrile, (CHS)-N-CH2— CN CH. CN 0162 Mix 42.5 g (60 ml) of freshly-distilled diethylamine and 26.5 g. (33 ml) of pure acrylonitrile in a 250 ml round bottomed flask fitted with a reflux condenser. Heat at 50° in a 3. N-1a CN water bath for 10 hours and then allow to stand at room temperature for 2 days. Distill off the excess of diethylamine CN on a water bath, and distil the residue from a Claisen flask 1N1 under reduced pressure. Collect the B-Diethylaminopropioni trile at 75-77°/11 mm. The yield is 54 g. B-Di-n-butylaminopropionitrile, (CHo)-N-CH2— CN CH. CN 0163 Proceed as for the diethyl compound using 64.5 g. 0166 Analysis shows that almost no compounds exhibit (85 ml) of redistilled di-n-butylamine and 26.5 g. (33 mL) of ing secondary amine hydrogen reaction and represented by pure acrylonitrile. After heating at 50° and standing for 2 structures C and Dare produced when water alone is used as days, distil the entire product under diminished pressure (air the catalytic promoter. US 2009/O 137191 A1 May 28, 2009 14

0167 Examples of Reaction of Nitrile Compound with 0173 For example, Cyanoethylated methylcyclohexy Hydroxylamine to Form Amidoxime Compound lamines 0168 Preparation and Analysis of Polyamidoxime (See, U.S. Pat. No. 3,345.344) 0169 80 parts by weight of polyacrylonitrile of molecular H weight of about 130,000 in the form of very fine powder HC (-300 mesh) was suspended in a solution of 300 parts by N1) nS N weight of hydroxylammonium sulfate, 140 parts by weight of sodium hydroxide and 2500 parts by weight of deionized NH2 water. The pH of the solution was 7.6. The mixture was heated to 90° C. and held at that temperature for 12 hours, all of the time under vigorous agitation. It was cooled to 35° C. and the N1y NH2OH product filtered off and washed repeatedly with deionized n N water. The resin remained insoluble throughout the reaction, NH but was softened somewhat by the chemical and heat. This caused it to grow from a very fine powder to Small clusters of 10 to 20 mesh. The product weighed 130 grams. The yield 40 is always considerably more than theoretical because of firmly occluded salt. The product is essentially a polyami doXime having the following reoccurring unit. N 0170 The mixture of hydroxylamine sulfate and sodium hydroxide can be replaced with equal molar of hydroxy HC lamine freebase solution. 3 NH2

*-i-CH-CH-CH-CH,-- CN CEN | \ NH, OH

0171 Portions of this product were then analyzed for total nitrogen and for oxime nitrogen by the well-known Dumas and Raschig methods and the following was found:

t C OH Percent HN1 N1Sa Total nitrogen (Dumas method) 22.1 Oxime nitrogen (Raschig method) 6.95 Amidoxime nitrogen (twice the amount of Oxime 13.9 A large number of the amidoxime compounds are nitrogen) (calculated) (0174 Nitrile nitrogen (difference between the total 8.2 not commercially available. The amidoxime chelating com nitrogen and amidoxime nitrogen) (calculated) pound can also be prepared in-situ while blending the clean ing formulation. 0172 Conversion of reacted product from cyanoethyla 0175 The following are photoresist stripper formulations tion of cycloaliphatic vicinal primary amines (See, U.S. Pat. that can be used with the amidoximes compounds of the No. 6,245,932), present invention:

Start After Step 1 After Step 2 End Stripper

Ingredient MW mole Wt mole Wt mole mole Wt Composition

Step 1 Amine 2-Pyrollidone 85.11 1.OO 85.11 O.OO O.OO O.OO O.OO O.OO O.OO O% Nitrile Acrylonitrile 53.00 1.OO 53.00 O.OO O.OO O.OO O.OO O.OO O.OO O% Metal Ion free TMAH 91.OO O.OS 4.SS O.OS 4.SS O.OS 4.SS O.OS 4.SS 2% base Water 18.00 13.65 O.76 13.65 13.70 13.68 Cyanoethylated 137.10 O.OO 1.OO 137.10 O.OO O.OO Compound Step 2 Oxidizing Hydroxylamine 31.00 1.OO 31.00 O.OO O.OO O.OO O.OO Reducing compound Water Water 18.00 1.72 31.00 O.OO 1.72 31.00 1.72 31.00 14% Amidoxime Amidoxime 17O.OO O.OO O.OO O.OO 1.00 17O.OO 1.00 17O.OO 78% US 2009/O 137191 A1 May 28, 2009

-continued Start After Step 1 After Step 2 End Stripper Ingredient MW mole Wit mole Wt mole Wt mole Wt Composition pi 219.20 100% NN -NH2 cy

Stripping Composition (0176)

Ingredient Stripper Composition Metal Ion free base TMAH 296 Water Water 20% Amidoxime pi 78% Nin-NH2 cy

100%

Example of Amidoxime Derived from Ammonia 0177)

R3 hC

2 h R1 YR CH1 HN OH R R2 R Nitrile Amidoxime —H —H —H h l N NH2

OH -n-N1s 's- ~ NH2 1:3:3 US 2009/O 137191 A1 May 28, 2009 16

-continued

N | R3 C h R1 YRn CH 2 HN OH

R R2 R Nitrile Amidoxime CHCH H H pi pi N N N N 2 N 2e1N1 N1yS. 1:2 NH2 NH2 1:2:2

CHCH CHCH H 3 2 3 2 r OH N-1 Nu-N-1N 2 N 2. C N 1:1 NH2 1:1:1

Amidoxime Derived from Citric Acid -continued 0178

O OH HO O O O O HO OH 2 N Reactants OH HO N-6 OH 1:1 Mole Ratio CA:AN:HA 1:1:1

O O HO OH NH2

O HO O ~sNN O O O OH HO OH HO O HN-OH --- 1:1 Mole Ratio CA:AN:HA 1:1:1 / O OH 4. OH OH HN/ O O O OH OH O

HO O HO -n. N ORO Y= HO O / NH2 HO US 2009/O 137191 A1 May 28, 2009 17

-continued -continued

CA:AN:HA 1:1:1 CA:AN:HA 1:1:1

OH O OH M M HN NH OH O O OH O N O 2 O 2 N HO HO O NH2 N O NH2 HN HN OH V OH

Amidoxime Derived from Lactic Acid 0179

OH

O

OH

LacticAcid n-6 Amidoxime Compounds

/ O O /W HO1 Ns^- O s HO1 Ns^- O OH O O NH2 NH2 ) { 1:1:1 1:1:2 US 2009/O 137191 A1 May 28, 2009 18

Amidoxime Derived from Propylene Glycol 0180

OH

Amidoxime Compounds

Reactant PG:AN:HA 1:1:1 PG:AN:HA 1:2:1 PG:AN:HA 1:2:2

OH N N-OH OH ( \ HN /

OH O s O O / NH2 OS Yoh

/ NH2 / NH2 N V OH OH US 2009/O 137191 A1 May 28, 2009 19

Amidoxime Derived from Pentaerythritol—DS1 0181

HO

HO OH 2 OH N-6 HN OH Amidoxime Compounds

HO 2 N 1 NH2 HO -N-1 HO ~s OH to-X). NN 1:1 OH OH

Amidoxime Derived from Pentaerythritol—DS2 0182

HO OH

HOA. OH suéf2 HN OH Amidoxime Compounds

HO 2N NH2 O O -N-1 HOO O ~.n N --OX)e1)- OH y

1:2 2 NH2 \--N- n ---X)OH OH US 2009/O 137191 A1 May 28, 2009 20

Amidoxime Derived from Pentaerythritol—DS3 0183)

2 HOA OH suéf HN OH Amidoxime Compounds N 1 N

Amidoxime Derived from Pentaerythritol—DS4 0184

HO OH

2 N A N-1 HN OH Amidoxime Compounds NNull -N 1 NNull O O O - OxC. OXO. é/?O N. HON-Y- N. 1:4 US 2009/O 137191 A1 May 28, 2009 21

-continued HO OH

HO OH n-6 HN OH Amidoxime Compounds 2 N HN N NOH O O O O HON-- N NH N

3 NOH HON HN -- - NH2 O O O O // NH2 4. HON

4 NOH HON HN -Qul - NH2 O O is?O OY NOH HON

C.-Substituted Acetic Acid 0185

O R -- —CH Acetic Acid - CH-OH Glycolic Acid —CH-NH2, Glycine - CHO Glyoxylic Acid US 2009/O 137191 A1 May 28, 2009 22

HN OH

NOH NOH

NOH NOH HN s

NH US 2009/O 137191 A1 May 28, 2009 23

-continued --O R n-6N 1 2 3 - CHO N HON HON A. NH2 NH2

O O O O O O HO HO HN V OH

Amidoxime Derived from Iminodiacetic Acid 0186.

O O 2 N

HO OH 1:1 h HN-OH O O 1:1

HO--- Nulls OH HON NH2

O O

HO--- Nulls OH

Reactants n-6 HN OH n-6 HN OH n-6 HN OH 1 1 1 1 2 1 3

HO HO OH OH

HON HN M US 2009/O 137191 A1 May 28, 2009 24

Amidoxime Derived from 2.5-piperazinedione 0187.

Reactants N-62 HN OH N-62 HN OH N-62 HN OH 1 1 1 2 1 2 2 H O N HN 1. N rO A. NOH O N - O O O - - HN \ R R N O O W HO HON HON NH2 NH2

Amidoxime Derived from Cyanopyridine 0188

Reactants HN OH 1594-57-6 / N NOH HON NH2

N s N N N 2, 3 or 4 Cyanopyridine 2, 3 or 4 Amidoxime pyridine 4-Amidoxime-pyridine

0189 Nomenclatures are translated from chemical struc tures to their corresponding chemical names using ChemBio -continued Draw Ultra from CambridgeSoft, MA. In the case for prod ucts from the reaction of sorbitol, the cyanoethylated sorbitol is given by its CASH 2465-92-1 as 1,2,3,4,5,6-hexakis-O- (2-cyanoethyl)hexitol with of CHNO, and the corresponding amidoxime compound as 1,2,3,4,5,6-hexakis-O-3-(hydroxyamino)-3-iminopropyl Hexitol, CASH 950752-25-7. 0190. Reactions to Produce Nitrile Precursors to Ami 3-(diethylamino)propanenitrile doXime Compounds Chemical Formula: CH4N2 Cyanoethylation of Diethylaminexine Molecular Weight: (0191) 126.20 N () indicates text missing or illegible when filed S. Water, RT

1n 1N -- Se ---3 hours H Acrylonitrile 85.2% (0192 A solution of diethylamine (1 g, 13.67 mmol) and Diethylamine Chemical Formula: acrylonitrile (0.798 g. 15 mmol, 1.1 eq) in water (10 cm) Chemical Formula: CHN were stirred at room temperature for 3 hours, after which the C4H10NCHN Molecyeight mixture was extracted with dichloromethane (2x50 cm). The Molecular Weight: organic extracts were evaporated under reduced pressure to () give the pure cyanoethylated compound 3-(diethylamino) propanenitrile (1.47 g. 85.2%) as an oil. US 2009/O 137191 A1 May 28, 2009

Monocyanoethylation of Glycine give the pure doubly cyanoethylated compound 3,3'-(pipera Zine-1,4-diyl)dipropanenitrile (2.14 g., 94.7%) as a white 0193 solid, mp. 66-67°C. Cyanoethylation of 2-ethoxyethanol O N TMAH (1 eq) RT 24 hours 0197) IN- OH + Se -then HCl (1 eq) - 2-aminoacetic acid acrylonitrile 69.6% N Chemical Formula: Chemical Formula: S Cat Triton B C2HNO2 CHN 1N1 N-1 S4 N.E. Molecular Weight: Molecular Weight: 75.5% 75.07 53.06 2-ethoxyethanol Acrylonitrile O Chemical Formula: Chemical Formula: C4H10O2 CHN N Molecular Weight: Molecular Weight: e1N1 NullsOH 90.12 53.06 2 2 N 2-(2-cyanoethylamino)acetic acid N-1 O n-1\o -N-1 Chemical Formula: 3-(2-ethoxyethoxy)propanenitrile C5H8N2O Molecular Weight: Chemical Formula: 128.13 CH3NO2 Molecular Weight: 143.18 0194 Glycine (5 g. 67 mmol) was suspended in water (10 cm) and TMAH (25% in water, 24.3g, 67 mmol) was added slowly, keeping the temperature at <30°C. with an ice-bath. 0198 To an ice-water cooled mixture of 2-ethoxyethanol The mixture was then cooled to 10°C. and acrylonitrile (3.89 (1 g, 11.1 mol) and Triton B (40% in MeOH, 0.138 g., 0.33 g, 73 mmol) was added. The mixture was stirred overnight, mmol) was added acrylonitrile (0.618 g, 11.6 mmol) and the and allowed to warm to room temperature slowly. The mix mixture was stirred at room temperature for 24 hours. It was ture was then neutralized with HCl (6M, 11.1 cm), concen then neutralized with 0.1M HCl (3.3 cm3) and extracted with trated to 15 cm and diluted to 100 cm with EtOH. The solid CH2Cl2 (2x10 cm3) The extracts were concentrated under precipitated was collected by filtration, dissolved in hot water reduced pressure and the residue was Kugelrohr-distilled to (6 cm) and reprecipitated with EtOH (13 cm) to give 2-(2- give the product 3-(2-ethoxyethoxy)propanenitrile (1.20 g, cyanoethylamino)acetic acid (5.94 g. 69.6%) as a white solid, 75.5%) as a colourless oil, bp 100-130° C./20 Torr. mp 192°C. (lit mp 190-191° C.). Cyanoethylation of Cyanoethylation of Piperazinexine 2-(2-dimethylaminoethoxy)ethanol 0199. 0195

N OH HN NH N S. Water, RT 1n 1\1N1 -- V v Se 3 hours 2-(2-(dimethylamino)ethoxy)ethanol piperazine Acrylonitrile 94.7%9. Chemical Formula: Chemical Formula: Chemical Formula: C6H5NO2 () CHN Molecular Weight: Molecular Weight: Molecular Weight: 133.19 86.14 53.06 s Cat Triton B

Se HerNeat, RT / \ / = N Acrylonitrile 51.5% - / \ / Chemical Formula: C3H3N 3,3'-(piperazine-1,4-diyl)dipropanenitrile Molecular Weight: Chemical Formula: 53.06 C10H16N4 N Molecular Weight: 192.26 N-N-N-n-n-1 () indicates text missing or illegible when filed 3-(2-(2-(dimethylamino)ethoxy)ethoxy)propanenitrile Chemical Formula: 0196. A solution of piperazine (1 g, 11.6 mmol) and acry CoH8N2O2 lonitrile (1.6 g. 30.16 mmol. 2.6 eq) in water (10 cm3) were Molecular Weight: stirred at room temperature for 5 hours, after which the mix 186.25 ture was extracted with dichloromethane (2x50 cm3). The organic extracts were evaporated under reduced pressure to US 2009/O 137191 A1 May 28, 2009 26

0200. To an ice-water cooled mixture of 2-(2-dimethyl eminothoxy)ethanol (1 g, 7.5 mmol) and Triton B (40% in -continued MeOH, 0.094g, 0.225 mmol) was added acrylonitrile (0.418 H g, 7.9 mmol) and the mixture was stirred at room temperature for 24 hours. It was then neutralized with 0.1M HCl (2.3 cm) N1sN and extracted with CHCl (2x10 cm). The extracts were concentrated under reduced pressure and the residue was 3-(phenylamino)propanenitrile purified by column chromatography (silica, EtO, 10% Chemical Formula: CHC 0-10% EtOH) to give 3-(2-(2-(dimethylamino) CoHoN2 ethoxy)ethoxy)propanenitrile as an oil. Molecular Weight: 146.19 Cyanoethylation of Isobutyraldehyde 0201 0204 Silica was activated by heating it above 100°C. in vacuum and was then allowed to cool to room temperature under nitrogen. To the activated silica (10 g) was absorbed aniline (1.86 g. 20 mmol) and acrylonitrile (2.65 g, 50 mmol) and the flask was capped tightly. The contents were then -N -- stirred with a magnetic stirrer for 6 days at 60° C. After this isobutyraldehyde time the mixture was cooled to room temperature and Chemical Formula: extracted with MeOH. The extracts were evaporated to dry C4H8O ness and the residue was Kugelrohr-distilled under high Molecular Weight: vacuum to give the product 3-(phenylamino)propanenitrile 72.11 (2.29 g, 78.4%) as an oil which crystallised on standing; bp s Cat Triton B 120-150° C./1-2 Torr (litbp 120° C./1 Torr), mp50.5-52.5°C. Se HeNeat, RT acrylonitrile 50.7% Cyanoethylation of Chemical Formula: CHN 0205 Molecular Weight: 53.06

O 2 HN N&n asse -X-2 2 N1)-Ni, -- Se i 44-dimethyl-5-oxopentanenitrile Ethylenediamine Acrylonitrile Chemical Formula: Chemical Formula: Chemical Formula: CHN CHNO Molecular Weight: Molecular Weight: Molecyeight 125.17 60.10

0202) Isobutyraldehyde (1 g, 13.9 mmol) and acrylonitrile W (0.81 g, 15 mmol) were mixed thoroughly and cooled with an N ice-bath. Triton B (40% in MeCH, 0.58 g, 1.4 mmol) was added. The mixture was stirred at room temperature over Y--- night. It was then neutralized with 0.1 M HCl (14 cm) and extracted with CHCl (100 cm) The extracts were concen trated under reduced pressure and the residue was Kugelrohr f N. distilled to give the product 4.4-dimethyl-5-oxopentaneni | trile (0.8g, 50.7%) as an oil, bp 125-130° C./20 Torr. 3,3,3',3'-(ethane-1,2-diylbis(azanetriyl)tetrapropanenitrile Cyanoethylation of Aniline Chemical Formula: C14H20N6 Molecular Weight: 0203 272.35

NH2 (0206 Acrylonitrile (110g, 137 cm3, 2.08 mol) was added s Silica, 60° C. to a vigorously stirred mixture of ethylenediamine (25g, 27.8 -- Se Hs6 days cm3. 0.416 mol) and water (294 cm3) at 40°C. over 30 min. aniline Acrylonitrile 78.4% During the addition, it was necessary to cool the mixture with Chemical Formula: Chemical Formula: a 25°C. water bath to maintain temperature at 40° C. The CHN CHN mixture was then stirred for additional 2 hours at 40° C. and Molecular Weight: Molecular Weight: 2 hours at 80° C. Excess acrylonitrile and half of the water 93.13 53.06 were evaporated off and the residue, on cooling to room temperature, gave a white solid which was recrystallised from US 2009/O 137191 A1 May 28, 2009 27

MeOH-water (9:1) to give pure product 3,3'3",3"-(ethane-1, 2-diylbis(azanetriyl))tetrapropanenitrile (86.6 g. 76.4%) as -continued Cat Triton B white crystals, mp 63-65° C. dioxane, 60°C. Her 758% Cyanoethylation of Ethylene Glycol acrylonitrile Chemical Formula: C3H3N 0207 Molecular Weight: 53 06

HO N1 No -- Ethyleneglycol Chemical Formula: C2H6O2 Molecular Weight: 62.07 O N N Cat Triton B ne Neat, RT diethyl 2,2-bis(2-cyanoethyl)malonate 50.9% Chemical Formula: C3HG) N2O4 Acrylonitrile Molecular Weight: 266.29 Chemical Formula: C3H3N (2) indicates text missing or illegible when filed Molecular Weight:53.06 N nuan O 0211 To a solution of diethyl malonate (1 g, 6.2 mmol) 1N1 N1 yn N and Triton B (40% in MeCH, 0.13 g, 0.31 mmol) in dioxane 3,3'-(ethane-1,2-diyl bis(oxy))dipropanenitrile (1.2 cm) was added dropwise acrylonitrile (0.658 g, 12.4 mmol) and the mixture was stirred at 60°C. overnight. The Chemical Formula: C8H1NO2 mixture was then cooled to room temperature and neutralized Molecular Weight: 168.19 with 0.1 M HCl (3 cm) and poured to ice-water (10 cm). Crystals precipitated during 30 min. These were collected by 0208 Small scale: Ethylene glycol (1 g, 16.1 mmol) was filtration and recrystallised from EtOH (cooling in freezer mixed with Triton B (40% in MeOH, 0.22g, 0.53 mmol) and before filtering off) to give diethyl 2.2-bis(2-cyanoethyl)ma cooled in an ice-bath while acrylonitrile (1.71 g, 32.2 mmol) lonate (1.25 g, 75.8%) as a white solid, mp 62.2-63.5°C. was added. The mixture was stirred at room temperature for Hydrolysis of Diethyl 2.2-bis(2-cyanoethyl)malonate 60 hours after which it was neutralized with 0.1M HCl (0.6 cm) and extracted with CHCl (80 cm) The extracts were 0212 concentrated under reduced pressure and the residue was Kugelrohr-distilled to give 3,3'-(ethane-1,2-diylbis(oxy)) dipropanenitrile (1.08 g. 39.9%) as a light coloured oil, hp 150-170° C.F2O TOrr. 0209 Large scale: Ethylene glycol (32.9 g, 0.53 mol) was TMAH (4 eq) mixed with Triton B (40% in MeOH, 2.22 g, 5.3 mmol) and RT 24 hours cooled in an ice-bath while acrylonitrile (76.2 g, 1.44 mol) then HCl (4.3 eq) O N 15.8% was added. The mixture was allowed to warm slowly to room N temperature and stirred for 60 hours after which it was neu diethyl 2,2-bis(2-cyanoethyl)malonate tralized with 0.1M HCl (50 cm) and extracted with CHC1. Chemical Formula: C3H8N2O4 (300cm) The extracts were passed through a silica plug three Molecular Weight: 266.29 times to reduce the brown coloring to give 86 g (quantitative yield) of the product as an amber coloured oil, pure by H-NMR, containing 10g of water (total weight 96g, amount of water calculated by "H NMR integral sizes). OH HO Cyanoethylation of Diethyl Malonate N. 0210 2,2-bis(2-cyanoethyl)malonic acid Chemical Formula: CoHoN2O4 Molecular Weight:210.19 O O 0213 Diethyl 2.2-bis(2-cyanoethyl)malonate (2 g, 7.51 ------> -- mmol) was added to TMAH (25% in water, 10.95 g, 30.04 diethyl malonate mmol) at room temperature. The mixture was stirred for 24 Chemical Formula: C-H12O4 hours, and was then cooled to 0°C. A mixture of 12M HCl Molecular Weight: 16017 (2.69 cm, 32.1 mmol) and ice (3 g) was added and the mixture was extracted with CHCl (5x50 cm). The extracts US 2009/O 137191 A1 May 28, 2009 28 were evaporated under vacuum to give 2.2-bis(2-cyanoethyl) Dicyanoethylation of N-methyldiethanolamine to malonic acid (0.25 g, 15.8%) as a colourless very viscous oil Give 3,3'-(2,2'-(methylazanediyl)bis(ethane-2,1-diyl) (lit decomposed. 158°C.). bis(oxy))dipropanenitrile 0217 Dicyanoethylation of Glycine to Give 2-(bis(2-cyanoethyl)amino)acetic Acid

0214 N-methyldiethanolamine Chemical Formula: C5H3NO2 O Molecular Weight: 119.16 TMAH (1 mole%) N Neat OH ne 20 hours 74.4% glycine (2-aminoacetic acid) -- acrylonitrile Chemical Formula: C2H5NO2CHNO Chemical Formula: CHN Molecular Weight: 75 077507 Molecular Weight: 53.06 N N

TMAH (1 eq) N---N--~-1 N RT 24 hours 3,3'-(2,2'-(methylazanediyl)bis(ethane-2,1-diyl)bis(oxy))dipropanenitrile

se Herthen HCl (1 eq) Chemical Formula: CH19N3O2 99.3% Molecular Weight: 225.29 acrylonitrile Chemical Formula: C3H3N 0218. To a cooled, stirred mixture of N-methyldiethano Molecular Weight:53.06 lamine (2g, 17 mmol) and acrylonitrile (2.33 g, 42 mmol) was added TMAH (25% in water, 0.25 cm, 0.254g, 7 mmol). The mixture was then stirred overnight, and allowed to warm to room temperature slowly. It was then filtered through silica O using a mixture of EtO and CHCl (1:1, 250 cm) and the filtrated was evaporated under reduced pressure to give 3,3'- OH (2,2'-(methylazanediyl)bis(ethane-2,1-diyl)bis(oxy))dipro panenitrile (2.85g, 74.4%) as a colourless oil.

N 2.21 S-1N1 SŠs N Dicyanoethylation of Glycine Anhydride 2-(bis(2-cyanoethyl)amino)acetic acid 0219) Chemical Formula: C8H11NO2 Molecular Weight: 181.19

0215 Glycine (5 g. 67 mmol) was suspended in water (10 glycine anhydride cm) and TMAH (25% in water, 24-3 g. 67 mmol) was added Chemical Formula: CHNO slowly, keeping the temperature at <30°C. with an ice-bath. Molecular Weight: 114.10 The mixture was then cooled to 10°C. and acrylonitrile (7.78 TMAH (6 mole%) e1s --Neat g, 146 mmol) was added. The mixture was stirred overnight, N 61% and allowed to warm to room temperature slowly. It was then acrylonitrile heated at 50° C. for 2 hours, using a reflux condenser. After Chemical Formula: CHN cooling with ice, the mixture was neutralized with HCl (6M, Molecular Weight: 53.06 11.1 cm) and concentrated to a viscous oil. This was dis O solved in acetone (100 cm) and filtered to remove NMeaCl. The filtrate was concentrated under reduced pressure to give an oil that was treated once more with acetone (100 cm) and ) filtered to remove more NMeCl. Concentration of the filtrate gave 2-(bis(2-cyanoethyl)amino)acetic acid (11.99 g, 99.3%) as a colourless, Viscous oil that crystallised over 1 week at room temperature to give a solid product, mp 73°C. (lit imp 3,3'-(2,5-dioxopiperazine-1,4-diyl)dipropanenitrile 77.8-78.8°C. Duplicate C signals indicate a partly Zwitte Chemical Formula: C10H12N4O2 rionic form in CDC1 solution. Molecular Weight: 220.23 0216. When NaOH is used in the literature procedure, the 0220 Glycine anhydride (2g, 17.5 mmol) was mixed with NaCl formed is easier to remove and only one acetone treat acrylonitrile (2.015g, 38 mmol) at 0°C. and TMAH (25% in ment is necessary. water, 0.1 cm, 0.1 g, 2.7 mmol) was added. The mixture was US 2009/O 137191 A1 May 28, 2009 29 then stirred overnight, allowing it to warm to room tempera ture slowly. The solid formed was recrystallised from EtOH -continued to give 3,3'-(2,5-dioxopiperazine-1,4-diyl)dipropanenitrile (2.35 g, 61%) as a white solid, mp 171-173° C. (lit mp 166° h C.). + NHOAc N,N-Dicyanoethylation of Acetamide N 0221) Ne1)-1 Y1s 3,3,3'-nitrilotripropanenitrile Chemical Formula: CoH2N4 O Molecular Weight: 176.22 -- -- 0225. Ammonia (aq.35%, 4.29, 88 mmol) was added drop acetamide wise to ice-cooled AcOH (5.5 g., 91.6 mmol) in water (9.75 Chemical Formula: C2H5NO cm), followed by acrylonitrile (4.65 g, 87.6 mol). The mix Molecular Weight: 59.07 ture was stirred under reflux for 3 days, after which it was cooled with ice and aq. TMAH (25% in water, 10.94 g. 30 N TMAH (2.5 mole%) mmol) was added. The mixture was kept cooled with ice for ne 15.9%Neat 1 hours. The crystals formed was collected by filtration and acrylonitrile washed with water. The product was dried in high vacuum to Chemical Formula: C3H3N give 3,3'3"-nitrilotripropanenitrile (2.36 g., 45.8%) as a white Molecular Weight: 53.06 solid, mp. 59-61° C. (lit mp 59° C.). 0226. When NaOH was used to neutralize the reaction (literature procedure), the yield was higher, 54.4%. Dicyanoethylation of Cyanoacetamide N,N-bis(2-cyanoethyl)acetamide 0227 Chemical Formula: C8H11N3O Molecular Weight: 165.19

0222 Acetamide (2 g, 33.9 mmol) was mixed with acry sul NH + lonitrile (2.26 g., 42.7 mmol) at 0° C. and TMAH (25% in 2-cyanoacetamide water, 0.06 cm, 0.06g, 1.7 mmol) was added. The mixture Chemical Formula: C3H4N2O was then stirred overnight, allowing it to warm to room tem Molecular Weight: 84.08 perature slowly. The mixture was filtered through a pad of Triton B(2 mole%) N Water, RT 24 hours silica with the aid of EtO/CH2Cl (200 cm) and the filtrate then HCl (2 mole%) was concentrated under reduced pressure. The product was ne 84.7% heated with spinning in a Kugelrohr at 150° C./2 mmHg to acrylonitrile remove side products and to give N,N-bis(2-cyanoethyl)ac Chemical Formula: C3H3N etamide (0.89 g, 15.9%) as a viscous oil. Molecular Weight: 53.06 0223) The N-Substituent in the Amides is Non-Equivalent Due to Amide Rotation.

Tricyanoethylation of Ammonia

0224 2 nS 2,4-dicyano-2-(2-cyanoethyl)butanamide NH -- Chemical Formula: CoHoN4O ammonia Molecular Weight: 190.20 Chemical Formula: HN Molecular Weight: 17.03 0228 To a stirred mixture of cyanoacetamide (2.52g, 29.7 HOAc (104 mole%) Water mmol) and Triton B (40% in MeOH, 0.3 g, 0.7 mmol) in water NSe S. then TMAH (34 mole%) (5 cm) was added acrylonitrile (3.18 g, 59.9 mmol) over 30 45% minutes with cooling. The mixture was then stirred at room acrylonitrile temperature for 30 min and then allowed to stand for 1 hours. Chemical Formula: C3H3N EtOH (20 g) and 1M HCl (0.7 cm) were added and the Molecular Weight: 53.06 mixture was heated until all solid had dissolved. Cooling to room temperature gave crystals that were collected by filtra US 2009/O 137191 A1 May 28, 2009 30 tion and recrystallised from EtOH to give 2,4-dicyano-2-(2- cyanoethyl)butanamide (4.8 g., 84.7%) as a pale yellow solid, -continued TMAH (4.4 eq) mp 118-120° C. (lit. mp 118°C.), N Water, RT 24 hours ne Hethen HCl (4.4 eq) 14.3% N,N-Dicyanoethylation of Anthranilonitrile acrylonitrile Chemical Formula: C3H3N 0229 Molecular Weight: 53.06 \ 2

-- 2 N \ NH2 N anthranilonitrile pentane-1,3,3,5-tetracarbonitrile Chemical Formula: C7H6N2 Chemical Formula: CoHSN4 Molecular Weight: 118.14 Molecular Weight: 172.19 TMAH (6 mole%) 0232 Malononitrile (5 g, 75.7 mmol) was dissolved in ne 56.5%Neat dioxane (10 cm), followed by trimethylbenzylammonium acrylonitrile hydroxide (Triton B, 40% in MeOH, 1.38g, 3.3 mmol). The mixture was cooled while acrylonitrile (8.3g, 156 mmol) was Chemical Formula: CHN added. The mixture was stirred overnight, allowing it to warm Molecular Weight: 53.06 to room temperature slowly. It was then neutralized with HCl (1 M, 3.3 cm) and poured into ice-water. The mixture was 2 e. extracted with CHCl (200 cm) and the extracts were evaporated under reduced pressure. The product was purified by column chromatography (silica, 1:1 EtOAc-petroleum) followed by recrystallisation to give 1,3,3,5-tetracarbonitrile (1.86 g., 14.3%), mp 90-92°C. (lit mp 92° C.). Tetracyanoethylation of Pentaerythritol

N 0233 3,3'-(2-cyanophenylazanediyl)dipropanenitrile Chemical Formula: C3H2N4 OH Molecular Weight: 224.26 HO OH +

0230 Anthranilonitrile (2 g, 16.9 mmol) was mixed with HO acrylonitrile (2.015g, 38 mmol) at 0°C. and TMAH (25% in pentaerythritol water, 0.1 cm, 0.1 g, 2.7 mmol) was added. The mixture was Chemical Formula: C5H12N4 then stirred overnights allowing it to warm to room tempera Molecular Weight: 136.15 ture slowly. The product was dissolved in CH2Cl and filtered TMAH (7 mole%) through silica using a mixture of EtO and CHCl (1:1, 250 N Neat cm). The filtrate was evaporated to dryness and the solid se 2O ors product was recrystallised from EtOH (5 cm) to give 3,3'- acrylonitrile 100% (2-cyanophenylaZanediyl)dipropanenitrile (2.14g, 56.5%) as Chemical Formula: C3H3N an off-white solid, mp. 79-82° C. Molecular Weight: 53.06 Dicyanoethylation of Malononitrile Null - N O O 0231 //X-- 3,3'-(2,2-bis(2-cyanoethoxy)methyl)propane-1,3- malononitrile diyl)bis(oxy)-dipropanenitrile Chemical Formula: C3H2N2 Chemical Formula: C17H24N4O4 Molecular Weight: 66.06 Molecular Weight:348.40 US 2009/O 137191 A1 May 28, 2009

0234 Pentaerythritol (2 g, 14.7 mmol) was mixed with filtrate was evaporated under reduced pressure to give a fully acrylonitrile (5 cm, 4.03 g, 76 mmol) and the mixture was cyanoethylated product (4.12 g, 75%) as a colourless oil. cooled in an ice-bath while tetramethylammonium hydroxide (=TMAH, 25% in water, 0.25 cm, 0.254 g, 7 mmol) was Tricyanoethylation of Diethanolamine to Give 3,3'- added. The mixture was then stirred at room temperature for (2,2'-(2-cyanoethylazanediyl)bis(ethane-2,1-diyl)bis 20 hours. After the reaction time the mixture was filtered (oxy))dipropanenitrile through silica using a mixture of EtO and CHCl (1:1, 250 cm) and the filtrated was evaporated under reduced pressure 0237) to give 3,3'-(2,2-bis(2-cyanoethoxy)methyl)propane-1,3- diyl)bis(oxy)dipropanenitrile (5.12 g, 100%) as a colourless oil. Hexacyanoethylation of Sorbitol diethanolamine Chemical Formula: C4H13NO2 0235 Molecular Weight: 105.14 TMAH (5 mole%) N SeS. Dioxane,Overnight RT acrylonitrile 33% Chemical Formula: C3H3N 5 Molecular Weight:53.06 N sorbitol Chemical Formula: C6H14O6 Molecular Weight: 182.17 N TMAH (6 mol%) se -- N N acrylonitrile 75% nuan 1N1 N n1nO -N-6 Chemical Formula: C3H3N 3,3'-(2,2'-(2-cyanoethylazanediyl)bis(ethane-2,1- Molecular Weight:53.06 diyl)bis(Oxy)) dipropanenitrile Chemical Formula: C3H20N4O2 Molecular Weight: 264.32

0238. To an ice-cooled stirred solution of diethanolamine (2g, 19 mmol) and TMAH (25% in water, 0.34 cm, 0.35 g, \ O N 9.5 mmol) in dioxane (5cm) was added acrylonitrile (3.53g, 66.1 mmol) dropwise. The mixture was then stirred over night, and allowed to warm to room temperature. More acry \ Oil II S / lonitrile (1.51 g, 28 mmol) and TMAH (0.25 cm, 7 mmol) was added and stirring was continued for additional 24 h. The crude mixture was filtered through a pad of silica (EtO/ CH2Cl as eluent) and evaporated to remove dioxane. The residue was purified by column chromatography (silica, Et2O to remove impurities followed by EtOAc to elute product) to give 3,3'-(2,2'-(2-cyanoethylazanediyl)bis(ethane 2,1-diyl) / bis(oxy))dipropanenitrile (1.67 g., 33%) as an oil. 0239 Reactions to Produce Amidoxime Compounds Reaction of Acetonitrile to Give N'-hydroxyacetimidamide N 1,2,3,4,5,6-hexakis-O-(2-kyanoetyl)hexitol 0240 Chemical Formula: C24H32N6O6 Molecular Weight:500.55 NOH N NHOH -2 ---EtOH Reflux ul NH2 0236 Sorbitol (2 g, 11 mmol) was mixed with acryloni 0 trile (7 cm, 5.64 g. 106 mmol) and the mixture was cooled in acetonitrile 45% N'-hydroxyacetimidamide an ice-bath while tetramethylammonium hydroxide Chemical Formula: C2H3N Molecular Weight: 41.05 Chemical Formula: C2H6N2O (=TMAH, 25%; in water, 0.25 cm, 0.254 g, 7 mmol) was Molecular Weight: 74.08 added. The mixture was then stirred at room temperature for 48 hours, adding another 0.25 cm of TMAH after 24 hours. After the reaction time the mixture was filtered through silica 0241. A solution of acetonitrile (0.78 g. 19 mmol) and using a mixture of EtO and CHCl (1:1, 250 cm) and the hydroxylamine (50% in water, 4.65 cm, 5.02g, 76 mmol, 4 US 2009/O 137191 A1 May 28, 2009 32 eq) in EtOH (100 cm) was stirred under reflux for 1 hours, product 2-chloro-N'-hydroxyacetimidamide (0.81 g, 57.4%) after which the solvent was removed under reduced pressure as a yellow solid, mp 79-80°C. and the residue was recrystallised from iPrCH to give the product N'-hydroxyacetimidamide (0.63 g, 45%) as a solid, Reaction of ethyl 2-cyanoacetate to Give mp 134.5-136.5°C. 3-amino-N-hydroxy-3-(hydroxyimino)propanamide Reaction of Octanonitrile to Give N'-hydroxyoctanimidamide 0246 0242

NH2OH O EtOHRT NH2OH 2 N 1 Hour EtOHRT --N-1 67.8% N 7d ethyl 2-cyanoacetate --~-1 74.6%ays Chemical Formula: C5H7NO. octanonitrile Molecular Weight: 113.11 Chemical Formula: C8H15N Molecular Weight: 125.21 NOH O HN ulus NHOH 3-amino-N-hydroxy-3-(hydroxyimino)propanamide Chemical Formula: C3H7N3O3 N'-hydroxyoctanimidamide Molecular Weight: 133.11 Chemical Formula: C8H8N2O Molecular Weight: 158.24 0247 Ethylcyanoacetate (1 g, 8.84 mmol) and hydroxy lamine (50% in water, 1.19 cm3, 1.29 g, 19.4 mmol. 2.2 eq) in 0243 Octanonitrile (1 g, 7.99 mmol) and hydroxylamine EtOH (1 cm) were allowed to stand at room temperature for (50% in water, 0.74 cm3. 0.79 g, 12 mmol, 1.5 eq) in EtOH (1 1 hour with occasional swirling. The crystals formed were cm) were stirred at room temperature for 7 days. Water (10 collected by filtration and dried in high vacuum line to give a cm) was then added. This caused crystals to precipitate, colourless solid, 3-amino-N-hydroxy-3-(hydroxyimino)pro these were collected by filtration and dried in high vacuum panamide, mp 158°C. (decomposed) (lit mp 150° C.). line to give the product N'-hydroxyoctanimidamide (0.94 g. 74.6%) as a white solid, mp 73-75° C. Reaction of 3-hydroxypropionitrile to Give Reaction of Chloroacetonitrile to Give N",3-dihydroxypropanimidamide 2-chloro-N'-hydroxyacetimidamide 0248 0244

NH2OH NHOH 2 N PrOH4O9 C. EtOH30-50° C. HO -N-1 He8 hours N 3 hours 60% Clué quantitative 3-hydroxypropionitrile chloroacetonitrile Chemical Formula: C3H5NO Molecular Weight: 71.08 Chemical Formula: CHCIN Molecular Weight: 75.50

NOH

Nulls NH 2 N",3-dihydroxypropanimidamide 2-chloro-N'-hydroxyacetimidamide Chemical Formula: C3H8N2O Chemical Formula: CH5CINO Molecular Weight: 104.11 Molecular Weight: 108.53 0249 Equal molar mixture of 3-hydroxypropionitrile and 0245 Chloroacetonitrile (1 g, 13 mmol) and hydroxy hydroxylamine heated to 40°C. for 8 hours with stirring. The lamine (50% in water, 0.89 cm, 0.96g, 14.6 mmol, 1.1 eq) in Solution is allowed to stand overnight yielding a fine slightly EtOH (1 cm) were stirred at 30-50° C. for 30 min. The off white precipitate. The precipitated solid was filtered off mixture was then extracted with Et2O (3x50 cm). The and washed with iPrOH and dried to a fine pure white crys extracts were evaporated under reduced pressure to give the talline solid N',3-dihydroxypropanimidamide mp. 94° C. US 2009/O 137191 A1 May 28, 2009 33

Reaction of 2-cyanoacetic Acid to Give Isomers of 3-amino-3-(hydroxyimino)propanoic acid 0250

HON O 2 eq NH2OH O O N N EtOH/H2O30° C.

ulus ->HOAc lul HO 40% - us NH2 -- HO NH2 2-cyanoacetic acid 3-amino-3-(hydroxyimino)propanoic acid (Z)-3-amino-3-(hydroxyimino)propanoic acid Chemical Formula Major Minor CQ HGNO2 Chemical Formula: C3H5NC (3) Molecular Weight: 85 06 Molecular Weight: 118.09 () indicates text missing or illegible when filed

0251 2-Cyanoacetic acid (1 g, 11.8 mmol) was dissolved 0254 Adiponitrile (1 g, 9 mmol) and hydroxylamine in EtOH (10 cm) and hydroxylamine (50% in water, 0.79 (50% in water, 1.24 cm3, 1.34g, 20 mmol. 2.2 eq) in EtOH cm3. 0.85g. 12.9 mmol. 1.1 eq) was added. The mixture was (10 cm3) were stirred at room temperature for 2 days and then warmed at 40° C. for 30 min and the crystals formed (hy at 80°C. for 8 hours. The mixture was allowed to cool and the droxylammonium cyanoacetate) were filtered off and dis precipitated crystals were collected by filtration and dried in solved in water (5 cm). Additional hydroxylamine (50% in high vacuum line to give the product N1,N'6-dihydroxyad water, 0.79 cm3. 0.85g. 12.9 mmol. 1.1 eq) was added and the ipimidamide (1.19 g, 75.8%) as a white solid, mp 160.5 mixture was stirred at room temperature overnight. Acetic (decomposed) (lit decomposed 168-170° C. acid (3 cm) was added and the mixture was allowed to stand for a few hours. The precipitated solid was filtered off and dried in high vacuum line to give the product 3-amino-3- Reaction of Sebaconitrile to Give (hydroxyimino)propanoic acid (0.56g, 40%) as a white solid, N1,N10-dihydroxydecanebis(imidamide) mp 136.5°C. (lit 144°C.) as two isomers. 0252 Characterization of the product using FTIR and 0255 NMR are as follows: vmax(KBr)/cm-1 3500–3000 (br), 3188,2764, 1691, 1551, 1395, 1356, 1265 and 1076;&H (300 MHz: DMSO-d6; Me4Si) 10.0-9.0 (br, NOH and COOH), 5.47 (2H, brs, NH) and 2.93 (2H, s, CH,): 8C (75 MHz: NH2OH N S EtOHRT DMSO-d6; Me4Si) 170.5 (COOH minor isomer), 170.2 n 2 days (COOH major isomer), 152.8 (C(NOH)NH major isomer) N 80°C. 8 hours 148.0(C(NOH)NH, minorisomer), 37.0 (CH minorisomer) N He72.5% and 34.8 (CH major isomer). sebaconitrile Chemical Formula: C10H16N2 Reaction of Adiponitrile to Give Molecular Weight: 164.25 N1,N'6-dihydroxyadipimidamide

0253 NOH HN NH2OH NH2 N S EtOHRT NOH S. 80°C. 8 hours N-N-n n N 2 days N',N'-dihydroxydecanebis(imidamide) 75.8% adiponitrile Chemical Formula: C10H22N4O2 Chemical Formula: CHN Molecular Weight: 230.31 Molecular Weight: 108.14 NOH HN NH2 0256 Sebaconitrile (1 g, 6 mmol) and hydroxylamine NOH (50% in water, 0.85 cm, 0.88 g, 13.4 mmol. 2.2 eq) in EtOH N',N'-dihydroxyadipimidamide (12 cm) were stirred at room temperature for 2 days and then Chemical Formula: C6H4N4O2 at 80° C. for 8 h. The mixture was allowed to cool and the Molecular Weight: 174.20 precipitated crystals were collected by filtration and dried in high vacuum line to give the product N1,N10-dihydroxyde canebis(imidamide) (1 g, 72.5%); mp 182°C. US 2009/O 137191 A1 May 28, 2009 34

Reaction of 2-cyanoacetamide to Give 3-amino-3-(hydroxyimino)propanamide -continued 0257 O 2N NHOH 4-cyano-N'-hydroxybutanimidamide HN lué EtOH Reflux Chemical Formula: C5HoN3O 2 88.3% Molecular Weight: 127.14 2 cyanoacetamide Chemical Formula: C3H4N2O Molecular Weight: 84.08 0262. A solution of 5-hexymenitrile (0.93 g, 10 mmol) and O NOH hydroxylamine (50% in water, 1.22 cm, 20 mmol) was stirred under reflux for 10 hours, after which volatiles were HN ulus NH 2 removed under reduced pressure to give the product 4-cyano 3-amino-3-(hydroxyimino)propanamide N'-hydroxybutanimidamide (1.30 g, 100%) as a white solid, Chemical Formula: C3H7NO. mp 99.5-101° C. Molecular Weight: 117.11 Reaction of Iminodiacetonitrile to Give 2,2'- 0258 2-Cyanoacetamide (1 g, 11.9 mmol) and hydroxy azanediylbis(N'-hydroxyacetimidamide) lamine (0.8 cm, 13 mmol, 1.1 eq) in EtOH (6 cm) were stirred under reflux for 2.5 hours. The solvents were removed 0263 under reduced pressure and the residue was washed with CH2Cl to give the product 3-amino-3-(hydroxyimino)pro NH2OH N& H N EtOHRT panamide (1.23g, 88.3%) as a white solid, mp 159°C. Suisué 2 days Reaction of Glycolonitrile to Give iminodiacetonitrile 88.7% N',2-dihydroxyacetimidamide Chemical Formula: C4H5N3 Molecular Weight: 95.10 0259 NOH NOH 2 N NHOH N HONué EtOH Reflux HN --Nulls NH2 He 2,2'-azanediylbis(N'-hydroxyacetimidamide) glycolnitrile 61.4% Chemical Formula: C4H11N3O Chemical Formula: C2H3NO Molecular Weight: 57.05 Molecular Weight: 161.16 NOH 0264 Commercial iminodiacetonitrile (Alfa-Aesar) was losus NH 2 purified by dispersing the compound in water and extracting N',2-dihydroxyacetimidamide with dichloromethane, then evaporating the organic Solvent Chemical Formula: C2H6N2O2 from the extracts to give a white solid. Purified iminodiaceto Molecular Weight: 90.08 nitrile (0.82 g) and hydroxylamine (50% in water, 2.12 ml, 2.28 g., 34.5 mmol. 4 eq) in MeOH (6.9 ml) and water (6.8 ml) 0260 Glycolonitrile (1 g, 17.5 mmol) and hydroxylamine were stirred at room temperature for 48 hours. Evaporation of (50% in water, 2.15 cm, 35 mmol. 2 eq) in EtOH (10 cm) Volatiles under reduced pressure gave a colorless liquid which were stirred under reflux for 6 hours and then at room tem was triturated with EtOH (40°C.) to give 2,2'-azanediylbis perature for 2-4 hours. The solvent was evaporated and the (N'-hydroxyacetimidamide) (1.23g, 88.7%) as a white solid, residue was purified by column chromatography (silica, 1:3 mp 135-136° C. (lit mp 138°C.). EtOH-CC1) to give the product N',2-dihydroxyacetimida mide (0.967 g. 61.4%) as an off-white solid, mp 63-65°C. Reaction of 3-methylaminopropionitrile to Give N'-hydroxy-3-(methylamino)propanimidamide Reaction of 5-hexynenitrile to Give 4-cyano-N'-hydroxybutanimidamide 0265 NH2OH 0261 EtOH 30-50° C. N 2N NH2OH a 3 hours Sua-6 EtOH Reflux N-N-1 RT 24 hours He H 99.59% 5-hexynenitrile quantitative 3-(methylamino)propanenitrile Chemical Formula: C5HN Chemical Formula: C4H8N2 Molecular Weight: 94.11 Molecular Weight: 84.12 US 2009/O 137191 A1 May 28, 2009

Reaction of 3,3',3'-Nitrilotripropanenitrile with -continued hydroxylamine to Give 3,3'3"-nitrilotris(N'-hydrox ypropanimidamide) 0269

NOH N ~. NH2 H EtOH 80° C. N'-hydroxy-3-(methylamino)propanimidamide Reflux 57.6% Chemical Formula: C4H11N3O N Molecular Weight: 117.15 2e1 N1 N1 N.n 3,3,3'-nitrilotripropanenitrile 0266. A solution of 3-methylaminopropionitrile (1 g, 11.9 Chemical Formula: CoH2N4 mmol) and hydroxylamine (50% in water, 0.5 cm3. 0.864 g. Molecular Weight: 176.22 13.1 mmol, 1.1 eq) in EtOH (1 cm) was stirred at 30-50° C. HON NH2 for 3 hours and then at room temperature overnight. The Solvent was removed under reduced pressure (rotary evapo rator followed by high vacuum line) to give the product N'-hy droxy-3-(methylamino)propanimidamide (1.387 g. 99.5%) as a thick pale yellow oil. "N-N- Reaction of 3-(diethylamino)propanenitrile to Give NOH NOH 3-(diethylamino)-N'-hydroxypropanimidamide 3,3,3'-nitrilotris(N'-hydroxypropanimidamide) Chemical Formula: CoH2NO3 0267 Molecular Weight: 275.31

0270. A solution of 3,3'3"-nitrilotripropanenitrile (2 g, 11.35 mmol) and hydroxylamine (50% in water, 2.25 g, 34 mmol) in EtOH (25 cm) was stirred at 80° C. overnight, then at room temperature for 24 hours. The white precipitate was 1.5 eq NH2OH collected by filtration and dried in high vacuum to give 3,3', EtOH, Reflux 3"-nitrilotris(N'-hydroxypropanimidamide) (1.80 g, 57.6%) N 24 hours as a white crystalline solid, mp 195-197°C. (decomposed) -- ( 92.6% Reaction of 3-(2-ethoxyethoxy)propanenitrile to Give 3-(2-ethoxyethoxy)-N'-hydroxypropanimida 3-(diethylamino)propanenitrile mide Chemical Formula: CH4N Molecular Weight: 126.20 0271 1.5 eq NH-OH N S EtOH Reflux S-N 1N1'N-1 24 hours O He97.6% 3-(2-ethoxyethoxy)propanenitrile Chemical Formula: C7H3NO2 Molecular Weight: 143.18 ( - NOH ( N-N--- NH2 3-(diethylamino)-N'-hydroxypropanimidamide 3-(2-ethoxyethoxy)-N'-hydroxypropanimidamide Chemical Formula: C7H7NO Chemical Formula: C-H16N2O3 Molecular Weight: 159.23 Molecular Weight: 176.21

0268 A solution of 3-(diethylamino)propanenitrile (1 g, 8 0272. A solution of 3-(2-ethoxyethoxy)propanenitrile (1 mmol) and NH-OH (50% in water, 0.73 cm, 11.9 mmol) in g, 7 mmol) and NH-OH (50% in water, 0.64 cm, 10.5 mmol) EtOH (10 cm) were heated to reflux for 24hours, after which in EtOH (10 cm) were heated to reflux for 24 hours, after the solvent and excess hydroxylamine were removed by which the solvent and excess hydroxylamine were removed rotary evaporator. The residue was freeze-dried and kept in by rotary evaporator. The residue was freeze-dried and kept in high vacuum line until it slowly solidified to give 3-(diethy high vacuum line for several hours to give 3-(2-ethoxy lamino)-N'-hydroxypropanimidamide (1.18 g. 92.6%) as a ethoxy)-N'-hydroxypropanimidamide (1.2 g, 97.6%) as a white solid, mp 52-54°C. colourless oil. US 2009/O 137191 A1 May 28, 2009 36

Reaction of 3-(2-(2-(dimethylamino)ethoxy)ethoxy) 0276 Treatment of 3,3'-(2,2'-(2-cyanoethylazanediyl)bis propanenitrile to Give 3-(2-(2-(dimethylamino) (ethane-2,1-diyl)bis(oxy))dipropanenitrile (0.8 g., 3 mmol) ethoxy)ethoxy)-N'-hydroxypropanimidamide with NH-OH (0.74 cm, 12.1 mmol) in EtOH (8 cm) gave 3,3'-(2,2'-(3-amino-3-(hydroxyimino)propylazanediyl)bis 0273 (ethane-2,1-diyl)bis(oxy)bis(N'-hydroxypropanimidamide) 1.5 eq NH2OH (1.09 g, 100%) as an oil. EtOH Reflux 2 N 24 hours Reaction of Iminodipropionitrile to Give 3,3'- N-N-N-N-N-1 - I - azanediylbis(N'-hydroxypropanimidamide)

3-(2-(2-(dimethylamino)ethoxy)ethoxy)propanenitrile (0277 Chemical Formula: NHOH CoH8N2O2 EtOH 80° C. Molecular Weight: 186.25 8 hours O & 2N RT2 days N 82.1% H N------NH2 Iminodipropionitrile Chemical Formula: C6HoN3 3-(2-(2-(dimethylamino)ethoxy)ethoxy)-N'-hydroxypropanimidamide Molecular Weight: 123.16 NOH NOH Chemical Formula: CoH2N3O3 Molecular Weight: 219.28 H --> ~s NH 0274. A solution of 3-(2-(2-(dimethylamino)ethoxy) 2 H 2 ethoxy)propanenitrile (0.5g, 2.68 mmol) and NH-OH (50% 3,3'-azanediylbis(N'-hydroxypropanimidamide) in water, 0.25 cm, 4 mmol) in EtOH (10 cm) were stirred at Chemical Formula: C6H5NSO2 80° C. for 24 hours, after which the solvent and excess Molecular Weight: 189.22 hydroxylamine were removed by rotary evaporator. The resi due was freeze-dried and kept in high Vacuum line for several 0278 Iminodipropionitrile (1 g, 8 mmol) and hydroxy hours to give 3-(2-(2-(dimethylamino)ethoxy)ethoxy)-N'- lamine (50% in water, 1 cm, 1.07 g. 16 mmol. 2 eq) in EtOH hydroxypropanimidamide (0.53 g, 90.1%) as a light yellow (8 cm) were stirred at room temperature for 2 days and then oil. at 80°C. for 8 hours. The mixture was allowed to cool and the precipitated crystals were collected by filtration and dried in Reaction of 3.3-(2,2'-(2-cyanoethylazanediyl)bis high vacuum line to give the product 3,3'-azanediylbis(N'- (ethane-2,1-diyl)bis(oxy))dipropanenitrile with hydroxypropanimidamide) (1.24 g 82.1%) as a white Solid, Hydroxylamine to Give 3,3'-(2,2'-(3-amino-3-(hy mp 180° C. (lit 160° C.). droxyimino)propylazanediyl)bis(ethane-2,1-diyl))bis (oxy)bis(N'-hydroxypropanimidamide) Reaction of 3,3'3".3"-(ethane-12-diylbis(azan etriyl))tetrapropanenitrile to Give 3,3'3".3"-(ethane 0275 1,2-diylbis(azanetriyl))tetrakis(N'-hydroxypropan imidamide) to Produce EDTA Analogue h 0279 NH-OH (4 eq) EtOH, 80° C. N N 24 hours a N sSanu-ra-- Na-1a aa Hequantitative 3,3'-(2,2'-(2-cyanoethylazanediyl)bis(ethane-2,1- diyl)bis(oxy)) dipropanenitrile Chemical Formula: CGHG) N4O2 N 4.5 eq NH2OH Molecular Weight: () EtOH, 80° C., HON NH2 24 hours 76.4%

NOH NOH HN --~~ N N-1 no --- NH2 / -SN 3,3'-(2,2'-(3-amino-3-(hydroxyimino)propylazanediyl)bis(ethane-2,1- a diyl)bis(oxy)bis(N'-hydroxypropanimidamide) 3,3,3",3'-(ethane-1,2- Chemical Formula: C13H2NCOs diylbis(azanetriyl)tetrapropanenitrile Molecular Weight: 363 41 Chemical Formula: C14H2ON6 () indicates text missing or illegible when filed Molecular Weight: 272.35 US 2009/O 137191 A1 May 28, 2009 37

-continued -continued

HN HN HOHN NH - s NOH NHOH N --> O HN r)-O N NHOH NH N HN NHOH 3,3'-(2,2-bis((3-hydroxyamino)-3- iminopropoxy)methyl)propane-1,3- NOH NOH diyl)bis(oxy)bis(N-hydroxypropanimidamide) 3,3,3",3'-(ethane-1,2-diylbis(azanetriyl))terrakis(N'- hydroxypropanimidamide Chemical Formula: C17H36NsOs Molecular Weight: 480.52 Chemical Formula: C14H32N10O4 Molecular Weight:404.47

0282. To a solution of 3,3'-(2,2-bis(2-cyanoethoxy)me 0280 A solution of 3,3', 3",3"-(ethane-1,2-diylbis(azan thyl)propane-1,3-diyl)bis(oxy)dipropanenitrile (1 g, 2.9 etriyl))tetrapropanenitrile (1 g, 4 mmol) and NH-OH (50% in mmol) in EtOH (10 ml) was added NH-OH (50% in water, water, 1.1 cm, 18.1 mmol) in EtOH (10 cm) was stirred at 0.88 ml, 0.948 g. 14.4 mmol), the mixture was stirred at 80° 80° C. for 24 hours and was then allowed to cool to room C. for 24 hours and was then cooled to room temperature. Evaporation of the solvent and excess NH-OH in the rotary temperature. The solid formed was collected by filtration and evaporator followed by high vacuum for 12 hours gave 3,3'- dried under vacuum to give 3.3'3".3"-(ethane-1,2-diylbis (2.2-bis((3-(hydroxyamino)-3-iminopropoxy)methyl)pro (aZanetriyl))tetrakis(N'-hydroxypropanimidamide) (1.17 g. pane-1,3-diyl)bis(oxy)bis(N-hydroxypropanimidamide) 76.4%) as a white solid, mp 191-192° C. (0.98 g., 70.3%) as a white solid, mp 60° C. Reaction of 3,3'-(2,2-bis(2-cyanoethoxy)methyl) propane-1,3-diyl)bis(oxy)dipropanenitrile with Reaction of 3,3'-(2-cyanophenylazanediyl)dipro Hydroxylamine to Give 3,3'-(2,2-bis((3-(hy panenitrile with Hydroxylamine to Give 3,3'-(2-(N'- droxyamino)-3-iminopropoxy)methyl)propane-1,3- hydroxycarbamimidoyl)phenylazanediyl)bis(N'-hy diyl)bis(oxy)bis(N-hydroxypropanimidamide) droxypropanimidamide) 0281 (0283

2 e. NH2OH(5 eq) NNull -N EtOH, 80° C. NH2OH(4.5 eq) O O 24 hours N EtOH, 80° C. He 70.3% 24 hours -3-quantitative é/,X-- N 3,3'-(2,2-bis(2-cyanoethoxy)methyl)propane-1,3- diyl)bis (oxy)-dipropanenitrile N 3,3'-(2-cyanophenylazanediyl)dipropanenitrile Chemical Formula: C17H24N4O4 Molecular Weight:348.40 Chemical Formula: C3H2N4 Molecular Weight: 22426

US 2009/O 137191 A1 May 28, 2009 39

0290. A solution of 3,3'-(ethane-1,2-diylbis(oxy))dipro panenitrile (1 g, 5 mmol) and NH-OH (50% in water, 0.77 -continued cm, 12.5 mmol) in EtOH (10 cm) was stirred at 80° C. for 24 NOH hours and then at room temperature for 24 hours. The solvent and excess NH-OH were evaporated off and the residue was freeze-dried to give 3,3'-(ethane-1,2-diylbis(oxy)bis(N'-hy droxypropanimidamide) (1.33 g, 100%) as a viscous oil. Reaction of 3,3'-(piperazine-1,4-diyl)dipropaneni trile to Give 3,3'-(piperazine-1,4-diyl)bis(N'-hydrox 3,3'-(piperazine-1,4-diyl)bis(N'-hydroxypropanimidamide) ypropanimidamide) Chemical Formula: CG) H() NaO2 Molecular Weight: 258.32 0291 (2) indicates text missing or illegible when filed 0292 A solution of 3,3'-piperazine-1,4-diyl)dipropaneni trile (1 g, 5.2 mmol) and NHOH (50% in water, 0.96 cm, / \ EN 3 eq NH-OH 15.6 mmol) in EtOH (10 cm) were heated to reflux for 24 N N / HerEtOH hours, after which the mixture was allowed to cool to room M V M Reflex 24 hours temperature. The solid formed was collected by filtration and o 93.3% dried in high vacuum line to give 3,3'-(piperazine-1,4-diyl) 3,3'-(piperazine-1,4-diyl)dipropanenitrile bis(N'-hydroxypropanimidamide) (1.25 g, 93.3%) as a white Chemical Formula: C10H10N4 solid, deep 238°C. (brown coloration at >220°C. Molecular Weight: 19226 Reaction of Cyanoethylated Sorbitol Compound with Hydroxylamine to Give 1,2,3,4,5,6-hexakis-O- 3-(hydroxyamino)-3-iminopropyl Hexitol 0293

O O N Su-n, i 'N1)-Š EtOH,30 hours 80° C. 5 quantitative - O N. 1,2,3,4,5,6-hexakis-O-(2-kyanoetyl)hexitol Chemical Formula: C24H32N6O6 Molecular Weight:500.55 NOH NOH HN t r NH2

NOH O O HN -->O N-- O NH2

n-NH2 lNH2 Hexitol,1,2,3,4,5,6-hexakis-O-(3-hydroxyamino)-3-iminopropyl Chemical Formula: C24HG) Ni2O12 Molecular Weight: 698.73 () indicates text missing or illegible when filed US 2009/O 137191 A1 May 28, 2009 40

0294. A solution of cyanoethylated product of sorbitol (0.48g, 0.96 mmol) and NH-OH (50% in water, 0.41 ml, 0.44 -continued g, 6.71 mmol) in EtOH (5 ml) was stirred at 80° C. for 24 NOH hours. Evaporation of solvent and NMR analysis of the resi due showed incomplete conversion. The product was dis NH2 solved in water (10 ml) and EtOH (100 ml) and NH-OH (0.5 go 7.6 mmol) was added. The mixture was stirred at 80°C. for a further 7 hours. Removal of all volatiles after the reaction N'-hydroxy-3-phenylpropanimidamide gave 1,2,3,4,5,6-hexakis-O-3-(hydroxyamino)-3-iminopro Chemical Formula: CoH2N2O pyl Hexitol, (0.67 g, 100%) as a white solid, mp. 92-94° C. Molecular Weight: 164.20 (decomposed). 0298 Phenylpropionitrile (1 g, 7.6 mmol) was reacted Reaction of Benzonitrile to Give with hydroxylamine (50% in water, 0.94 cm, 15.2 mmol. 2 N'-hydroxybenzimidamide eq) in EtOH (7.6 cm) in the same manner as in the prepara tion of N'-hydroxybenzimidamide (EtOAc used in extrac 0295) tion) to give the product N'-hydroxy-3-phenylpropanimida mide (0.88 g., 70.5%) as a white solid, mp 42-43°C.

Reaction of m-tolunitrile to Give 2 NH2OH EtOH Reflux N'-hydroxy-3-methylbenzimidamide Hequantitative 0299 benzonitrile Chemical Formula: CH5N Molecular Weight: 103.12 2. NOH NH2OH EtOH Reflux He 97.7%

m-tolunitrile Chemical Formula: C8HN N'-hydroxybenzimidamide Molecular Weight: 117.15 NOH Chemical Formula: C-HSN2O Molecular Weight: 136.15

0296 Benzonitrile (0.99 cm, 1 g, 9.7 mmol) and hydroxylamine (50% in water, 0.89 cm, 0.96g, 14.55 mmol, N'-hydroxy-3-methylbenzimidamide 1.5 eq) were stirred under reflux in EtOH (10 cm) for 48 hours. The solvent was evaporated under reduced pressure Chemical Formula: C8H16N2O and water (10 cm) was added to the residue. The mixture was Molecular Weight: 150.18 extracted with dichloromethane (100 cm) and the organic extract was evaporated under reduced pressure. The residue 0300. The reaction of m-tolunitrile (1 g, 8.54 mmol) and was purified by column chromatography to give the product hydroxylamine (0.78 cm, 12.8 mmol, 1.5 eq) in EtOH (8.5 N'-hydroxybenzimidamide (1.32g, 100%) as a white crystal cm) was performed in the same manner as in the preparation line solid, mp. 79-81° C. (lit 79-80° C. This procedure is of N'-hydroxybenzimidamide, to give the product N'-hy Suitable for all stalling materials bearing a ring. droxy-3-methylbenzimidamide (1.25 g, 97.7%) as a white solid, mp 92° C. (lit 88-90° C.). Reaction of 3-phenylpropionitrile to Give N'-hydroxy-3-phenylpropanimidamide Reaction of Benzyl Cyanide to Give N'-hydroxy-2-phenylacetimidamide 0297 0301

a. NH2OH EtOH Reflux NH2OH He 2 EtOH Reflux 70.59% He 81.9% 3-phenylpropionitrile benzyl cyanide Chemical Formula: CoHoN Chemical Formula: C8HN Molecular Weight: 131.17 Molecular Weight: 117.15 US 2009/O 137191 A1 May 28, 2009 41

-continued -continued NOH

NOH NH2 N'-hydroxy-2-phenylacetimidamide NH Chemical Formula: C8H10N2O Molecular Weight: 150.18 0302 Benzyl cyanide (1 g, 8.5 mmol) and hydroxylamine NOH (50% in water, 1.04 cm, 17 mmol. 2 eq) in EtOH (8.5 cm) isoindoline-1,3-dione dioxime were reacted in the same manner as in the preparation of Chemical Formula: C3H7NO2 N'-hydroxybenzimidamide (EtOAc used in extraction) to Molecular Weight: 177.16 give the product N'-hydroxy-2-phenylacetimidamide (1.04g, 81.9%) as a pale yellow solid, mp 63.5-64.5° C. (lit 57-59° C.).

Reaction of Anthranilonitrile to Give 0306 Phthalonitrile (1 g, 7.8 mmol) and hydroxylamine 2-amino-N'-hydroxybenzimidamide (1.9 cm, 31.2 mmol. 4 eq) in EtOH (25 cm) were stirred under reflux for 60 hours, after which the volatiles were 0303 removed under reduced pressure and the residue was washed with EtOH (2 cm) and CHCl (2 cm) to give the cyclised HN NHOH EtOH Reflux product isoindoline-1,3-dione dioxime (1.18 g. 85.4%) as a He pale yellow solid, mp. 272-275° C. (decomposed) (lit 271 90.3% C.). 22 anthranilonitrile Reaction of 2-cyanophenylacetonitrile to Give the Chemical Formula: C7H6N2 Cyclised Product 3-aminoisoquinolin-1 (4H)-one Molecular Weight: 118.14 Oxime or 3-(hydroxyamino)-3,4-dihydroisoquinolin NOH 1-amine

NH2 0307

NH2 2 2-amino-N'-hydroxybenzimidamide N BOR Chemical Formula: C-HoN3O a 85.99% Molecular Weight: 151.17 2 cyanophenylacetonitrile 0304 Anthranilonitrile (1 g, 8.5 mmol) and hydroxy Chemical Formula: CoHN lamine (50% in water, 0.57 cm, 9.3 mmol, 1.1 eq) in EtOH Molecular Weight: 142.16 (42.5 cm) were stirred under reflux for 24 hours, after which the volatiles were removed under reduced pressure and resi due was partitioned between water (5 cm) and CHCl (100 NOH NOH cm). The organic phase was evaporated to dryness in the rotary evaporator followed by high vacuum line to give the N SN product 2-amino-N'-hydroxybenzimidamide (1.16 g. 90.3%) O as a solid, mp 85-86°C. NH2 NHOH 3-(hydroxyamino)-3,4- Reaction of Phthalonitrile to Give 3-aminoisoquinolin-1 (4H)-one oxime dihydroisoquinolin-1-amine isolindoline-1,3-dione Dioxime Chemical Formula: CoH11N3O 0305 Molecular Weight: 177.20 2 N 2 NH2OH EtOH Reflux He 0308 A solution of 2-cyanophenylacetonitrile (1 g, 7 85.4% mmol) and hydroxylamine (1.7 cm, 28.1 mmol. 4 eq) in S EtOH (25 cm) were stirred under reflux for 60 hours, after n N which the volatiles were removed under reduced pressure. phthalonitrile The residue was recrystallised from EtOH-water (1:4, 15 Chemical Formula: C3H4N2 cm) to give the cyclised product 3-aminoisoquinolin-1 (4H)- Molecular Weight: 128.13 one oxime or 3-(hydroxyamino)-3,4-dihydroisoquinolin-1- amine (1.15g. 85.9%) as a solid, mp 92.5-94.5°C. US 2009/O 137191 A1 May 28, 2009 42

Reaction of Cinnamonitrile to Give Reaction of 4-chlorobenzonitrile to Give the Product N'-hydroxycinnamimidamide 4-chloro-N'-hydroxybenzimidamide 0309 0313 N 2N2 a N NH2OH EtOH Reflux He 70% NHOH EtOH Reflux cinnamonitrile Chemical Formula: CoH-N C 76% Molecular Weight: 129.16 4-chlorobenzonitrile NOH Chemical Formula: CHCIN Molecular Weight: 137.57 NOH N NH2

NH2 N'-hydroxycinnamimidamide Chemical Formula: CoHoNO C Molecular Weight: 162.19 4-chloro-N'-hydroxybenzimidamide Chemical Formula: CHCINO 0310 Cinnamronitrile (1 g, 7.74 mmol) and hydroxy Molecular Weight: 170.60 lamine (0.71 cm, 11.6 mmol. 1.5 eq) were reacted in EtOH (7 cm) as described for AO6 (two chromatographic separations 0314. A solution of 4-chlorobenzonitrile (1 g, 7.23 mmol) were needed in purification) to give N'-hydroxycinnamimi and hydroxylamine (50% in water, 0.67 cm, 10.9 mmol. 1.5 damide (0.88g, 70%) as a light orange solid, mp 85-87°C. (lit eq) in EtOH (12.5 cm) was stirred under reflux for 48 hours. 939 C). The solvent was removed under reduced pressure and the residue was washed with CH2Cl (10 cm) to give the product Reaction of 5-cyanophthalide to Give the Product 4-chloro-N'-hydroxybenzimidamide (0.94g, 76%) as a white N'-hydroxy-1-oxo-1,3-dihydroisobenzofuran-5-car solid, mp 133-135° C. boximidamide Reaction of 3-(phenylamino)propanenitrile to Give 0311 N'-hydroxy-3-(phenylamino)propanimidamide O 0315 NHOH O EtOHRT 60 hours Reflux 3 hours 1.5 eq NH2OH 22 86.2% Y1s 'ign 5 cyanophthalide - - 3-(phenylamino)propanenitrile Chemical Formula: CoH5NO2 Molecular Weight: 159.14 Chemical Formula: CoHoN2 O Molecular Weight: 146.19 H

O HN N-- N'-hydroxy-3-(phenylamino)propanimidamide NOH N'-hydroxy-1-oxo-1,3-dihydroisobenzofuran-5- Chemical Formula: CoH1N3O carboximidamide Molecular Weight: 179.22 Chemical Formula: CoH8N2O3 Molecular Weight: 192.17 0316 A solution of 3-(phenylamino)propanenitrile (1 g, 6.84 mmol) and NH-OH (50% in water, 0.63 cm, 10.26 0312. A solution of 5-cyanophthalide (1 g, 6.28 mmol) mmol) in EtOH (10 cm) were heated to reflux for 24 hours, and hydroxylamine (50% in water, 0.77 cm, 0.83 g, 12.6 after which the solvent and excess hydroxylamine were mmol. 2 eq) in EtOH (50 cm) was stirred at room tempera removed by rotary evaporator. To the residue was added water ture for 60 hours and then under reflux for 3 hours. After (10 cm) and the mixture was extracted with CHCl (100 cooling to room temperature and standing overnight, the Solid cm). The extracts were concentrated under reduced pressure formed was collected by filtration and dried in high vacuum and the residue was purified by column chromatography line to give the product N'-hydroxy-1-oxo-1,3-dihy (silica, EtO) to give N'-hydroxy-3-(phenylamino)propan droisobenzofuran-5-carboximidamide (1.04 g. 86.2%) as a imidamide (0.77g, 62.8%) as a white solid, mp. 93-95°C. (lit white solid, mp 223-226°C. (decomposed). mp 91-91.5° C). US 2009/O 137191 A1 May 28, 2009 43

Reaction of 4-pyridinecarbonitrile to Give the temperature. The reaction was neutralized by addition of Product N'-hydroxyisonicotinimidamide acetic acid (2.5 ml) and allowed to stand overnight at room temperature. The Solution was evaporated under reduced 0317 pressure to give the product as a clear, viscous oil (228.23 g). N 0322 Tetramethylammonium hydroxide can be used to 2- NH2OH substitute lithium hydroxide. N EtOH Reflux 0323 Elemental analysis: Found: 49.16% C; 10.76% N. - a - The IR spectrum showed a nitrile peak at 2252 cm indica NN-2 tive of the nitrile group. 4-pyridinecarbonitrile 0324 3. A 1000 ml 3-necked round-bottomed flask equipped with an mechanical stirrer, reflux condenser, nitro Chemical Formula: CHN gen purge, dropping funnel, and thermometer was charged Molecular Weight: 104.11 NOH with water (18.5 ml) and lithium hydroxide monohydrate (1.75 g) and the first portion of sorbitol (44.8 g). The solution was heated to 42°C. with a water bath with stirring and the N NH2 second portion of sorbitol (39.2 g) was added directly to the N reaction flask. The first portion of acrylonitrile (100 ml) was 2 then added to the reaction drop-wise via a 500 ml addition N'-hydroxyisonicotinimidamide funnel over a period of 2 hr. The reaction was slightly exo Chemical Formula: CHNO thermic, raising the temperature to 51°C. The final portion of Molecular Weight:37.2 sorbitol (32 g) was added for a total of 0.638 moles followed by a final portion of acrylonitrile (190 ml) over 2.5 hr keeping 0318 Pyridinecarbonitrile (1 g, 9.6 mmol) and hydroxy- the reaction temperature below 60° C. (A total of 4.41 moles lamine (50% in water, 0.88 cm, 14.4 mmol. 1.5 eq) in EtOH of acrylonitrile was used.) The reaction solution was then (10 cm) were stirred under reflux for 18 hours, after which heated to 50–55°C. for 4 hr. The solution was then allowed to the volatiles were removed under reduced pressure and the cool to room temperature and the reaction was neutralized by residue was recrystallised from EtOH to give the product addition of acetic acid (2.5 ml). Removal of the solvent under N'-hydroxyisonicotinimidamide (1.01 g, 76.7%) as a solid, reduced pressure gave the product as a clear, Viscous oil (324 mp 203-205° C. g). 0325 Tetramethylammonium hydroxide can be used to Cyanoethylation of Sorbitol to Produce Multi substitute lithium hydroxide. Substituted-(2-amidoximo)ethoxy)hexane 10326) The IR spectrum showed a nitrile peak at 2251 cm', indicative of the nitrile group. 0319. A one-liter three-necked round-bottomed flask was equipped with a mechanical stirrer, reflux condenser, ther- Preparation of (1,2,3,4,5,6-(hexa-(2-amidoximo) mometer, and 100 mladdition funnel under nitrogen. Lithium ethoxy)hexane. Hexitol hydroxide monohydrate (1.0 g, 23.8 mmol, 0.036 eq) dis solved in water (18.5 ml) was added to the flask, followed by 0327 the addition of sorbitol (120 g. 659 mmol) in one portion, and then water (100 ml). The solution was warmed to 42°C. in a OH water bath and treated with acrylonitrile (43.6 ml, 659 mmol. HN NH and 1.0 eq) drop-wise via the addition funnel for a period of 2 OH hr, while maintaining the temperature at 42° C. After the addition was complete, the solution was warmed to 50–55°C. HN NH for 4 hr and then allowed to cool to room temperature. The reaction was neutralized by addition of acetic acid (2.5 ml) O and allowed to stand overnight at room temperature. The NH Solution was evaporated under reduced pressure to give the productd as a clear,lear, viviscous oil1 (155.4 g). O O N1 OH 0320 Tetramethylammonium hydroxide can be used to H substitute lithium hydroxide. Elemental analysis: Found, O 40.95% C: 3.85% N. The IR spectrum showed a nitrile peak HO1 r- O at 2255 cm indicative of the nitrile group. 0321 2. A one liter three-neck round-bottomed flask was NH O equipped with a mechanical stirrer, reflux condenser, ther mometer, and 100 mladdition funnel under nitrogen. Lithium hydroxide (1.0 g, 23.8 mmol, 0.036 eq) dissolved in water HN NH (18.5 ml) was added to the flask, followed by the addition of the first portion of sorbitol (60.0g,329 mmol) and then water 21 OH (50 ml). The solution was warmed to 42°C. in a water bath NH2 and treated with acrylonitrile (42 ml, 633 mmol. 0.96 eq) OH drop-wise via the addition funnel for a period of 1 hr while maintaining the temperature at 42°C. The second portion of 0328. A 1000 mL three-necked round-bottomed flask was sorbitol (60g,329 mmol) and water (50 ml) were added to the equipped with a mechanical stirrer, condenser, and addition flask. The second portion of the acrylonitrile (89.1 ml, 1.344 funnel under nitrogen. CE-Sorb6 (14.77 g, 29.5 mmol) and mol. 2.04 eq) was added in a drop-wise fashion over a period water (200 mL) were added to the flask and stirred. In a of 1 hr. After the addition was complete, the solution was separate 500 mL Erlenmeyer flask, hydroxylamine hydro warmed to 50–55°C. for 4 hr and then allowed to cool to room chloride (11.47 g. 165 mmol. 5.6 eq) was dissolved in water US 2009/O 137191 A1 May 28, 2009 44

(178 mL) and then treated with ammonium hydroxide (22.1 0333 Amidoxime chelating agents can substitute for mL of 28% solution, 177 mmol, 6.0 eq) for a total volume of organic carboxylic acids, organic carboxylic ammonium salt 200 mL. The hydroxylamine solution was then added in one oran amine carboxylates being used in cleaning formulations portion directly to the mixture in the round-bottomed flaskat and processes. room temperature. The stirred mixture was heated at 80° C. for 2 hr., pH=8-9, and then allowed to cool to room tempera ture. 0329. Hydroxylamine freebase (50%) aqueous solution can be used to replace the Solution by blending hydroxy lamine chloride and ammonium hydroxide. 0330. The IR spectrum indicated loss of most of the nitrile Amidoxime group peak at 2250 cm and the appearance of a new peak at 1660 2+ cm', indicative of the amidoxime or hydroxamic acid. N-OH HN 0331 Preparation and analysis of polyamidoxime is / 2+ essentially that described in U.S. Pat. No. 3,345.344, which is -R --M-O-- / R incorporated herein by reference in its entirety. In that process 80 pans by weight of polyacrylonitrile of molecular weight of NH2 HO-N about 130,000 in the form of very fine powder (-300 mesh) Two amidoxime groups capture one M. was suspended in a solution of 300 parts by weight of MO"; Ion of metal oxide hydroxylammonium sulfate, 140 parts by weight of sodium hydroxide and 2500 parts by weight of deionized water. The 0334 With reference to the present invention, as herein pH of the solution was 7.6. The mixture was heated to 90° C. after more fully described, the claimed compounds can be and held at that temperature for 12 hours, all of the time under applied to applications in the State of the art forming a back vigorous agitation. It was cooled to 35° C. and the product ground to the present invention includes the following U.S. filtered off and washed repeatedly with deionized water. The patents, the disclosures of which hereby are incorporated resin remained insoluble throughout the reaction, but was herein, in their respective entireties. softened somewhat by the chemical and heat. This caused it to grow from a very fine powder to small clusters of 10 to 20 EXAMPLES OF EMBODIMENTS OF THE mesh. The product weighed 130 grams. The yield is always PRESENT INVENTION considerably more than theoretical because of fumly occluded salt. The product is essentially a poly-amidoxime 0335. Note that all patents cited in the examples are incor having the following reoccurring unit porated herein by reference regarding the proportions, amounts and Support for the compositions and methods described in the examples. OH M Example 1 s NN -NH2 0336. The patents referred to in the examples herein and elsewhere in the description and Summary are each hereby incorporated by reference in their entirety. One embodiment involves a method for removing organometallic and organo Poly Amidoxime silicate residues remaining after a dry etch process from semi conductor Substrates. The Substrate is exposed to a condition 0332 The Following Depicts Metalcomplexing. Using ing solution of phosphoric acid, hydrofluoric acid, and a Amidoxime Compounds. carboxylic acid, such as acetic acid, which removes the remaining dry etch residues while minimizing removal of material from desired substrate features. The approximate -Cl 2+ proportions of the conditioning solution are typically 80 to 95 O N percent by weight amidoxime compound and acetic acids 1 to \ / N 15 percent by weight phosphoric acid, and 0.01 to 5.0 percent N-g C-C M Sir by weight hydrofluoric acid. See, U.S. Pat. No. 7.261,835. b- / \,-6 0337. Another embodiment includes from about 0.5% to C O-C N about 24% by weight of complexing agents with amidoxime \, ( O N functional groups with an method having a pH between about s n1n 1 s y 1.5 and about 6 and comprising: at least about 75% by weight O-C C N-O ofa mixture of water and an organic solvent; from about 0.5% / No1 NC H to about 10% by weight phosphoric acid; optionally one or C-C O Y. more other acid compounds; optionally one or more fluoride N / MO containing compounds; and at least one alkaline compound / \ C 2N selected from the group consisting of a trialkylammonium Cu°N -NH H N1 YNH hydroxide and/or a tetraalkylammonium hydroxide; a O n-N W 2 ( \ O-Cu hydroxylamine derivative; and one or more alkanolamines. NN(2.| Example 2 0338 Table 1 lists other embodiments of the present invention where the formulations additionally include from US 2009/O 137191 A1 May 28, 2009

about 0.5% to about 24% by weight of compounds with tive to Substrates etched using conventional wet etching tech amidoxime functional groups in methods. Such formulations niques. See U.S. Pat. No. 7,160,815. may contain additional components consistent with this application Such as Surfactants, alkaline components, and Example 5 organic solvents. 0341. In another embodiment, the invention uses a super critical fluid (SFC)-based composition, comprising at least TABLE 1. one co-solvent, at least one etchant species, and optionally at Examples of Useful Formulations with Chelating Agents for least one Surfactant, wherein said at least one etchant com Use with Anidoxine Compounds of the Present Invention prises an alkyl phosphonium difluoride and wherein said SFC-based composition is useful for etching sacrificial sili HPO (wt %) Other Acid wt % con-containing layers, said compositions containing from 2 methanesulfonic 1.47 about 0.01% to about 50% by weight, preferably about 0.5% 2 pyrophosphoric acid (PPA) 3.0 to about 24%, of compounds with one or more chelating 2 Fluorosicilic O.24 group, at least one being an amidoxime functional groups. In 2 Oxalic 2.0 4 Oxalic 2.0 another embodiment the Surfactant comprises at least one 6 Glycolic 1.O nonionic oranionic Surfactant, or a combination thereof, and 3 Oxalic 2.0 the Surfactant is preferably a nonionic Surfactant selected 3 Lactic 2.0 from the group consisting of fluoroalkyl Surfactants, polyeth 4 Lactic 2.0 3 Citric 2.0 ylene glycols, polypropylene glycols, polyethylene ethers, 4 Citric 2.0 polypropylene glycol ethers, carboxylic acid salts, dodecyl 3 PPA O.S benzenesulfonic acid; dodecylbeuZenesulfonic salts, poly 3 Glycolic 2.0 aciylate polymers, dinonylphenyl polyoxyethylene, silicone 6 Glycolic 2.0 3 PPA 2.0 polymers, modified silicone polymers, acetylenic diols, 3 PPA 4.0 modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations comprising at least one of the foregoing. Example 3 Example 6 0339. Another embodiment is a composition for cleaning 0342 Another embodiment of the present invention is a or etching a semiconductor Substrate and method for using composition for use in semiconductor processing wherein the the same. The compositions include from about 0.01% to composition comprises water, phosphoric acid, and an about 50%, more preferably about 0.5% to about 24% by organic acid; wherein the organic acid is ascorbic acid or is an weight of compounds with amidoxime functional groups may organic acid having two or more carboxylic acid groups (e.g., include a fluorine-containing compound as an active agent citric acid). The said compositions containing from about Such as a quaternary ammonium fluoride, a quaternary phos 0.01% to about 50% by weight, preferably about 0.5% to phonium fluoride, Sulfonium fluoride, more generally an about 24%, of compounds with one or more chelating groups/ -onium fluoride or “multi quaternary-onium fluoride that agents, at least one being an amidoxime functional group/ includes two or more quaternary-onium groups linked compound and Such compounds can be in addition to, part of together by one or more carbon-containing groups. The com or in Substitution of the organic acid. The water can be present position may further include a pH adjusting acid such as a in about 40 wt.% to about 85 wt.% of the composition, the mineral acid, carboxylic acid, dicarboxylic acid, Sulfonic phosphoric acid can be present in about 0.01 wt.% to about 10 acid, or combination thereof to give a pH of about 2 to 9. The wt.% of the composition, and the organic acid can be present composition can be anhydrous and may further include an in about 10 wt.% to about 60 wt.% of the composition. The organic solvent such as an alcohol, amide, ether, or combina composition can be used for cleaning various Surfaces. Such tion thereof. The composition is useful for obtaining as, for example, patterned metal layers and vias by exposing improved etch rate, etch selectivity, etch uniformity and the surfaces to the composition. See U.S. Pat. No. 7,135,444. cleaning criteria on a variety of Substrates. Example 7 Example 4 0343. The present invention can also be used with a pol ishing liquid composition for polishing a surface, with one 0340. In another embodiment, the present invention can be embodiment comprising an insulating layer and a metal layer, used with methods and compositions for removing silicon the polishing liquid composition comprising a compound containing sacrificial layers from Micro Electro Mechanical having six or more carbonatoms and a structure in which each System (MEMS) and other semiconductor substrates having of two or more adjacent carbonatoms has a hydroxyl group in Such sacrificial layers is described. The etching compositions a molecule, and water, wherein the compound having a struc include a Supercritical fluid (SCF), an etchant species, a co ture in which each of two or more adjacent carbon atoms has Solvent, chelating agent containing at least one amidoxime a hydroxyl group in a molecule is represented by the formula group, and optionally a surfactant. Such etching composi (I): R' X-(CH), ICH(OH)1, CH-OH (I) wherein R' tions overcome the intrinsic deficiency of SCFs as cleaning is a hydrocarbon group having 1 to 12 carbon atoms, X is a reagents, viz., the non-polar character of SCFs and their asso group represented by (CH), wherein m is 1, atom, ciated inability to solubilize polar species that must be Sulfur atom, COO group, OCO group, a group represented by removed from the semiconductor substrate. The resultant NR or O(RO)P(O)O, wherein R is hydrogen atom or a etched substrates experience lower incidents of stiction rela hydrocarbon group having 1 to 24 carbon atoms; q is 0 or 1; US 2009/O 137191 A1 May 28, 2009 46 and n is an integer of 1 to 4, further comprising from about one hydroxyl ammonium compound; (c) at least one basic 0.01% to about 50% by weight, preferably about 0.5% to compound, preferably selected from the group consisting of about 24%, of compounds with one or more chelating groupS/ amines and quaternary ammonium hydroxides; (d) at least agents, at least one being an amidoxime functional group/ one organic carboxylic acid; (e) from about 0.01% to about compound and Such compounds can be in addition to, part of 50% by weight, preferably about 0.5% to about 24%, of or in Substitution of an organic acid. Some embodiments compounds with one or more chelating groups/agents, at least include an abrasive. See U.S. Pat. No. 7,118,685. one being an amidoxime functional group/compound and Such compounds can be in addition to, part of, or in Substitu Example 8 tion of the organic acid; and (f) optionally, a polyhydric 0344 Another embodiment of the present invention is a compound. The pH of the composition is preferably between composition for use in semiconductor processing wherein the about 2 to about 6. See U.S. Pat. No. 7,001,874, which is composition comprises water, phosphoric acid, and an incorporated herein by reference. organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., Example 12 citric acid), further comprising from about 0.01% to about 0348. The present invention may also be used with a clean 50% by weight, preferably about 0.5% to about 24%, of ing solution wherein the cleaning Solution also contains one compounds with one or more chelating groups/agents, at least of polyvalent carboxylic acid and its salt, Such as where the one being an amidoxime functional group/compound and polyvalent carboxylic acid contains at least one selected from Such compounds can be in addition to, part of, or in Substitu the group consisting of oxalic acid, citric acid, malic acid, tion of the organic acid. The water can be present in about 40 maleic acid. Succinic acid, tartaric acid, and malonic acid, wt.% to about 85 wt.% of the composition, the phosphoric wherein the cleaning solution contains from about 0.01% to acid can be present in about 0.01 wt.% to about 10 wt.% of about 50% by weight, preferably about 0.5% to about 24%, of the composition, and the organic acid can be present in about compounds with one or more chelating groups/agents, at least 10 wt.% to about 60 wt.% of the composition. The compo one being an amidoxime functional group/compound and sition can be used for cleaning various Surfaces, such as, for Such compounds can be in addition to, part of, or in Substitu example, patterned metal layers and vias by exposing the tion of the organic acid, which can be used in addition to, as surfaces to the composition. Sec U.S. Pat. Nos. 7,087,561, part of, or in substitution of the polyvalent carboxylic acid. In 7,067,466, and 7,029,588. another embodiment, the cleaning Solution further contains a polyamino carboxylic acid and its salt. See U.S. Pat. No. Example 9 6,998,352. 0345. In another embodiment of the present invention, from about 0.01% to about 50% by weight, preferably about Example 13 0.5% to about 24%, of compounds with one or more chelating 0349. A further embodiment of the present invention is to groups/agents, at least one being an amidoxime functional a method of chemically-mechanically polishing a Substrate, group/compound can be used with an oxidizing solution and which method comprises: (i) contacting a Substrate compris process for the in situ oxidation of contaminants, including ing at least one layer of ruthenium and at least one layer of hydrocarbon, organic, bacterial, phosphonic acid, and other copper with a polishing pad and a chemical-mechanical pol contaminants, the contaminants being found in various Sur ishing composition comprising: (a) an abrasive consisting of faces and media, including soil, sludge, and water. In a pre ..alpha.-alumina treated with a negatively-charged polymer or ferred embodiment, the solution further includes a peroxygen copolymer, (b) hydrogen peroxide, (c) from about 0.01% to compound, such as hydrogen peroxide, in solution with a about 50% by weight, preferably about 0.5% to about 24% of pre-mixed solution of a carboxylic acid and a halogen salt, compounds with one or more chelating groups/agents, at least Such as glycolic acid and Sodium bromide, respectively. one being an amidoxime functional group/compound; (d) at least one heterocyclic compound, wherein the at least one Example 10 heterocyclic compound comprises at least one nitrogenatom, 0346. In another embodiment of the present invention (e) a phosphonic acid, and (f) water, (ii) moving the polishing from about 0.01% to about 5% by weight, preferably about pad relative to the Substrate, and (iii) abrading at least a 0.01 to about 0.1% of compounds with one or more chelating portion of the substrate to polish the substrate, wherein the pH groups/agents, at least one being an amidoxime functional of the water and any components dissolved or Suspended group/compound can be used with a chemical mechanical therein is about 6 to about 12, wherein the at least one layer of polishing slurry that is free of heteropolyacid and consisting ruthenium and at least one layer of copper are in electrical essentially of about 3 to about 5 percent abrasive, about 3 to contact and are in contact with the polishing composition, about 5 percent hydrogen peroxide, about 0.05 to about 0.1 wherein the difference between the open circuit potential of percent citric acid, about 0.05 to about 0.5 percent iminodi copper and the open circuit potential of ruthenium in the acetic acid, about 0.005 to about 0.02 percent ammonia, and water and any components dissolved or Suspended therein is about 85-90 percent water, wherein the abrasive consists about 50 mV or less, and wherein a selectivity for polishing essentially of polymethylmethacrylate. See U.S. Pat. No. copper as compared to ruthenium is about 2 or less. 7,029,373. Example 14 Example 11 0350 Another embodiment of the present invention is to a 0347 In another embodiment, the present invention semiconductor wafer cleaning formulation, including 1-21% includes a non-corrosive cleaning composition for removing wt. fluoride source, 20-55% wt. organic amine(s), 0.5-40% residues from a Substrate comprising: (a) water; (b) at least wt. nitrogenous component, e.g., a nitrogen-containing car US 2009/O 137191 A1 May 28, 2009 47 boxylic acid or an imine, 23-50% wt. water, and 0-21% wt. of The vaporized complex of the insulating Substance is dis compounds with one or more chelating groups/agents, at least charged out of the treatment chamber by the evacuation. See one being an amidoxime functional group/compound. The U.S. Pat. No. 6,893,964. formulations are useful to remove residue from wafers fol lowing a resist plasmaashing step, such as inorganic residue Example 18 from semiconductor wafers containing delicate copper inter 0354. The present invention includes a method for rinsing connecting structures. See U.S. Pat. No. 6,967,169. metallized semiconductor substrates following treatment of the substrates with an etch residue removal chemistry, the Example 15 method comprising the steps of providing at least one met 0351. The present invention also includes a method for allized semiconductor Substrate, the Substrate having etch chemical mechanical polishing copper, barter material and residue removal chemistry thereon, wherein the etch residue dielectric material, the method comprises the steps of: a) removal chemistry includes N-methylpyrrolidinone; rinsing providing a first chemical mechanical polishing slurry com the etch residue removal chemistry from the substrate and prising (i) 1-10 wt.% silica particles, (ii) 1-12 wt.% oxidizing minimizing metal corrosion of the Substrate by rinsing the agent, and (iii) 0-2 wt.% corrosion inhibitor and cleaning Substrate with an aqueous medium comprising an anti-corro agent, wherein said first slurry has a higher removal rate on sive agent including an organic acid selected from the group copper relative to a lower removal rate on said barrier mate consisting of mono- and polycarboxylic acids in an amount rial, b) chemical mechanical polishing a semiconductor wafer effective to minimize metal corrosion; removing the aqueous Surface with said first slurry, c) providing a second chemical medium from the process vessel; and introducing a drying mechanical polishing slurry comprising (i) 1-10 wt.% silica vapor into the process vessel which the Substrate remains particles, (ii) 0.1-1.5 wt.% oxidizing agent, and (iii) 0.1-2 wt. substantially stationary within the process vessel, wherein the % carboxylic acid, having a pH in a range from about 2 to remover includes from about 0.01% to about 50% by weight, about 5, wherein the amount of (ii) is not more than the preferably about 0.5% to about 24%, of compounds with one amount of (iii), and wherein said second slurry has a higher or more chelating groups/agents, at least one being an ami removal rate on said barrier material relative to a lower doXime functional group/compound, which can be in addi removal rate on said dielectric material and an intermediate tion to, part of, or in Substitution of the organic acid. The removal rate on copper, and d) chemical mechanical polish composition may further include acetic acid. See U.S. Pat. ing said semiconductor wafer Surface with said second slurry, No. 6,878,213. wherein either or both slurries contains from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of Example 19 compounds with one or more chelating groups/agents, at least 0355 The present invention may also be used with the one being an amidoxime functional group/compound. See compositions of U.S. Pat. No. 6,849.200 wherein the imino U.S. Pat. No. 6,936,542. diacetic acid component is Supplemented by or Substituted with compounds with one or more chelating groups/agents, at Example 16 least one being an amidoxime functional group/compound. 0352. The present invention further includes a method for Example 20 cleaning a surface of a Substrate, which comprises at least the following steps (1) and (2), wherein the step (2) is carried out 0356. The present invention also includes a method of after carrying out the step (1). Step (1): A cleaning step of cleaning a Surface of a copper-containing material by expos cleaning the Surface of the Substrate with an alkaline cleaning ing the Surface to an acidic mixture comprisingNO3-, F , agent containing a complexing agent, and Step (2): A clean and one or more compounds with one or more chelating ing step employing a cleaning agent having a hydrofluoric groups/agents, at least one being an amidoxime functional acid content C (wt %) of from 0.03 to 3 wt %, the complexing group/compound. The mixture may also include one or more agent is from about 0.01% to about 50% by weight, preferably organic acids to remove at least Some of the particles. See about 0.5% to about 24%, of compounds with one or more U.S. Pat. No. 6,835,668. chelating groups/agents, at least one being an amidoxime functional group/compound. See U.S. Pat. No. 6,896,744. Example 21 0357 The present invention also includes a cleaning com Example 17 position comprising at least one of fluoride salts and hydro gendifluoride Salts; an organic solvent having a hetero atom 0353 Another embodiment of the present invention or atoms; optionally one or more surfactants in an amount of includes a cleaning gas that is obtained by vaporizing a car from 0.0001 to 10.0%; water and from about 0.01% to about boxylic acid and/or a compound with one or more chelating 50% by weight, preferably about 0.5% to about 24%, of groups/agents, at least one being an amidoxime functional compounds with one or more chelating groups/agents, at least group/compound which is Supplied into a treatment chamber having an insulating Substance adhering to the inside thereof, one being an amidoxime functional group/compound. See and the inside of the treatment chamber is evacuated. When U.S. Pat. No. 6,831,048. the cleaning gas Supplied into the treatment chamber comes Example 22 in contact with the insulating Substance adhering to an inside wall and a susceptor in the treatment chamber, the insulating 0358. The present invention further includes a glycol-free Substance is turned into a complex, so that the complex of the composition for cleaning a semiconductor Substrate, the com insulating Substance is formed. The complex of the insulating position consisting essentially of a. an acidic buffer Solution Substance is easily vaporized due to its high . having an acid selected from a carboxylic acid and a polyba US 2009/O 137191 A1 May 28, 2009 48 sic acid and an ammonium salt of the acid in a molar ratio of million) of an inhibitor, (e) up to 3.0% by weight of a com acid to ammonium salt ranging from 10:1 to 1:11 and wherein plexing agent, such as, malic acid, and (f) 0.1 to 5.0% by the acidic buffer solution is present in an amount sufficient to weight of a surfactant, from about 0.01% to about 50% by maintain a pH of the composition from about 3 to about 6, b. weight, preferably about 0.5% to about 24%, of compounds from 30% by weight to 90% by weight of an organic polar with one or more chelating groups/agents, at least one being solvent that is miscible in all proportion in water, c. from 0.1% an amidoxime functional group/compound. See U.S. Pat. No. by weight to 20% by weight of fluoride, d. from 0.5% by 6,679,928. weight to 40% by weight of water, and e. optionally up to 15% by weight of a corrosion inhibitor. The composition further Example 26 contains from about 0.01% to about 50% by weight, prefer ably about 0.5% to about 24%, of compounds with one or 0362 Particulate and metalion contamination is removed more chelating groups/agents, at least one being an ami from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing doXime functional group/compound or Such compounds may aqueous composition comprising a fluoride containing com be used in place of the corrosion inhibitor. See U.S. Pat. No. pound; a dicarboxylic acid and/or salt thereof, and a hydroxy 6,828,289. carboxylic acid and/or salt thereof, the composition contains Example 23 from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating 0359 The present invention further includes compositions groups/agents, at least one being an amidoxime functional containing AEEA and or AEEA derivatives which can be group/compound. See U.S. Pat. No. 6,673,757. present in an amount ranging from about 1% to about 99%, though in most instances the amount ranges from about 10% Example 27 to about 85%. For each AEEA range given for various com positions described herein, there is a “high-AEEA' embodi 0363 A semiconductor wafer cleaning formulation, ment where the amount of AEEA is in the upper half of the including 2-98% wt. organic amine. 0-50% wt. water, 0.1- range, and a “low-AEEA' embodiment where AEEA is 60% wt. 1,3-dicarbonyl compound chelating agent, 0-25% present in an amount bounded by the lower half of the range. wt. of additional different chelating agent(s), 0.540% wt. Generally, the higher AEEA embodiments exhibit lower etch nitrogen-containing carboxylic acid or an imine, and 2-98% rates than the low AEEA embodiments for selected Sub wt polar organic solvent. The formulations are useful to strates, the embodiments further include from about 0.01% to remove residue from wafers following a resist plasmaashing about 50% by weight, preferably about 0.5% to about 24%, of step, such as inorganic residue from semiconductor wafers compounds with one or more chelating groups/agents, at least containing delicate copper interconnecting structures. one being an amidoxime functional group/compound. In most embodiments, these compositions also include other Example 28 compounds, particularly polar organic solvents, water, 0364 Another embodiment of the present invention alkanolamines, hydroxylamines, additional chelating agents, relates to a method useful in removing etch residue from and/or corrosion inhibitors. See U.S. Pat. No. 6,825,156. etcher equipment parts. The compositions used are aqueous, acidic compositions containing fluoride and polar, organic Example 24 Solvents. The compositions are free of glycols and hydroxyl 0360. A composition for the stripping of photoresist and amine and have a low surface tension and Viscosity and fur the cleaning of residues from Substrates, and for silicon oxide ther include from about 0.01% to about 50% by weight, etch, comprising from about 0.01 percent by weight to about preferably about 0.5% to about 24%, of compounds with one 10 percent by weight of one or more fluoride compounds, or more chelating groups/agents, at least one being an ami from about 10 percent by weight to about 95% by weight of a doxime functional group/compound. See U.S. Pat. No. 6,656, sulfoxide or sulfone solvent, and from about 20 percent by 894. weight to about 50 percent by weight water, further including from about 0.01% to about 50% by weight, preferably about Example 29 0.5% to about 24%, of compounds with one or more chelating 0365. The invention includes a method of cleaning a sur groups/agents, at least one being an amidoxime functional face of a copper-containing material by exposing the Surface group/compound. The composition may contain corrosion to an acidic mixture comprising NO , F - and from about inhibitors, chelating agents, co-solvents, basic amine com 0.01% to about 50% by weight, preferably about 0.5% to pounds, surfactants, acids and bases. See U.S. Pat. No. 6,777. about 24%, of compounds with one or more chelating groups/ 380. agents, at least one being an amidoxime functional group/ Example 25 compound and/or one or more organic acid anions having carboxylate groups. The invention also includes an improved 0361. A polishing composition for polishing a semicon semiconductor processing method of forming an opening to a ductor substrate has a pH of under 5.0 and comprises (a) a copper-containing material. A mass is formed over a copper carboxylic acid polymer comprising polymerized unsatur containing material within an opening in a Substrate. The ated carboxylic acid monomers having a number average mass contains at least one of an oxide barrier material and a molecular weight of about 20,000 to 1,500,000 or blends of dielectric material. A second opening is etched through the high and low number average molecular weight polymers of mass into the copper-containing material to form a base Sur polymerized unsaturated carboxylic acid monomers, (b) 1 to face of the copper-containing material that is at least partially 15% by weight of an oxidizing agent, (c) up to 3.0% by covered by particles comprising at least one of a copperoxide, weight of abrasive particles, (d) 50-5,000 ppm (parts per a silicon oxide or a copper fluoride. The base surface is US 2009/O 137191 A1 May 28, 2009 49 cleaned with a solution comprising nitric acid, hydrofluoric phoric acid or hydrochloric acid. The Surface passivation acid and one or more organic acids to remove at least some of agent is one or more compounds with one or more chelating the particles. groups/agents, at least one being an amidoxime functional 0366 One or more organic acids may be used in the com group/compound, and may optionally include a carboxylic position of this example. An exemplary composition includes acid such as citric acid. Exposing the Substrate to the condi an acetic acid solution (99.8%, by weight in water), an HF tioning solution removes the remaining dry etch residues solution (49%, by weight in water), an HNO solution (70. while minimizing removal of material from desired substrate 4%, by weight in water), and H2O the resulting cleaning features. See U.S. Pat. No. 6,562,726. mixture being: from about 3% to about 20% compounds with one or more chelating groups/agents, at least one being an Example 34 amidoxime functional group/compound, by weight; from 0371. Another embodiment of the present invention is a about 0.1% to about 2.0% HNO by weight; and from about stripping and cleaning composition for the removal of residue 0.05% to about 3.0% HF, by weight. See U.S. Pat. No. 6,589, from metal and dielectric surfaces in the manufacture of 882. semi-conductors and microcircuits. The composition is an aqueous system including organic polar solvents including Example 30 corrosive inhibitor component from one or more compounds 0367. Another embodiment of the present invention is a with one or more chelating groups/agents, at least one being composition for selective etching of oxides over a metal. The an amidoxime functional group/compound and optionally a composition contains water, hydroxylammonium salt, one or select group of aromatic carboxylic acids used in effective more compounds with one or more chelating groups/agents, inhibiting amounts. A method in accordance with this inven at least one being an amidoxime functional group/compound, tion for the removal of residues from metal and dielectric a fluorine containing compound, and optionally, a base. The Surfaces comprises the steps of contacting the metal or dielec pH of the composition is about 2 to 6. See U.S. Pat. No. tric surface with the above inhibited compositions for a time 6,589,439. sufficient to remove the residues. See U.S. Pat. No. 6,558,879. Example 31 Example 35 0368. Another embodiment of the present invention is an 0372 Another embodiment of the present invention is a etching treatment comprising a combination including homogeneous non-aqueous composition containing a fluori hydrofluoric acid of 15 percent by weight to 19 percent by nated solvent, , one or more compounds with one or weight, one or more compounds with one or more chelating more chelating groups/agents, at least one being an ami groups/agents, at least one being an amidoxime functional doXime functional group/compound, and optionally a co group/compound of 0.5 percent by weight to 24 percent by Solvent and the use of these compositions for cleaning and weight and ammonium fluoride of 12 percent by weight to 42 oxidizing substrates is described. See U.S. Pat. No. 6,537, percent by weight, said combination having a hydrogen ion 380. concentration of 10 mol/L to 10, further comprising a surfactant of 0.001 percent by weight to 1 percent by weight. Example 36 See U.S. Pat. No. 6,585,910. 0373 The present invention also includes a chemical mechanical polishing slurry and method for using the slurry Example 32 for polishing copper, barrier material and dielectric material 0369. Another embodiment of the present invention that comprises a first and second slurry. The first slurry has a includes a semiconductor wafer cleaning formulation, high removal rate on copper and a low removal rate on barrier including 2-98% wt. organic amine. 0-50% wt. water, 0.1- material. The second slurry has a high removal rate on barrier 60% wt. one or more compounds with one or more chelating material and a low removal rate on copper and dielectric groups/agents, at least one being an amidoxime functional material. The first and second slurries at least comprise silica group/compound, 0-25% wt. of additional different chelating particles, an oxidizing agent, one or more compounds with agent(s), 0.1-40% wt. nitrogen-containing carboxylic acid or one or more chelating groups/agents, at least one being an an imine, optionally 1,3-dicarbonyl compound chelating amidoxime functional group/compound, optionally a corro agent, and 2-98% wt polar organic solvent. The formulations sion inhibitor, and a cleaning agent. See, U.S. Pat. No. 6,527, are useful to remove residue from wafers following a resist 819. plasma ashing step. Such as inorganic residue from semicon ductor wafers containing delicate copper interconnecting Example 37 structures. See U.S. Pat. No. 6,566,315. 0374. Another embodiment of the present invention also includes a method for removing organometallic and organo Example 33 silicate residues remaining after a dry etch process from semi 0370. An alternative embodiment of the present invention conductor Substrates. The Substrate is exposed to a condition is a method for removing organometallic and organosilicate ing solution of phosphoric acid, hydrofluoric acid, and one or residues remaining after a dry etch process from semiconduc more compounds with one or more chelating groups/agents, tor Substrates. The Substrate is exposed to a conditioning at least one being an amidoxime functional group/compound, Solution of a fluorine source, a non-aqueous solvent, a and optionally a carboxylic acid, such as acetic acid, which complementary acid, and a Surface passivation agent. The removes the remaining dry etch residues while minimizing fluorine source is typically hydrofluoric acid. The non-aque removal of material from desired substrate features. The ous solvent is typically a polyhydric alcohol Such as propy approximate proportions of the conditioning solution are lene glycol. The complementary acid is typically either phos typically 80 to 95 percent by weight one or more compounds US 2009/O 137191 A1 May 28, 2009 50 with one or more chelating groups/agents, at least one being parts such as semiconductor substrates after the CMP com an amidoxime functional group/compound and carboxylic prising a (copolymer having one or more compounds with acid, 1 to 15 percent by weight phosphoric acid, and 0.01 to one or more chelating groups/agents, at least one being an 5.0 percent by weight hydrofluoric acid. U.S. Pat. No. 6,517, amidoxime functional group/compound, and optionally at 738. least one kind of group selected from the group consisting of Sulfonic acid salt) groups and carboxylic acid (salt) groups, Example 38 the cleaning agent further containing a phosphonic acid (salt) 0375 Another embodiment of the present invention is a group-containing (co)polymer, a phosphonic acid compound composition for use in semiconductor processing wherein the or a Surfactant as needed; and a method for cleaning semi composition comprises water, phosphoric acid, and one or conductor parts with the above cleaning agent. See U.S. Pat. more compounds with one or more chelating groups/agents, No. 6,440,856. at least one being an amidoxime functional group/compound, and optionally an organic acid; wherein the organic acid is Example 42 ascorbic acid or is an organic acid having two or more car 0379 The present invention also includes a non-corrosive boxylic acid groups (e.g., citric acid). The water can be cleaning composition for removing residues from a Substrate. present in about 40 wt.% to about 85 wt.% of the composi The composition comprises: (a) water; (b) at least one tion, the phosphoric acid can be present in about 0.01 wt.% to hydroxylammonium compound; (c) at least one basic com about 10 wt.% of the composition, and the one or more pound, preferably selected from the group consisting of compounds with one or more chelating groups/agents, at least amines and quaternary ammonium hydroxides; (d) one or one being an amidoxime functional group/compound and more compounds with one or more chelating groups/agents, organic acid can be present in about 10 wt.% to about 60 wt. at least one being an amidoxime functional group/compound, % of the composition. The composition can be used for clean (e) optionally at least one organic carboxylic acid; and (f) ing various Surfaces, such as, for example, patterned metal optionally, a polyhydric compound. The pH of the composi layers and vias by exposing the Surfaces to the composition. tion is preferably between about 2 to about 6. See U.S. Pat. See U.S. Pat. No. 6,486,108. No. 6,413,923. Example 39 Example 43 0376 Another embodiment of the present invention is a 0380 Another embodiment of the present invention is a method for removing organometallic and organosilicate resi composition comprising a slurry having an acidic pH and a dues remaining after a dry etch process from semiconductor corrosion inhibitor with one or more compounds with one or Substrates. The Substrate is exposed to a conditioning Solution more chelating groups/agents, at least one being an ami of phosphoric acid, hydrofluoric acid, and one or more com doXime functional group/compound, and optionally a car pounds with one or more chelating groups/agents, at least one boxylic acid corrosion inhibitor, wherein said carboxylic acid being an amidoxime functional group/compound, and is selected from the group consisting of glycine, oxalic acid, optionally a carboxylic acid, such as acetic acid, which malonic acid, Succinic acid and . U.S. Pat. removes the remaining dry etch residues while minimizing No. 6,409,781. removal of material from desired substrate features. The approximate proportions of the conditioning solution are Example 44 typically 80 to 95 percent by weight one or more compounds with one or more chelating groups/agents, at least one being 0381 An alternative embodiment of the present invention an amidoxime functional group/compound and acetic acid, 1 is a chemical formulation consisting of a chelating agent, to 15 percent by weight phosphoric acid, and 0.01 to 5.0 wherein said chelating agent is one or more compounds with percent by weight hydrofluoric acid. See U.S. Pat. No. 6,453, one or more chelating groups/agents, at least one being an 914. amidoxime functional group/compound, and optionally one or more additional chelating agents selected from the group Example 40 consisting of iminodiacetic, malonic, oxalic, Succinic, boric and malic acids and 2.4 pentanedione; a fluoride; and a glycol 0377 Another example of the present invention is show in Solvent, wherein said chelating agents consist of approxi cleaning a Substrate which has a metal material and a semi mately 0.1-10% by weight of the formulation, and wherein conductor material both exposed at the surface and which has said fluoride consists of a compound selected from the group been subjected to a chemical mechanical polishing treatment, consisting of ammonium fluoride, an organic derivative of the substrate is first cleaned with a first cleaning solution ammonium fluoride, and a organic derivative of a polyammo containing ammonia water, etc. and then with a second clean nium fluoride; and wherein said fluoride consists of approxi ing Solution containing (a) a first complexing agent capable of mately 1.65-7% by weight of the formulation; and wherein easily forming a complex with the oxide of said metal mate said glycol solvent consists of approximately 73-98.25% by rial, etc. and (b) an anionic or cationic Surfactant. See U.S. weight of said formulation, further comprising: an amine, Pat. No. 6,444,583. wherein said amine consists of approximately 0.1-10% by weight of said formulation. The chelating agents generally Example 41 contain one or more compounds with one or more chelating 0378. The present invention is also exemplified by a clean groups/agents, at least one being an amidoxime functional ing agent for semiconductor parts, which can decrease a load group/compound, and optionally contain two carboxylic acid on the environment and has a high cleaning effect on CMP groups or two hydroxyl groups or two carbonyl groups such (chemical mechanical polishing) abrasive particles, metallic that the two groups in the chelating agent are in close proX impurities and other impurities left on the semiconductor imity to each other. Other chelating agents which are also US 2009/O 137191 A1 May 28, 2009 weakly to moderately acidic and are structurally similar to Example 49 those claimed are also expected to be suitable. See U.S. Pat. 0386. Another example of the present invention includes No. 6,383,410. an essentially anhydrous cleaning composition comprising Example 45 88 weight percent or more of a fluorinated solvent, from 0.005 to 2 weight percent of or complex thereof, 0382 Another embodiment of the present invention is a and from 0.01 to 5 weight percent of a co-solvent, wherein cleaning composition comprising a partially fluorinated Sol said cosolvent is selected from one or more compounds with vent, a co-solvent, one or more compounds with one or more one or more chelating groups/agents, at least one being an chelating groups/agents, at least one being an amidoxime amidoxime functional group/compound, ethers, polyethers, functional group/compound, and oZone, wherein said co carboxylic acids, primary and secondary alcohols, phenolic Solvent is selected from the group consisting of ethers, esters, alcohols, ketones, aliphatic hydrocarbons and aromatic tertiary alcohols, carboxylic acids, ketones and aliphatic hydrocarbons. See U.S. Pat. No. 6,310,018. hydrocarbons. See U.S. Pat. No. 6,372,700. Example 50 Example 46 0387 0383 Yet another embodiment of the present invention is a combination of one or more compounds with one or more chelating groups/agents, at least one being an amidoxime A. Amidoxime compoun 2.5% by weigh functional group/compound and optionally a carboxylic acid Tetramethylammonium fluoride 4.5% by weigh corrosion inhibitor. The combination of corrosion inhibitors Ethylene glyco 93% by weigh can effectively inhibit meta corrosion of aluminum, copper, B. Amidoxime compoun 1.3% by weigh and their alloys. Suitable carboxylic acids include monocar Pentamethyldiethylenetriammonium 4.6% by weigh trifluoride boxylic and polycarboxylic acids. For example, the carboxy Ethylene glyco 94.1% by weigh lic acid may be, but is not limited to, formic acid, acetic acid, C. Amidoxime compoun 1.25% by weigh propionic acid, Valeric acid, isovaleric acid, oxalic acid, mal Triethanolammonium fluoride 5% by weigh Ethylene glyco 93.75% by weigh onic acid, Succinic acid, glutaric acid, maleic acid, fimaric D. Amidoxime compoun 2.8% by weigh acid, phthalic acid, 1,2,3-benzenetricarboxylic acid, glycolic Tetramethylammonium fluoride 5.1% by weigh acid, lactic acid, citric acid, salicylic acid, tartaric acid, glu Ethylene glyco 92.1% by weigh conic acid, and mixtures thereof. The preferred carboxylic E. Amidoxime compoun 2% by weigh acid is citric acid. Ammonium fluoride 7% by weigh Ethylene glyco 91% by weigh F. Amidoxime compoun 2.8% by weigh Example 47 Ammonium fluoride 5% by weigh 0384 Another example of the present invention is a com Ethylene glyco 92.2% by weigh position for selective etching of oxides over a metal compris ing: (a) water; (b) hydroxylammonium salt in an amount about 0.1 wt.% to about 0.5 wt.% of said composition; (c) Example 51 one or more compounds with one or more chelating groupS/ 0388 Another embodiment of the present invention agents, at least one being an amidoxime functional group/ includes a chelating agent, a fluoride salt, and a glycol sol compound; (d) optionally a carboxylic acid selected from the vent, wherein said chelating agent is weakly to moderately group consisting of formic acid, acetic acid, propionic acid, acidic, and consists of approximately 0.1-10% by weight of Valeric acid, isovaleric acid, oxalic acid, malonic acid, suc the formulation; and wherein said fluoride salt consists of a cinic acid, glutaric acid, maleic acid, fimaric acid, phthalic compound selected from the group consisting of ammonium acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic fluoride, an organic derivative of ammonium fluoride, and a acid, citric acid, salicylic acid, tartaric acid, gluconic acid, organic derivative of a polyammonium fluoride; and wherein and mixtures thereof; (e) a fluorine-containing compound; said fluoride salt consists of approximately 1.65-7% by and (e) optionally, base. See U.S. Pat. No. 6,361,712. weight of the formulation; and wherein said glycol solvent consists of 73-98.25% by weight of said formulation; and Example 48 further including an amine, wherein said amine consists of 0385. In a further aspect, the invention relates to a semi approximately 0.1-10% by weight of said formulation; and conductor wafer cleaning formulation for use in post plasma wherein said chelating agent is an amidoxime or hydroxamic ashing semiconductor fabrication, comprising the following acid. See U.S. Pat. No. 6,280,651. components in the percentage by weight (based on the total weight of the formulation) ranges shown: Example 52 0389 Another example of the present invention is a clean ing agent for use in producing semiconductor devices, which Organic amine(s) 2-98% by weight consists essentially of an aqueous solution containing (A) 0.1 Water 0-50% by weight to 15% by weight based on the total amount of the cleaning amidoxime chelating agent O. 1-60% by weight agent of at least one fluorine-containing compound selected Complexing agent 0-25% by weight Nitrogen-containing carboxylic acid or imine 0.5-40% by weight from the group consisting of hydrofluoric acid, ammonium polar organic solvent 2-98% by weight. fluoride, ammonium hydrogenfluoride, acidic ammonium fluoride, salt of hydrogen fluoride, ethylamine salt of hydrogen fluoride, propylamine salt of hydrogen fluo US 2009/O 137191 A1 May 28, 2009 52 ride and tetramethylammonium fluoride. (B) 0.1 to 15% by deionized water, and amidoxime--H2O+deionized water weight based on the total amount of the cleaning agent of a amidoxime+hydroxylamine--deionized water. salt of boric acid and (C) 0.5 to 50% by weight of one or more compounds with one or more chelating groups/agents, at least Example 57 one being an amidoxime functional group/compound; and (d) 5 to 80% by weight based on the total amount of the cleaning 0394 Another embodiment of the present invention agent of a water-soluble organic solvent, and optionally fur includes a cleaning solution contains DI water, amidoxime, ther containing at least one of aquaternary ammonium salt, an hydrogen peroxide (HO), and DI water. The concentration ammonium salt of an organic carboxylic acid, an amine salt of of amidoxime is preferably about 1% by weight. The mixing an organic carboxylic acid and a surfactant. See U.S. Pat. No. ratio of amidoxime:HODI water is preferably about 1:4:20 6,265,309. by volume, and most preferably about 1:1:5. The waiting time for allowing this solution to react with the residue is prefer Example 53 ably between about 30 and about 180 seconds, and most preferably about 60 seconds. This solution may also be 0390 Another embodiment of the present invention applied to the polishing pad at a heated temperature that is includes a cleaning liquid in the form of an aqueous solution preferably between about 40 and about 80 degrees Celsius. for cleaning a semiconductor device during production of a and most preferably about 60°C. semiconductor device, which comprises (A) a fluorine-con taining compound; (B) a water-soluble or water-miscible Example 58 organic solvent, (C) one or more compounds with one or more chelating groups/agents, at least one being an ami 0395. Another example of the present invention is a doXime functional group/compound; (D) optionally, an method and apparatus for increasing the deposition of ions organic acid; and (E) a quaternary ammonium salt. In some onto a surface. Such as the adsorption ofuranium ions on the embodiments the cleaning Solution also contains a Surfactant. detecting surface of a radionuclide detector. The method The organic acid is typically selected from the group consist includes the step of exposing the Surface to one or more ing of formic acid, acetic acid, propionic acid, butyric acid, compounds with one or more chelating groups/agents, at least isobutyric acid, Valeric acid, isovaleric acid, heptanoic acid, one being an amidoxime functional group/compound, and lauric acid, palmitic acid, Stearic acid, acrylic acid, crotonic optionally, a phosphate ion solution, which has an affinity for acid, methacrylic acid, oxalic acid, malonic acid, maleic acid, the dissolved species to be deposited on the surface. This Succinic acid, adipic acid, azelaic acid, sebacic acid, benzoic provides, for example, enhanced sensitivity of the radionu acid, toluic acid, phthalic acid, trimelitic acid, pyromellitic clide detector. See U.S. Pat. No. 5,652,013. acid, benzenesulfonic acid, toluenesulfonic acid, salicylic acid and phthalic anhydride. See U.S. Pat. No. 5,972,862. Example 59 0396 Copper blanket wafer is immersed in the following Example 54 solutions at room temperature for 15 and 30 minutes to observe the copper thickness changes. The amidoxime com 0391 Another embodiment is a method for semiconduc pound is 1,2,3,4,5,6-hexakis-O-3-(hydroxyamino)-3-imino tor processing comprising etching of oxide layers, especially propyl Hexitol. etching thick SiO2 layers and/or last step in the cleaning process wherein the oxide layers are etched in the gas phase with a mixture of hydrogen fluoride, one or more compounds with one or more chelating groups/agents, at least one being H2O, HO/AO AO an amidoxime functional group/compound, and optionally Hydrogen Peroxide 3% 3% O one or more carboxylic acids, eventually in admixture with 1,2,3,4,5,6-hexakis- O 196 196 water. See U.S. Pat. No. 5,922,624. O-3-(hydroxyamino)- 3-iminopropyl Hexitol Water Balance Balance Balance Example 55 Copper 15 minutes 97 A 16 A 22 A Thickness 30 minutes 120 A 13 A 48 A 0392 The complexing agents of the present invention may Lost also be added to the rinse containing a peroxide of U.S. Pat. No. 5,911,836. 0397 Hydrogen peroxide attacked the copper surface and changed into copper oxide resulting in the reduction of cop Example 56 per thickness. It resulted in a loss of 120 A in 30 minutes of immersion. Amidoxime etches copper slightly in 30 minutes 0393 (CMP) pad after performing a CMP operation on a to remove about 50A. It unexpectedly appears that the mix wafer, the CMP pad having a residue on a surface of the CMP ture of the two components inhibits the oxidation of the pad, the method comprising: applying chemicals onto the copper surface. FIG. 3 shows a plot of copper thickness lost surface of the CMP pad; rinsing the pad surface to substan vs. time. tially remove by-product produced by the chemicals; and performing a mechanical conditioning operation on the Sur Example 60 face of the pad, wherein during the CMP operation the wafer surface includes copper and oxide wherein when the wafer 0398 Copper blanket wafer is immersed in the following Surface contains more copper than the oxide, the chemicals Solutions at room temperature for 30 minutes at various tem are selected from one or a combination of amidoxime and peratures to observe the copper thickness changes. US 2009/O 137191 A1 May 28, 2009 53

0404 FIG. 7 is the Auger depth profile analysis of the cleaning treated copper Surface. The result suggests that the Hydroxylamine Hydroxylamine Cu(I) and Cu(II) oxide thickness have not increased. (50%) (50%)/AO AO Example 62 Hydroxylamine (50%) 10% 10% O 04.05 To the contrary of solubility of Cu(II) oxide, as 1,2,3,4,5,6-hexakis- O 10% 10% O-3-(hydroxyamino)- shown in this Pourbaix diagram, Cu H2O system forms 3-iminopropyl Hexitol insoluble oxides and hydroxides at pH of 7-12. (Ref: M. J. N. Water Balance Balance Balance Pourbaix, Atlas of Chemical Equilibria in Aqueous Solutions, Copper RT 2.88 9.76 4.08 Thickness 40° C. 5.27 32.68 5.83 National Assoc. of Corrosion Enginneers, Houston, Tex., Lost 60° C. 7.95 61.68 4.39 1974.), amidoxime remove Cu(II) oxide effectively at pH of A?min 9-11. FIG. 8 shows the Copper Pourbaix diagram. Example 63 0399. The copper static etch rate, when 1,2,3,4,56 hexakis-O-3-(hydroxyamino)-3-iminopropyl Hexitol is 0406 Another embodiment of the present invention is a mixed with hydroxylamine (50%) increases exponentially stripping and cleaning agent for removing dry-etching pho from about 8 A/min to 62 A/min. This indicates the combi toresist residues, and a method for forming an aluminum nation of amidoxime compound with hydroxylamine to based line pattern using the stripping and cleaning agent. The improve copper and copper oxide debris removal from the stripping and cleaning agent contains (a) from 5 to 50% by CMP pad. weight of one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional Example 61 group/compound: (h) from 0.5 to 15% by weight of a fluorine compound; and (c) a solvent, including water The inventive 0400. A sample coupon of the electroplated copper wafer method is advantageously applied to treating a dry-etched is immersed in 10% of amidoxime in water at 30 C for 30 semiconductor Substrate with the stripping and cleaning minutes. The sample is then rinsed in DI water for 5 minutes agent. The semiconductor Substrate comprises a semiconduc and blew dried with nitrogen gas. The sample was then sent to tor wafer having thereon a conductive layer containing alu Evan Laboratory for ESCA and Auger analysis. minum. The conductive layer is dry-etched through a pat 04.01 The sample was then re-analyzed again after 10 terned photoresist mask to form a wiring body having etched days of exposure to normal storage condition. side walls. The dry etching forms a side wall protection film 04.02 Even on the day the surface analysis was performed, on the side walls. In accordance with the inventive method, there was a gap of two hours due to transportation to Evan the side wall protection film and other resist residues are Laboratory. Therefore, there is two hours as “queue time' for completely released without corroding the wiring body. See, standard wafer fab processes for re-growth of copper oxide. U.S. Pat. No. 5,630,904. FIG. 4 shows the result of ESCA analysis of the copper Surface without any treatment, indicating a high concentra Example 64 tion of Cu(II) oxide. FIG. 5 shows the efficacy Cu(II) oxide 0407 U.S. Pat. No. 6,927,176 describes the effectiveness removal by the amidoxime solution. of chelating compounds due to their binding sites as illus 0403 FIG. 6 shows that amidoxime also inhibits the trated in FIGS.2a and 2b. It highlights that there are 6 binding growth of Cu(II) oxide. sites

O

O O: --- N: ~~~ O O O O

O 6 binding sites

0408. By the same principal applying to a amidoxime from the conversion of a cyanoethylation compound of eth ylenediamine, it results a total of 14 binding sites, as depicted in the following US 2009/O 137191 A1 May 28, 2009 54

HO\ :OY / N / HN

N NH2 N: N: HO- ) S \ K -OH :O- ) \ {:N-O: HN N

N: M NH 2 / V OH O: 14 binding sites

(1,2,3,4,5,6-(hexa-(2-amidoximo)ethoxy)hexane Hexitol 04.09

OH

HN NH OH HN NH

O NH O --- OH O N1 O HO1 r- O NH O

HN t N 4 YNE, OH 2n O: OH O:

has a total of 18 binding sites. The claimed amidoxime chelat- 10410 Cleaning solutions of the present application ing agent can Substitute in this application to replace poly- include compositions comprising: acrylates, carbonates, phosphonates, and gluconates, ethyl- doxime0411 FunctionalA) An Organic Group. Compound with One or More Ami enediaminetetraacetic acid (EDTA), N,N'-bis(2- hydroxyphenyl)ethylenediiminodiacetic acid (HPED), NOH triethylenetetranitrilohexaacetic acid (TTHA), desferriferri- ul oxamin B, N,N',N'-tris(2-(N-hydroxycarbonyl)ethyl-1,3,5- R NRR, benzenetricarboxamide (BAMTPH), and ethylenedi- or salts thereof. aminediorthohydroxyphenylacetic acid (EDDHA). US 2009/O 137191 A1 May 28, 2009

0412 Firstly considering the amidoxime functional group the terms “alkylene' and “alkylyne' within its scope). The itself, in one embodiment, R, and R, are independently alkyl group may be straight-chained or branched. hydrogen, alkyl, hetero-alkyl, alkyl-aryl, or alkyl-heteroaryl 0421. The alkyl group may contain any number of carbon groups. R is independently selected from alkyl, alkyl-aryl, or and hydrogenatoms. While alkyl groups having a lesser num alkyl-heteroaryl groups. In these two embodiments, chelation ber of carbon atoms tend to be more soluble in polar solvents of the amidoxime to metal centres may be favoured because, Such as DMSO and water, alkyl groups having a greater in reaction with a metal centre, a proton can be lost from number of carbons can have other advantageous properties, NRR, so as to form a nominally covalent bond with the metal for example surfactant properties. Therefore, in one embodi Centre. ment, the alkyl group contains 1 to 10 carbon atoms, for 0413. In another embodiment, NRR, is further substi example the alkyl group is a lower alkyl group containing 1 to tuted with R so the amidoxime has the following chemical 6 carbon atoms. In another embodiment, the alkyl group formula: contains 10 or more carbon atoms, for example 10 to 24 carbon atoms. 0422 The alkyl group may be unsubstituted (i.e. the alkyl NOH group contains only carbon and hydrogen). The unsubstituted alkyl group may be unsaturated or Saturated. Examples of R us NRRR possible Saturated unsubstituted alkyl groups include methyl, ethyl, n-propyl. Sec-propyl, cyclopropyl. n-butyl, sec-butyl, tert-butyl, cyclobutyl, pentyl (branched or unbranched), 0414. In this case, a counter-ion balances the positive hexyl (branched or unbranched), heptyl (branched or charge on the nitrogen atom. Any counter-ion may be used, unbranched), octyl (branched or unbranched), nonyl for example chloride, bromide, iodide, a SO, ion, a PF ion or (branched or unbranched), and decyl (branched or a CIO ion. R. may be hydrogen or an R group as defined unbranched). Saturated unsubstituted alkyl groups having a below. greater number of carbons may also be used. Cyclic alkyl 0415. It is noted that R. R., and/or R can join onto one groups may also be used, so the alkyl group may comprise, for another and/or join onto R So as to form one or more cycles. example, a cyclopropyl group, a cylcobutyl group, a cyclo 0416. It is also noted that amidoxime can exist as their pentyl group, a cyclohexyl group, a cycloheptyl group, a tautomers: cyclooctyl group, a cylcononyl group and/or a cyclodecyl group. These cyclic alkyl groups may directly append the amidoxime group or may be joined to the amidoxime through NOH NHOH one or more carbon atoms. 0423 Examples of amidoxime compounds containing --> - NR unsubstituted Saturated alkyl groups include:

0417 Compounds that exist mainly or wholly in this tau NOH NOH NOH tomeric form are included within the scope of the present invention. 0418 Accordingly, the amidoxime functional group ul NH2 Nulls NH2 -- NH2 includes the following functionalities and their tautomers: NOH NOH NOH

NOH NOH NOH NOH

-->NH2 NRH R-ss NRR, R NRRR ulu NH2 --- NOHNH wherein R may be connected to one or more of R. R., and R. NOH NOH 0419 For example, the amidoxime functional group includes within its scope:

NOH NOH ulNOH wherein Alk is an alkyl group as defined below. The three alkyl groups may be independently selected or may be the same. In one embodiment, the alkyl group is methyl or ethyl. NOH NOH 0420 Turning now to the R group, R may be an alkyl group (in other words, a group containing carbon and hydro gen). The alkyl group may be completely saturated or may N-- NH2 contain unsaturated groups (i.e. may contain alkene and alkyne functional groups, so the term “alkyl encompasses US 2009/O 137191 A1 May 28, 2009 56

0427 Specific examples of unsubstituted saturated alkyl -continued amidoximes are: NOH NOH ---, ~~ NH2 NOH NOH NOH NOH us NH2 --~~ NH2 NOH ---, and: NH2 HN NOH NOH NOH NOH NH2 HN NOH NOH NOH NOH

0428 If the alkyl group is unsaturated, it may be any of the l NH2 c NH2 c NH2 groups just listed except for having one or more unsaturated NOH NOH NOH carbon-carbon bonds (so it may contain one or more alkene NOH and/or alkyne groups). These unsaturated group(s) may optionally be in conjugation with the amidoxime group. A NH2 D- NH NH specific example of an unsubstituted unsaturated alkyl ami doXime molecules is: NOH

0424 Examples further include: 0429. The alkyl group may also be substituted with one or NOH more hetero-atoms or group of hetero-atoms. If more than one hetero-substituent is present, the Substituents are indepen dently selected from one another unless they form a part of a ul particular functional group (e.g. an amide group). (Groups containing hetero-atoms joined to carbonatoms are contained within the scope of the term "heteroalklyl as discussed wherein Alk is methyl or ethyl and R is an alkyl group, below). One or more of the substituents may be a halogen typically but not necessarily straight chained. R may be for atom, including fluorine, chlorine, bromine or iodine, —OH, example an alkyl group containing 8 to 25 carbon atoms. If =O, NH =NH, -NHOH, =NOH, OPO(OH), SH, the alkyl group is Substituted, it may for example be substi —S or —SOOH. In one embodiment, the substituent is an tuted at the opposite end of the alkyl group to the amidoxime oxime group (=NOH). The alkyl group may also be itself group. For example, it may be substituted antipodally to the Substituted with one or more amidoxime functional groups. amidoxime group by one or more halogens, for example 0430. If the alkyl group is substituted with —O, the alkyl fluorine. group may comprise an aldehyde, a ketone, a carboxylic acid 0425 Examples further include alkyl groups appending oran amide. Preferably, there is an enolizable hydrogen adja cent to the =O. —NH or=NOH (i.e. there is a hydrogen in two or more amidoxime functional groups. the alpha position to the carbonyl). The alkyl group may 0426 For example, the amidoxime may be: comprise the following functionality: —(CZ)-CH-(CZ)-. wherein Z and Z are independently selected from O. NH and NOH. The CH in this group is further substituted with hydrogen or an alkyl group or joined to the amidoxime func "N R NH2 tional group. NOH NOH 0431 Thus, an alkyl group appending an amidoxime group may simply be substituted with, for example one or more independently-selected halogens, for example fluorine, where R is an alkyl group. For example, R may be a straight chlorine, bromine oriodine. In one embodiment, the halogens chained alkyl group, such as an unsubstituted Straight chained are substituted at the antipodal (i.e. opposite) end of the alkyl alkyl group. Examples of Suitable groups include methyl, group to the amidoxime group. This can for example provide ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl and Surfactant activity, in particular for example if the halogen is decyl. fluorine. US 2009/O 137191 A1 May 28, 2009 57

0432 A specific example of an amidoxime group Substi 0436 Specific examples of substituted alkyl amidoxime tuted with a substituted alkyl group is: molecules are:

NOH O NOH NOH

sus NH 2 HN lus NH2 H--- NH2 O NOH O NOH 0433 Details of the characterization of this molecule are --- NH2 --- NH2 given in the examples. NOH O 0434 Compounds that are substituted in a B position are conveniently synthesized from readily-available starting HN NHOH materials. 0435 Examples of such compounds include. HN O NHOH

NOH O NOH O NOH 0437. It should be noted that some of these molecules can ---, -N- exist as different isomers. For example: O NOH O NO H

OH HO ---, N1 O N O O NOH - R --- NH2 - us NH HN ---, O NOH 0438. The different isomers can be differentiated by car bon-13 NMR. Characterization of this isomer is provided in ---, HRN NH 2 the example. O NOH O NO H 0439 R may be a heteroalkyl group. The term heteroalkyl refers to optionally a first alkyl group connected to one or a - --- more independently-selected hetero-atoms or groups of het O NOH O NOH ero-atoms, which itself is substituted with one or more inde pendently-selected groups containing one or more carbon --> --- atoms. The presence of the first alkyl group is optional O NOH O NOH because the amidoxime group may be attached directly to the one or more heteroatoms. As an illustrative example, an alkyl group Substituted with an ether group is a heteroalkyl group --> ---, because the alkyl group is substituted with oxygen, which itself is substituted with a second alkyl group. Alternatively, an —O-CH group is an example of a heteroalkyl group. lul NH2 NH2 0440 When R is a heteroalkyl group, the amidoxime may have the following chemical structure: -->OH, NOH OH,N-- NOH OH, NOH OH NOH on-s-s NH2 H2N NH2

O R OH NOH OH NOH where “n” varies from 1 to N and y varies from 1 to Y: N varies from 0 to 3:Y, varies from 0 to 5. In this formula, R is independently-selected alkylene groups; R is independently selected from alkyl, or hetero-alkyl groups, or adjoins R, so to HN --- NH2 H2N -- NH2 form a heterocycle with the directly appending X. R may O OR O NRR also be a direct bond, so that the amidoxime group is con nected directly to the one or more heteroatoms. X, is a het eroatom or a group of heteroatoms selected from boron, nitro wherein R and Rare independently-selected alkyl groups or gen, oxygen, silicon, phosphorus and Sulphur. Each hydrogen atoms. heteroatom or group of heteroatoms and each alkyl group is US 2009/O 137191 A1 May 28, 2009

independently selected from one another. The above formula oxime group (=NOH). The heteroalkyl group may also be includes an amidoxime group directly bearing an alkyl group. itself substituted with one or more amidoxime functional The alkyl group is substituted with N independently-selected groups. heteroatoms or groups of heteroatoms. Each heteroatom or 0445. If the heteroalkyl group is substituted with —O, the group of heteroatoms is itself substituted with one or more heteroalkyl group may comprise an aldehyde, a ketone, a independently-selected alkyl groups or hetero-alkyl groups. carboxylic acid oran amide. Preferably, there is an enolizable hydrogen adjacent to the =O. —NH or=NOH (i.e. there is 0441 X is one or more hetero-atoms. For example, X may a hydrogen in the alpha position to the carbonyl). The het be or may comprise boron, nitrogen, oxygen, silicon, phos eroalkyl group may comprise the following functionality: phorus or Sulphur. In one embodiment, X is oxygen. In this —(CZ)-CH-(CZ)-, wherein Z and Z are independently case, X may be part of an ether group (—O—), an ester selected from O, NH and NOH. The CH in this group is (—O CO—), O CO-O , O CO. NH , further substituted with hydrogen or an alkyl group or het O CO. NR - O CNH-, - O CNH O , eroalkyl group or joined to the amidoxime functional group. O CNH NH-, - O CNH N - O CNOH , 0446 Amines are particularly versatile functional groups O CNOH. O. , O CNOH NH O for use in the present invention, in part because of their ease of —O CNOH NR—, wherein R is independently preparation. For example, by using acrylonitrile as described selected alkyl group, hetero-alkyl group, or hetero-aryl later, a variety of functionalized amines can be synthesized. group. In another embodiment, X is a nitrogen atom. In this 0447 Examples include: case, X may be part of one of the following groups: —NRH, —NR , —NRR- (with an appropriate counter-ion), NHNH NH CO. , NR2-CO NH CO NOH NOH O-, -NH CO. NH-, -NH CO. NR = NR, CO. NH NR, CO. NR , NH CNH , R ~us Ran ~us NR, CNH NH CNH O. , NH CNH SN NH2 NH2 NH-, -NH CNH NR, , – NR, CNH NH-, R, NR, CNH NR , NH CNOH , NR2 NOH NOH CNOH , NH CNOH. O. , NH CNOH NH , NH CNOH NR NR, CNOH NH , —NR, CNOH NR—. R to R are independently H2 --> H ~1. NH2 selected alkyl groups, hetero-alkyl groups, or hetero-aryl NOH NOH groups, wherein the heteroalkyl group and hetero-aryl group may be unsubstituted or substituted with one or more heteroa toms or group of heteroatoms or itself be substituted with --> ~. NH2 another heteroalkyl group. If more than one hetero-substitu Ra ent is present, the Substituents are independently selected NOH NOH from one another unless they form a part of a particular functional group (e.g., an amide group). --> ~1. NH2 0442. In another embodiment, X comprises boron. In this case, X may also comprise oxygen. In another embodiment, X comprises phosphorus. In this case, X may also comprise oxygen, for example in an —OPO(OH)(OR) group or an —OPO(OR)(OR) group. In another embodiment, X com HN NOH prises Sulphur, for example as a thiol ether or as a Sulphone. NOH NOH 0443) The term heteroalkyl also includes within its scope cyclic alkyl groups containing a heteroatom. If X is N or O. HN --> N1 R NN ~us NH2 H H examples of such groups include a lactone, lactam or lactim. NOH NOH NOH NOH Further examples of heteroalkyl groups include aZetidines, H Ra oxetane, thietane, dithietane, dihydrofuran, tetrahydrofuran, N N dihydrothiophene, tetrahydrothiophene, piperidine, pyrro HN NH2 H2N NH2 line, pyrrolidine, tetrahydropyran, dihydropyran, thiane, pip HON NH2 erazine, oxazine, dithiane, dioxane and morpholine. These cyclic groups may be directly joined to the amidoxime group or may be joined to the amidoxime group through an alkyl NOH NOH group.

0444 The heteroalkyl group may be unsubstituted or sub HN NH2 stituted with one or more hetero-atoms or group of hetero atoms or itself be substituted with another heteroalkyl group. If more than one hetero-substituent is present, the substituents where R and R, are independently-selected hydrogen, alkyl, are independently selected from one another unless they form hetero-alkyl, aryl, hetero-aryl, alkyl-aryl, or alkyl-heteroaryl a part of a particular functional group (e.g. an amide group). groups. One or more of the Substituents may be a halogen atom, 0448 R may itself bean alkylene croup or a heteroatom or including fluorine, chlorine, bromine or iodine. —OH, =O. group of heteroatoms. The heteroatoms may be unsubstituted NH =NH, -NHOH, =NOH, OPO(OH), SH, or substituted with one or more alkyl groups. One or more of —S or —SOOH. In one embodiment, the substituent is an the hetero-atom Substituents may be for example, a halogen US 2009/O 137191 A1 May 28, 2009 59 atom, including fluorine, chlorine, bromine or iodine. —OH, =O, NH =NH, -NHOH, =NOH, OPO(OH), -continued —SH, =S or —SOOH. In one embodiment, the substituent is an oxime group (=NOH). 0449 R may be an aryl group. The term “aryl refers to a group comprising an aromatic cycle. A particular example of an aryl Substituent is a phenyl group. 0450. The aryl group may be unsubstituted. A specific example of an amidoxime bearing an unsubstituted aryl is: NOH NOH 0453 Specific examples of substituted aryl amidoxime molecules are: NH2 NOH O

NH2 0451. The aryl group may also be substituted with one or O more alkyl groups, heteroalkyl groups or heteroatom Sub HN stituents. If more than one substituent is present, the Substitu ents are independently selected from one another. NOH 0452 Specific examples of amidoximes comprising a het NOH NOH eroalkyl group include: NOH C NH2 O NH2 N ~. NH2 NH2 C H NOH NOH 0454 R may also be hetero-aryl. The term hetero-aryl refers to an aryl group containing one or more hetero-atoms in H2 --> H~. NH 2 its aromatic cycle. The one or more hetero-atoms are inde NOH NOH pendently-selected from, for example, boron, nitrogen, oxy gen, silicon, phosphorus and Sulfur. Examples of hetero-aryl HN NH2 groups include pyrrole, furan, thiophene, pyridine, Me3N O NH melamine, pyran, thiine, diazine and thiazine. 2N-1-1N- n- 2 0455 The hetero-aryl group may be unsubstituted. A spe cific example of an unsubstituted heteroarylamidoxime mol NOH ecule is: 1n 1-1 N NOH NOH NOH rs NH2 HN ^- O N-1N --- NH2 Na2 NOH HON NH2 0456. It should be noted that the heteroaryl group may be attached to the amidoxime group through its heteroatom, for example (the following molecule being accompanied by a NOH counter anion): HN N ~- ^- N-1\ NH2 NOH NOH N NH2 HON NH2 HN NOH N1,N-4

0457. The hetero-aryl group may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atom Sub Nu-N-1 stituents. If more than one substituent is present, the Substitu ents are independently selected from one another. US 2009/O 137191 A1 May 28, 2009 60

0458. One or more of the hetero-atom substituents may be, 0466. The heteroalkyl group may be any alkyl group pre for example, a halogen atom, including fluorine, chlorine, viously defined. The aryl/heteroaryl group may also be any bromine or iodine, -OH, =O, -NH =NH, -NHOH, aryl group previously defined. =NOH, -OPO(OH), -SH, =S or -SO,OH. The one or 0467 Both the heteroalkyl group and the aryl/heteroaryl more alkyl groups are the alkyl groups defined previously and group may be unsubstituted. Alternatively, one or both of the the one or more heteroalkyl groups are the heteroalkyl groups heteroalkyl group and the aryl/heteroaryl group may be Sub defined previously. stituted. If the heteroalkyl group is substituted, it may be 0459. Within the scope of the term aryl are alkyl-aryl Substituted with one or more hetero-atoms or groups contain groups. The term “alkyl-aryl” refers to an amidoxime group ing hetero-atoms. If the aryl/heteroaryl group is Substituted, it bearing (i.e. directly joined to) an alkyl group. The alkyl may be substituted with one or more alkyl groups, heteroalkyl group is then itself substituted with an aryl group. Corre groups or hetero-atom Substituents. If more than one substitu spondingly, within the scope of the term heteroaryl are alkyl ent is present, the Substituents are independently selected heteroaryl groups. from one another. 0460. The alkyl group may be any alkyl group previously 0468. One or more of the hetero-atom substituents may be, defined. The aryl/heteroaryl group may also be any aryl group for example, a halogen atom, including fluorine, chlorine, previously defined. bromine or iodine, -OH, =O, -NH =NH, -NHOH, 0461 Both the alkyl group and the aryl/heteroalkyl group =NOH, -OPO(OH), -SH, =S or -SOOH. In one may be unsubstituted. Specific examples of unsubstituted embodiment, the substituent is an oxime group (=NOH). alkyl-aryl amidoxime molecules are: The alkyl group may also be itself substituted with one or more amidoxime functional groups. 0469 If the heteroalkyl group is substituted with —O, the NOH heteroalkyl group may comprise an aldehyde, a ketone, a carboxylic acid oran amide. Preferably, there is an enolizable hydrogen adjacent to the =O. —NH or=NOH (i.e. there is a hydrogen in the alpha position to the carbonyl). The het NOH eroalkyl group may comprise the following functionality: NOH —(CZ)-CH-(CZ)-, wherein Z and Z are independently selected from O, NH and NOH. The CH in this group is further substituted with hydrogen or an alkyl group or het eroalkyl group or joined to the amidoxime functional group. 0470 A preferred substituent to any type of R group is a tetra-Valent nitrogen. In other words, any of the above groups may be substituted with NRRR where R to Rare inde 0462 Alternatively, one or both of the alkyl group and the pendently-selected hydrogen, alkyl, hetero-alkyl, alkyl-aryl, aryl/heteroalkyl group may be substituted. If the alkyl group or alkyl-heteroaryl groups. In one embodiment, R, to R are is substituted, it may be substituted with one or more hetero unsubstituted Saturated alkyl groups having 1 to 6 carbon atoms or groups containing hetero-atoms. If the aryl/het atoms. For example, one or more of (for example all of) R, to eroalkyl group is substituted, it may be substituted with one or R are methyl and/or ethyl. With this substituent, the tetra more alkyl groups, heteroalkyl groups or hetero-atom Sub Valent nitrogen is preferably Substituted in an antipodal posi stituents. If more than one substituent is present, the Substitu tion to the amidoxime group. For example, if R is a straight ents are independently selected from one another. chained unsubstituted Saturated alkyl group of the form 0463. One or more of the hetero-atom substituents may be, (CH), then the tetra-Valent nitrogen is at one end of the alkyl for example, a halogen atom, including fluorine, chlorine, group and the amidoxime group is at the other end. In this bromine or iodine, -OH, =O, -NH =NH, -NHOH, embodiment, n is preferably 1, 2, 3, 4, 5 or 6. =NOH, -OPO(OH), -SH, =S or -SOOH. In one 0471. In one embodiment, the present invention provides embodiment, the substituent is an oxime group (=NOH). an amidoxime molecule that contains only one amidoxime The alkyl group may also be itself substituted with one or functional group. In another embodiment, the present inven more amidoxime functional groups. tion provides an amidoxime molecule containing two or more 0464) If the alkyl group is substituted with —O, the alkyl amidoxime functional groups. In fact, a large number of group may comprise an aldehyde, a ketone, a carboxylic acid functional groups can be contained in a single molecule, for oran amide. Preferably, there is an enolizable hydrogen adja example if a polymer has repeating units having appending cent to the =O. —NH or=NOH (i.e. there is a hydrogen in amidoxime functional groups. Examples of amidoxime com the alpha position to the carbonyl). The alkyl group may pounds that contain more than one amidoxime functional comprise the following functionality: —(CZ)-CH-(CZ)-. groups have been described previously throughout the speci wherein Z and Z are independently selected from O. NH fication. and NOH. The CH in this group is further substituted with 0472 Amidoximes may be conveniently prepared from hydrogen or an alkyl group or heteroalkyl group or joined to nitrile-containing molecules as follows: the amidoxime functional group. 0465. Within the scope of the term aryl are also het eroalkyl-aryl groups. The term "heteroalkyl-aryl refers to an NRROH NOH amidoxime group bearing (i.e. directly joined to) an het R SN eroalkyl group. The heteroalkyl group is then itself Substi tuted with an aryl group. Correspondingly, within the scope of 1s. the term heteroaryl are also heteroalkyl-aryl groups. US 2009/O 137191 A1 May 28, 2009

0473. Typically, to prepare a molecule having alkyl-heteroaryl, or alkyl-aryl group. X may be any a nucleo R=R=H, hydroxylamine is used. If one or both of R and phile selected from O, S, N, and suitable C.N varies from 1 to R, in the desired amidoxime is not hydrogen, the amidoxime 3. Y is a leaving group. can be prepared either using the corresponding hydroxy 0479. For XH-OH, the OH may be an alcohol group or lamine or by further reacting the amidoxime once it has been may, for example, be part of a hemiacetal or carboxylic acid formed. This may, for example, occur by intra-molecular group. reaction of the amidoxime. 0480. For X=NH , the NH may be part of a primary or 0474 Accordingly, amidoxime molecules containing secondary amine (i.e. NH or NHRs). NH CO . more than one amidoxime functional groups can be conve NH CNH , N. CHOH- or -NHNRR (wherein Rs niently prepared from precursors having more than one nitrile and R are independently-selected alkyl, heteroalkyl, aryl, group. Specific amidoxime molecules having two amidoxime heteroaryl or alkyl-aryl). functional groups which have been synthesized in this way 0481 For X=CH , wherein a stabilized anion may be include: formed. XH may be selected from but not limited to CHCO Rs. CHCOOH, CHCN, -CHCO ORs, -CHCO NRR, —CHCNH-Rs, —CHCNH ORs, NOH NOH CHCNH NRR, CHCNOH Rs. CHCNOH NOH H OR and —CHCNOH NRR. 0482. A preferred example is: HN lussuls NH2 NH O O NOH NHOH

n N for example 21 O O 0475 One preferred method of forming the nitrile precur sors to the amidoximes of the present invention is by nucleo ulus philic Substitution of a leaving group with a nucleophile. Nucleophiles are well known to the person skilled in the art, wherein Rs and R are independently-selected alkyl, het see for example the Guidebook to Mechanism in Organic eroalkyl, aryl, heteroaryl oralkyl-aryl or a heteroatom option Chemistry by Peter Sykes. Examples of suitable nucleophiles ally Substituted with any of these groups. In one embodiment, are molecules having an OH, SH, NH or a suitable CH either one or both of Rs and R are oxygen or nitrogen atoms group, for example one having a low pK (for example below optionally independently substituted with alkyl, heteroalkyl, about 15). For OH, SH and NH , the hydrogen is optionally aryl, heteroaryl or alkyl-aryl groups, for example: removed before acting as a nucleophile in order to augment its nucleophilicity. For CH , they hydrogen is usually removed with a Suitable base so that it can act as a nucleophile. O O O O 0476 Leaving groups are well known to the person skilled in the art, see for example the Guidebook to Mechanism in ---. O nus. Organic Chemistry by Peter Sykes. Examples of suitable leaving groups include Cl, Br, I, O-tosyl, O-mesolate and other leaving group well known to the person skilled in the 0483 The compounds may also be formed by any type of art. The ability to act as a leaving group may be enhanced by nucleophilic reaction using any of the above nucleophiles. adding an acid, either protic or Lewis. 0484 The inventors have found one reaction in particular to be particularly versatile for producing nitrile precursors for 0477 For example, a nitrile can be formed accordingly: amidoxime compounds:

Y. CN (R) NXH (R) NX CN CN N1 on (R) NXH OH N-CN su Hess 1. OH (R) NX us (R) NX N n NRR, Null NRR, 0478. In this example, R is independently selected from alkylene, heteroalkylene, arylene, heteroarylene, alkylene 0485. In this example, R is independently selected from heteroaryl, or alkylene-aryl group. R. is independently alkylene, heteroalkylene, arylene, heteroarylene, alkylene selected from hydrogen, alkyl, heteroalkyl, aryl, heteroaryl, heteroaryl, or alkylene-aryl group. R. is independently US 2009/O 137191 A1 May 28, 2009 62 selected from hydrogen, alkyl, heteroalkyl, aryl, heteroaryl, 0492 For example, a tetradentate amidoxime, for example alkyl-heteroaryl, or alkyl-aryl group. X may be any a nucleo the functional equivalent of EDTA, may be conveniently phile selected from O, S, N, and suitable C.N varies from 1 to formed: 3. Y is a leaving group. 0486 For example, the acrylonitrile may have the follow ing formula:

R6

Rs 21 CN

R4 wherein Rio is alkyl, heteroalkyl, aryl or heteroaryl. In an alternative conceived embodiment, Ro is nothing: the start wherein R. Rs and R are independently selected from ing material is hydrazine. An example of this reaction where hydrogen, heteroatoms, heterogroups, alkyl, heteroalkyl, aryl Ro is CHCH is provided in the examples. and heteroaryl. 0493. In a related embodiment, a molecule having two or 0487. Accordingly, the present invention also relates to more secondary amines can be functionalized: amidoxime compounds for use in semiconductor processing prepared by the addition of a nucleophile to an unsubstituted or substituted acrylonitrile. Once nucleophilic addition to the acrylonitrile has occurred, the intermediate can be function alized using standard chemistry known to the person skilled in the art:

CN (R) NXH base --- where Rio is defined as above and R and R2 are indepen RY dently selected alkyl, heteroalkyl, aryl or heteroaryl. Again, N an embodiment where Rio is nothing is contemplated. 0494 For example, the secondary amines can be part of a (R) NX -> R cyclic system: where Y is a leaving group as previously defined. CN 0488. Examples of simple nucleophiles with show the adaptability of this reaction include: /1R /1R HN NH He- N /N R R y 1No1N1 OH Me-Ne2 N-1\o1N1 OH O NH2 where Ro and R are defined above. For example, common Solvent used in semiconductor processing can be functional O H ized with amidoxime functional groups. For example:

0489. This reaction is particularly versatile, especially when applied to the synthesis of muitidentate amidoxime compounds (i.e. molecules containing two or more ami CN and doXime functional groups). For example, it can be used to functionalize compounds having two or more NH groups. In one example, the reaction can be used to functionalize a NH CN N molecule containing two or more primary amines. He 0490 For example: N CN

O O HN-Nil, -- NC n-nt--n- CN 0495 Details of theses reactions are contained in the examples. where n is 1 or more, for example 1 to 24. 0496 Similarly, an oxygen nucleophile may be used to 0491. Further functionalization of a primary amine is pos provide nitrile precursors to amidoxime molecules. In one sible. embodiment, the nucleophile is an alcohol: US 2009/O 137191 A1 May 28, 2009

CN -continued ROH n-CN - RO He X X 1. RO R R

Null NRR, NC CN where R is alkyl, heteroalkyl, aryl or heteroaryl. where R and R2 are independently selected alkyl groups, heteroalkyl groups, aryl groups, heteroaryl groups and het 0497 For example, polyalcohol compounds may be func erOatOmS. tionalized. Poly-alcohols are molecules that contain more 0502. A specific example of this reaction sequence where than one alcohol functional group. As an example, the fol R=ROEt is given in the examples. lowing is a polyalcohol: 0503 Nitrile groups themselves act to lower the pK of OH in the alpha position. This in fact means that some times control of reaction conditions is preferably used to les-el-l Hess prevent a cyano compound, once formed by reaction of a pi nucleophile with acrylonitrile, from deprotonating at its alpha CN position and reacting with a second acrylonitrile group. For -> O example, selection of base and reaction conditions (e.g. tem perature) can be used to prevent this secondary reaction. --pi N-1NN However, this observation can be taken advantage of to func wherein n is 0 or more, for example 0 to 24. In one example, tionalize molecules that already contain one or more nitrile n is 0 (glycol). In another example, n is 6 (Sorbitol). functionalities. For example, the following reaction occurs in 0498. In another example, the polyalcohol forms part of a basic conditions: polymer. For example, reaction may be carried out with a polymer comprising polyethylene oxide. For example, the CN CN polymer may contain just units, or may com CN prise polyethylene oxide units as a copolymer (i.e. with one or more other monomer units). For example, the polymer may be a block copolymer comprising polyethylene oxide. For copolymers, especially block copolymers, the polymer may CN comprise a monomer unit not containing alcohol units. For example, the polymer may comprise blocks of polyethylene 0504 The cyanoethylation process usually requires a glycol (PEG). Copolymer (e.g. block copolymers) of poly strong base as a catalyst. Most often such bases are alkali ethylene oxide and polyethylene glycol may be advantageous metal hydroxides such as, e.g., sodium oxide, lithium hydrox because the surfactant properties of the blocks of polyethyl ide, Sodium hydroxide and potassium hydroxide. These met ene glycol can be used and controlled. als, in turn, can exist as impurities in the amidoxime com 0499 Carbon nucleophiles can also be used. Many carbon pound solution. The existence of Such metals in the nucleophiles are known in the art. For example, an enol group amidoxime compound solution is not acceptable for use in can act as a nucleophile. Harder carbon-based nucleophiles electronic, and more specifically, semiconductor manufactur can be generated by deprotonation of a carbon. While many ing processes and as stabilizer for hydroxylamine freebase carbons bearing a proton can be deprotonated if a strong and other radical sensitive reaction chemicals. enough base is provided, it is often more convenient to be able 0505 Preferred alkali bases are metal ion free organic to use a weak base to generate a carbon nucleophile, for ammonium hydroxide compound, such as tetramethylammo example NaOEt or LDA. As a result, in one embodiment, a nium hydroxide, trimethylbenzylammonium hydroxide and CH group having a pKa of 20 or less, for example 15 or less, the like. is deprotonated to form the carbon-based nucleophile. 0506 B) Water 0500 An example of a suitable carbon-based nucleophile 0507 Within the scope of this invention, water may be is a molecule having the beta-diketone functionality (it being introduced into the composition essentially only in chemi understood that the term beta-diketone also covers aldehydes, cally and/or physically bound form or as a constituent of the esters, amides and other C=O containing functional groups. raw materials or compounds. Furthermore, one or both of the C=O groups may be (0508 C) Solvent from about 1% to 99% by Weight. replaced by NH or NOH). 0509. The compositions of the present invention also 0501) For example: include 0% to about 99% by weight and more typically about 1% to about 80% by weight of a water miscible organic X X X X solvent, where the solvent(s) is/are preferably chosen from the group of water miscible organic solvents. ---, Ri R 0510 Examples of water miscible organic solvents include, but are not limited to, dimethylacetamide (DMAC), N-methylpyrrolidinone (NMP), N-Ethyl pyrrolidone (NEP), CN N-Hydroxyethyl Pyrrolidone (HEP), N-Cyclohexyl Pyrroli done (CHP) dimethylsulfoxide (DMSO), Sulfolane, dimeth US 2009/O 137191 A1 May 28, 2009 64 ylformamide (DMF), N-methylformamide (NMF), forma 2-tridecylheptadecanoic acid, 2-tetradecyloctadecanoic acid, mide, Monoethanolamine (MEA), Diglycolamine, dimethyl 2-pentadecylnonadecanoic acid, 2-hexadecyleicosanoic 2-piperidone (DMPD), morpholine, N-morpholine-N-Oxide acid, 2-heptadecylheneicosanoic acid. (NMNO), tetrahydrofurfuryl alcohol, cyclohexanol, cyclo 0517. The group of unbranched saturated or unsaturated hexanone, polyethylene glycols and polypropylene glycols, di- or tricarboxylic acids includes the following: pro glycerol, glycerol carbonate, triacetin, ethylene glycol, pro panedioic acid (malonic acid), butanedioic acid (Succinic pylene glycol, propylene carbonate, hexylene glycol, ethanol acid), pentanedioic acid (glutaric acid), hexanedioic acid and n-propanol and/or isopropanol, diglycol, propyl or butyl (adipic acid), heptanedioic acid (pimelic acid), octanedioic diglycol, hexylene glycol, ethylene glycol methyl ether, eth acid (Suberic acid), nonanedioic acid (aZelaic acid), ylene glycol ethyl ether, ethylene glycol propyl ether, ethyl decanedioic acid (sebacic acid), 2c-butenedioic acid (maleic ene glycol mono-n-butyl ether, diethylene glycol methyl acid), 2t-butenedioic acid (fumaric acid), 2-butynedicarboxy ether, diethylene glycol ethyl ether, propylene glycol methyl, lic acid (acetylenedicarboxylic acid). ethyl or propyl ether, dipropylene glycol methyl or ethyl 0518. The group of aromatic mono-, di- and tricarboxylic ether, methoxy, ethoxy or butoxy triglycol, 1-butoxyethoxy acids includes the following: benzoic acid, 2-carboxybenzoic 2-propanol, 3-methyl-3-methoxybutanol, propylene glycol acid (phthalic acid), 3-carboxybenzoic acid (isophthalic t-butyl ether, and other amides, alcohols or pyrrolidones, acid), 4-carboxybenzoic acid (terephthalic acid), 3,4-dicar ketones, Sulfoxides, or multifunctional compounds, such as boxybenzoic acid (trimellitic acid), and 3,5-dicarboxyben hydroxyamides or aminoalcohols, and mixtures of these sol Zoic acid (trimesionic acid). vents thereof. The preferred solvents, when employed, are 0519. The group of sugar acids includes the following: dimethyl acetamide and dimethyl-2-piperidone, dimethylsu galactonic acid, mannonic acid, fructonic acid, arabinonic foxide and N-methylpyrrolidinone, diglycolamine, and acid, Xylonic acid, ribonic acid, 2-deoxyribonic acid, alginic monoethanolamine. acid. 0511 D) Acids from about 0.001% to 15% by Weight. 0520. From the group of hydroxy acids: hydroxypheny 0512 Possible acids are either inorganic acids or organic lacetic acid (mandelic acid), 2-hydroxypropionic acid (lactic acids provided these are compatible with the other ingredi acid), hydroxysuccinic acid (malic acid), 2,3-dihydroxybu entS. tanedioic acid (tartaric acid), 2-hydroxy-1,2,3-propanetricar 0513 Inorganic acids include hydrochloric acid, hydrof boxylic acid (citric acid), ascorbic acid, 2-hydroxybenzoic luoric acid, Sulfuric acid, phosphoric acid, phosphorous acid, acid (Salicylic acid), and 3,4,5-trihydroxybenzoic acid (gallic hypophosphorous acid, phosphonic acid, nitric acid, and the acid). like. 0521. The group of oxo acids includes the following: 0514 Organic acids include monomeric and/or polymeric 2-oxopropionic acid (pyruvic acid) and 4-oxopentanoic acid organic acids from the groups of unbranched saturated or (levulinic acid). unsaturated monocarboxylic acids, of branched Saturated or 0522 The group of amino acids includes the following: unsaturated monocarboxylic acids, of saturated and unsatur alanine, Valine, leucine, isoleucine, proline, tryptophan, phe ated dicarboxylic acids, of aromatic mono-, di- and tricar nylalanine, methionine glycine, serine, tyrosine, threonine, boxylic acids, of Sugar acids, of hydroxy acids, of oxoacids, cysteine, asparagine, glutamine, aspartic acid, glutamic acid, of amino acids and/or of polymeric carboxylic acids are pre lysine, arginine, and histidine. ferred. 0523 E) Bases from about 1% to 45% by Weight. 0515. The group of unbranched saturated or unsaturated monocarboxylic acids includes the following: methanoic acid 0524 Possible bases are either inorganic bases or organic (formic acid), ethanoic acid (acetic acid), propanoic acid bases provided these are compatible with the other ingredi (propionic acid), pentanoic acid (Valeric acid), hexanoic acid entS. (caproic acid), heptanoic acid (enanthic acid), octanoic acid 0525 Inorganic bases include sodium hydroxide, lithium (caprylic acid), nonanoic acid (pelargonic acid), decanoic hydroxide, potassium hydroxide, ammonium hydroxide and acid (capric acid), undecanoic acid, dodecanoic acid (lauric the like. acid), tridecanoic acid, tetradecanoic acid (myristic acid), 0526 Organic bases including organic amines, and qua pentadecanoic acid, hexadecanoic acid (palmitic acid), hep ternary alkylammonium hydroxide which may include, but tadecanoic acid (margaric acid), octadecanoic acid (Stearic are not limited to, tetramethylammonium hydroxide acid), eicosanoic acid (arachidic acid), docosanoic acid (be (TMAH), TMAH pentahydrate, benzyltetramethylammo henic acid), tetracosanoic acid (lignoceric acid), hexa nium hydroxide (BTMAH), TBAH, choline, and Tris(2-hy cosanoic acid (cerotic acid), triacontanoic acid (melissic droxyethyl)methylammonium hydroxide (TEMAH). acid), 9c-hexadecenoic acid (palmitoleic acid), 6c-octade 0527 F) Activator from about 0.001% to 25% by Weight cenoic acid (petroselic acid), 6t-octadecenoic acid (petrose 0528. According to the present invention, the cleaning laidic acid), 9c-octadecenoic acid (oleic acid), 9t-octade compositions comprise one or more Substances from the cenoic acid (elaidic acid), 9c.12c-octadecadienoic acid group of activators, in particular from the groups of polyacy (linoleic acid), 9t, 12t-octadecadienoic acid (linolaidic acid) lated alkylenediamines, in particular tetraacetylethylenedi and 9c.12c, 15c-octadecatrienoic acid (linolenic acid). amine (TAED), N-acylimides, in particular N-nonanoylsuc 0516. The group of branched saturated or unsaturated cinimide (NOSI), acylated phenolsulfonates, in particular monocarboxylic acids includes the following: 2-methylpen n-nonanoyl- or isononanoyloxybenzenesulfonate (n- or iso tanoic acid, 2-ethylhexanoic acid, 2-propylheptanoic acid, NOBS) and n-methylmorpholiniumacetonitrile, methylsul 2-butyloctanoic acid, 2-pentylnonanoic acid, 2-hexylde fate (MMA), and “nitrile quaternary” compound in amounts canoic acid, 2-heptylundecanoic acid, 2-octyldodecanoic of from 0.1 to 20% by weight, preferably from 0.5 to 15% by acid, 2-nonyltridecanoic acid, 2-decyltetradecanoic acid, weight and in particular from 1 to 10% by weight, in each case 2-undecylpentadecanoic acid, 2-dodecylhexadecanoic acid, based on the total composition to enhance the oxidation/ US 2009/O 137191 A1 May 28, 2009 65 reduction performance of the cleaning solutions. The “nitrile belong to different chemical groups. Preferred chelating/ quats, cationic nitrites has the formula: complexing agents include the following, individually or in a mixture with one another. 0537 1) polycarboxylic acids in which the sum of the O carboxyl and optionally hydroxyl groups is at least 5, Such as X gluconic acid, R CH-H CEN 0538 2) nitrogen-containing mono- or polycarboxylic VGE M R-N CH2 acids, Such as ethylenediaminetetraacetic acid (EDTA). / pi N-hydroxyethylethylenediaminetriacetic acid, diethylenetra R3 minepentaacetic acid, hydroxy-ethyliminodiacetic acid, nitridodiacetic acid-3-propionic acid, isoserinediacetic acid, 0529 G) Compounds Having Oxidation and Reduction N,N-di(..beta-hydroxyethyl)glycine, N-(1,2-dicarboxy-2- Potential from about 0.001% to 25% by Weight. hydroxyethyl)glycine, N-(1,2-dicarboxy-2-hydroxyethyl)- 0530. These compounds include hydroxylamine and its aspartic acid or nitrilotriacetic acid (NTA), salts, such as hydroxylamine chloride, hydroxylamine 0539 3) geminal diphosphonic acids, such as 1-hydroxy nitrate, hydroxylamine Sulfate, hydroxylamine phosphate or ethane-1,1-diphosphonic acid (HEDP), higher homologs its derivatives, such as N,N-diethylhydroxylamine, N-Phe thereof having up to 8 carbon atoms, and hydroxy or amino nylhydroxylamine. group-containing derivatives thereof and 1-aminoethane-1,1- 0531 Hydrazine and its derivatives; hydrogen peroxide: diphosphonic acid, higher homologs thereof having up to 8 persulfate salts of ammonium, potassium and Sodium, per carbon atoms, and hydroxy or amino group-containing manganate salt of potassium, Sodium; and other sources of derivatives thereof, peroxide are selected from the group consisting of perborate 0540 4) aminophosphonic acids, such as ethylenedi monohydrate, perborate tetrahydrate, percarbonate, salts amine-tetra(methylenephosphonic acid), diethylenetri thereof, and combinations thereof. For environmental rea aminepenta (methylenephosphonic acid) or nitrilotri (methyl Sons, hydroxylamine phosphate is not preferred. enephosphonic acid), 0532. Other compounds which may be used as ingredients 0541 5) phosphonopolycarboxylic acids, Such as within the scope of the present invention are the diacyl per 2-phosphonobutane-1,2,4-tricarboxylic acid, and oxides, such as, for example, dibenzoyl peroxide. Further 0542 6) cyclodextrins. typical organic compounds which have oxidation/reduction (0543. Surfactants from about 10 ppm to 5%. potentials are the peroxy acids, particular examples being the 0544 The compositions according to the invention may alkyl peroxy acids and the aryl peroxy acids. Preferred rep thus also comprise anionic, cationic, and/or amphoteric Sur resentatives are (a) peroxybenzoic acid and its ring Substi factants as Surfactant component. tuted derivatives. Such as alkylperoxybenzoic acids, but also 0545 Source of Fluoride Ions—from an Amount about peroxy-a-naphthoic acid and magnesium monoperphthalate, O.OO1% to 10% (b) the aliphatic or Substituted aliphatic peroxy acids, such as 0546 Sources of fluoride ions include, but are not limited peroxylauric acid, peroxy Stearic acid, c-phthalimidoperoxy to, ammonium bifluoride, ammonium fluoride, hydrofluoric caproic acid phthaloiminoperoxyhexanoic acid (PAP). acid, Sodium hexafluorosilicate, fluorosilicic acid and tet o-carboxybenzamidoperoxycaproic acid, N-nonenylamidop rafluoroboric acid. eradipic acid and N-nonenylamidoperSuccinate, and (c) ali 0547. The components of the claimed compositions can be phatic and araliphatic peroxydicarboxylic acids, such as 1.2- metered and mixed in situ just prior dispensing to the Sub diperoxycarboxylic acid, 1,9-diperoxyaZelaic acid, strate surface for treatment. Furthermore, analytical devices diperoxysebacic acid, diperoxybrassylic acid, the diperoX can be installed to monitor the composition and chemical yphthalic acids, 2-decyldiperoxybutane-1,4-dioic acid, N.N- ingredients can be re-constituted to mixture to the specifica terephthaloyldic 6-aminopercaproic acid) may be used. tion to deliver the cleaning performance. Critical parameters 0533 H). Other Chelating Agents Preferably, the Clean that can be monitored include, but are not limited to, physical ing Composition Comprises (by Weight of the Composition) and chemical properties of the composition, Such as pH, water from 0.0% to 15% of Additional One or More Chelant. concentration, oxidation/reduction potential and solvent 0534. A further possible group of ingredients are the che components. late complexing agents. Chelate complexing agents are Sub 0548. The composition claims a range at point of use and stances which form cyclic compounds with metalions, where also as mixtures which can be diluted to meet the specific a single ligand occupies more than one coordination site on a cleaning requirements. central atom, i.e. is at least “bidentate'. In this case, stretched 0549 Summary of preferred amidoxime compounds from compounds are thus normally closed by complex formation nitriles and not limited to via an ion to give rings. The number of bonded ligands depends on the coordination number of the central ion. 0535 Complexing groups (ligands) of customary com plex forming polymers are iminodiacetic acid, hydrox Nitrile (N) Amidoxime (AO) yduinoline, thiourea, guanidine, dithiocarbamate, hydrox 3 3-hydroxypropionitrile N",3-dihydroxypropanimidamide amic acid, amidoxime, aminophosphoric acid, (cycl.) 4 Acetonitrile NN'-hydroxyacetimidamide polyamino, mercapto, 1.3-dicarbonyl and crown ether radi S 3- N'-hydroxy-3- methylaminopropionitrile (methylamino)propanimidamide cals, Some of which have very specific activities toward ions 6 Benzonitrile N'-hydroxybenzimidamide of different metals. 8 3,3' 3,3'-azanediylbis(N'- 0536 For the purposes of the present invention, it is pos iminodipropionitrile hydroxypropanimidamide) sible to use complexing agents of the prior art. These may

US 2009/O 137191 A1 May 28, 2009 67

-continued Cyanoethylated Amidoxime from Nucleophilic Compounds cyanoethylated ID compounds (CE) compounds (AO) 50 acetamide N,N-bis(2-cyanoethyl)acetamide N,N-bis(3-amino-3- (hydroxyimino)propyl)acetamide 51 anthranilonitrile 3,3'-(2-cyanophenylazanediyl) dipropanenitrile hydroxycarbamimidoyl)phenylazanediyl)bis (N'-hydroxypropanimidamide) 52 diethanolamine 3,3'-(2,2'-(2- 3,3'-(2,2'-(3-amino-3- cyanoethylazanediyl)bis(ethane (hydroxyimino)propylazanediyl) 2,1-diyl)bis(Oxy))dipropane bis(ethane-2,1,diyl)bis(oxy)bis nitrile (N'-hydroxypropanimidamide

0552 For example, CE36 represents cyanoethylated prod (e) conducting CMP on a second wafer; and then uct of ethylene glycol and AO36 is from reacting 3-(2-ethoxy (f) repeating steps (b) through (e) one or more times. ethoxy) propanenitrile with hydroxylamine to form its corre 6. The method of claim 5 wherein the water is deionized sponding amidoxime. Water. 0553 While the invention has been described and illus trated herein by references to various specific materials, pro 7. The method according to claim 5, comprising applying cedures and examples, it is understood that the invention is the Solution to a rotating polishing pad at a flow rate of about not restricted to the particular combinations of materials and 100 to about 600 ml/min. procedures selected for that purpose. Numerous variations of 8. The method according to claim 6, comprising applying such details can be implied as will be appreciated by those the composition to the rotating polishing pad for about 3 skilled in the art. It is intended that the specification and seconds to about 20 seconds. examples be considered as exemplary, only, with the true 9. A method of cleaning a Surface of a polishing pad, scope and spirit of the invention being indicated by the fol comprising: lowing claims. All references, patents, and patent applica (a) conducting chemical-mechanical polishing (CMP) on a tions referred to in this application are herein incorporated by first wafer on the surface of the polishing pad; reference in their entirety. (b) removing the first wafer from the polishing pad; What is claimed is: (c) applying to the polishing pad surface a cleaning com 1. A method of cleaning a polishing pad surface Subsequent position, wherein the cleaning composition is a solution to chemical-mechanical polishing (CMP) a wafer surface comprising from about 2 ppm to about 50 percent by containing copper (Cu) or a Cu-based alloy, the method com weight of one or more compounds having at least one prising applying to the polishing pad surface a cleaning com amidoxime functional group in deionized water, option position comprising from about 2 ppm to about 50 percent by ally, with an acid or a base in amount Such that the weight of one or more compounds having at least one ami composition effectively solubilize the copper and cop doXime functional group in water, optionally, with an acid or a base in amount Such that the composition effectively solu per alloy; and bilizes the copper and copper alloy. (d) cleaning the polishing pad surface with the cleaning 2. The method of claim 1, wherein the water is deionized composition. Water. 10. The method of claim 9, wherein the cleaning compo 3. The method according to claim 1, comprising applying sition further comprises hydrogen peroxide or hydroxy the composition to a rotating polishing pad at a flow rate of lamine, wherein the mixing ratio of the one or more com about 100 to about 600 ml/min. pounds having at least one amidoxime functional group: 4. The method according to claim 3, comprising applying hydrogen peroxide or hydroxylamine: water ranges from the composition to the polishing pad for about 3 seconds to about 1:4:20 to about 1:1:5, wherein the waiting time for about 20 seconds after conducting CMP on each of a plurality allowing the solution to react with the residue is between to wafers having a Surface comprising Cuor Cu alloy. about 30 to about 180 seconds, and wherein the solution is 5. A method comprising the steps of: applied to the polishing pad at a heated temperature between (a) conducting chemical-mechanical polishing (CMP) on a about 40° C. and about 80° C. first wafer Surface of a first wafer containing copper (Cu) 11. The method of claim 9 wherein the one or more com or a Cu-based alloy on a Surface of a polishing pad; pounds having at least one amidoxime functional group have (b) removing the first wafer from the pad; at least one of the following structures: (c) applying to the polishing pad Surface a cleaning com positions wherein the cleaning composition is a solution comprising about 2 ppm to about 50 percent by weight of NOH NOH NOH NOH one or more compounds having at least one amidoxime functional group in water, optionally, with an acid or a R ls NH Rls NRH R l NRR, R lsNRRR base in amount Such that the composition effectively Solubilize the copper and copper alloy; (d) rinsing the polishing pad surface with water to remove or tautomers thereof, wherein R. R. R., and R are indepen any cleaning composition on the polishing Surface; dently alkyl, heteroalkyl, aryl or heteroaryl. US 2009/O 137191 A1 May 28, 2009

12. The method of claim 9, wherein the one or more com hydroxypropanimidamide); N,N-bis(3-amino-3- pounds having at least one amidoxime functional group have (hydroxyimino)propyl)acetamide; 3,3'-(2-(N'- the following structure: hydroxycarbamimidoyl)phenylazanediyl)bis(N'- hydroxypropanimidamide); 3.3-(2,2'-(3-amino-3- (hydroxyimino)propylazanediyl)bis(ethane-2,1-diyl))bis Y NOH (oxy)bis(N'-hydroxypropanimidamide) and mixtures thereof. 15. The composition of claim 14, wherein the one or more compounds having at least one amidoxime functional group R R2 are selected from the group consisting of 3,3'3".3"-(ethane 1,2-diylbis(azanetriyl))tetrakis(N'-hydroxypropanimida mide); 3,3'-(ethane-1,2-diylbis(oxy)bis(N'-hydroxypropan wherein R, R and R are independently hydrogen, het imidamide); 1,2,3,4,5,6-hexakis-O-3-(hydroxyamino)-3- eroatoms, heterogroups, alkyl, heteroalkyl, aryl or het iminopropyl Hexitol: 3,3'-(2,2-bis((3-(hydroxyamino)-3- eroaryl; and wherein Y is O. NH or NOH. iminopropoxy)methyl)propane-1,3-diyl)bis(oxy)bis(N- 13. The method of claim 9, wherein the one or more com hydroxypropanimidamide); N'.2-dihydroxyacetimidamide pounds having at least one amidoxime functional group have and mixtures thereof. the following structure: 16. The method of claim 9, wherein the one or more com pounds having at least one amidoxime functional group are derived from the reaction of a nitrile with hydroxylamine. Y NOH 17. The method of claim 1, wherein the one or more com pounds containing at least one amidoxime functional group R NH2 are present in the polishing composition in an amount of R R4 about 0.001 percent by weight to about 5 percent by weight. Rs 18. The method of claim 1, wherein the cleaning compo NH2 sition further comprises one or more oxidizers and one or R6 more surface-active agents, and wherein the Surface-active R 7 NOH agents include at least one member selected from the group consisting of anionic Surfactants, Zwitter-ionic Surfactants, multi-ionic Surfactants, and combinations thereof. wherein R, R and R are independently hydrogen, het 19. The method of claim 9, wherein the surface of the first eroatoms, heterogroups, alkyl, heteroalkyl, aryl or het wafer to be polished is substantially comprised of an oxide, eroaryl, and wherein the cleaning composition, optionally, further wherein Y is O, NH or NOH, and comprises H2O, or hydroxylamine. wherein R. R. R. and R, are independently hydrogen, 20. The method of claim 1, wherein the at least one surfac heteroatoms, heterogroups, alkyl, heteroalkyl, aryl or tant is selected from the group consisting of sodium salts of heteroaryl. polyacrylic acid, potassium oleate, SulfoSuccinates, Sulfo Suc 14. The method of claim 11, wherein the one or more cinate derivatives, Sulfonated amines, Sulfonated amides, Sul compounds having at least one amidoxime functional group fates of alcohols, alkylanyl Sulfonates, carboxylated alcohols, are selected from the group consisting of 1,2,3,4,5,6-hexakis alkylamino propionic acids, alkyliminodipropionic acids, O-3-(hydroxyamino)-3-iminopropyl Hexitol: 3,3'3".3"- and combinations thereof, and wherein the Surfactant is (ethane-1,2-diylbis(azanetriyl)tetrakis(N'-hydroxypropan present in an amount between about 0.001 and about 10 imidamide); 3,3'-(ethane-1,2-diylbis(oxy)bis(N'- percent by weight of the composition. hydroxypropanimidamide); 3-(diethylamino)-N'- 21. The method of claim 1, wherein the cleaning compo hydroxypropanimidamide: 3,3'-(piperazine-1,4-diyl)bis(N'- sition further comprises a compound with oxidization or hydroxypropanimidamide); 3-(2-ethoxyethoxy)-N'- reduction potential. hydroxypropanimidamide: 3-(2-(2-(dimethylamino)ethoxy) 22. The method of claim 1, wherein the composition is ethoxy)-N'-hydroxypropanimidamide: N'-hydroxy-3- further diluted with water prior to applying it to the polishing (phenylamino)propanimidamide: 3,3',3'-nitrilotris(N'- pad surface. hydroxypropanimidamide); 3,3'-(2,2-bis((3- 23. The method of claim 22, wherein the dilution factor is (hydroxyamino)-3-iminopropoxy)methyl)propane-1,3-diyl) from about 10 to 500. bis(oxy)bis(N-hydroxypropanimidamide); 3,3'-(2,2'- (methylazanediyl)bis(ethane-2,1-diyl)bis(oxy)bis(N'-