An Optimal Power Supply and Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET

Total Page:16

File Type:pdf, Size:1020Kb

An Optimal Power Supply and Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET An Optimal Power Supply And Body Bias Voltage for an Ultra Low Power Micro-Controller with Silicon on Thin BOX MOSFET Hayate Okuharay, Kuniaki Kitamoriy, Yu Fujitay, Kimiyoshi Usamiz, and Hideharu Amanoy yKeio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama, Japan zShibaura Institute of Technology, 3-7-5 Toyosu, Kohtoh-ku, Tokyo, Japan yE-mail: fhayate,[email protected] zE-mail: [email protected] Abstract| Body bias control is an efficient means of Although a CPU with the SOTB was investigated in [2], it balancing the trade-off between leakage power and perfor- was not based on a performance and power model. mance especially for chips with silicon on thin buried oxide In the present work, we propose and examine a method (SOTB), a type of FD-SOI technology. In this work, a to find the optimal combination of supply voltage and back- method for finding the optimal combination of the supply gate bias for a micro-controller with the SOTB technique. voltage and body bias voltage to the core and memory is The main contributions of this paper are: proposed and applied to a real micro-controller chip using • A method is proposed to optimize the supply voltage SOTB CMOS technology. By obtaining several coefficients and back-gate bias for a real 32-bit micro-controller of equations for leakage power, switching power and op- implemented with a 65-nm SOTB CMOS technique in erational frequency from the real chip measurements, the which the core and memory are controlled indepen- optimized voltage setting can be obtained for the target dently. operational frequency. The power consumption lost by the • A theoretical model is proposed and examined through error of optimization is 12.6% at maximum, and it can save the evaluation results of a real chip. The accuracy of at most 73.1% of power from the cases where only the body the optimization ranged from 5.23% to 12.6%. bias voltage is optimized. This method can be applied to • By applying the proposed method, the total power can the latest FD-SOI technologies. be reduced by 73% without degrading performance. Keywords| Body bias control, Low power design, The rest of this paper is organized as follows. Section 2 de- Micro-controller, FD-SOI, SOTB. scribes the SOTB technique with a power and performance model. The model of consumed power and operational fre- I. Introduction quency is shown in Section 3. The target micro-controller is introduced in Section 4 and the parameters of the power Ultra low power micro-controllers that can maintain for and performance model are obtained from the real chip at least 10 years with a simple Li or solar battery are re- measurement. In Section 5, we show optimization exam- quired for the latest wearable computing and sensor nodes. ples and examine the effectiveness of the proposed tech- This performance requirement means that 32-bit micropro- nique. We conclude in Section 6 with a summary and a cessors that can work with a 20 MHz or higher clock are brief mention of future work. needed instead of the conventional tiny processors near the threshold level working with a hundreds of kilo Hertz oper- II. ational clock. To fulfill these requirements, a novel FD-SOI SOTB and back gate bias control technique called silicon on thin buried oxide (SOTB) has A. SOTB CMOSFET been developed [1] and implemented on low power micro- processors [2], accelerators [3], and FPGAs [4]. Silicon on thin buried oxide (SOTB) is a novel FD-SOI An important feature of SOTB is that it can control device developed by Low Power Electronics Association & the trade-off between performance and leakage current by Product (LEAP). Figure 1 shows a cross-sectional view of changing the back-gate bias. By giving reverse bias, the SOTB CMOSFET. Unlike other SOI devices, CMOSFET leakage current can be reduced while the delay is stretched is formed on a 10-nm ultra thin box layer. Since the FD- and forward bias can enhance the performance while in- SOI can suppress short channel effect (SCE), impurity dop- creasing the leakage current. Thus, optimization by chang- ing is not necessary. The variation of threshold level by the ing both the supply voltage and the back-gate bias is key random dopant fluctuation is reduced, which is why SOTB for taking full advantage of the SOTB technique. Finding MOSFET is suitable for operation with low voltage supply. the energy minimum point by controlling both the supply Since a transistor and back gate are separated by the box voltage and the back-gate bias has been widely researched layer, p-n junction leakage current between drain/source [5] [6][7]. However, from the viewpoint of designing practi- and substrate is also removed. Accordingly, compared with cal systems, minimizing the energy using the lower clock, conventional bulk CMOS processes, controllability of the which cannot satisfy the required performance, is useless. back gate is improved. The triple-well structure prevents Kao et al. [8] investigated optimization techniques from leakage current of the back gate bias control. This SOTB the practical viewpoint, but their study targeted only the structure enables to change characteristics by the control- functional units and used a conventional bulk technique. ling the power supply voltage and back gate biasing. Back Gate −7 10 10−7 nMOSFET nMOSFET 28-nm FDSOI at V =1.0V 28-nm FDSOI DD at Zero Bias 10−8 STI 10−8 P-well N-well 65-nm FDSOI 65-nm FDSOI 10−9 at V =0.4V at Zero Bias DD Leakage Current[A] Deep n-well Leakage Current[A] P-sub 10−9 −10 (a) (b) Box layer 0.4 0.6 0.8 1 1.2 10 -0.5 −0.3 −0.1 0.1 V VBN DD[V] [V] Fig. 1. Cross-sectional view of SOTB MOSFET: (a)pMOS (b)nMOS (a) (b) B. Power of LSIs Fig. 2. Leakage current of SOTBMOSFET (a)characteristics of VDD (b)characteristics of V BN In general, The consumption power of LSIs is represented as the reverse bias. Note that, with the reverse bias, delay 2 Pall = IleakVDD + αatfCVDD; (1) time increases. The leakage current also increases exponentially to V . where I is leakage current, α is activity factor, C DD leak at Note that, in the case of V , lower V results in lower is capacitance, and f is an operating frequency. The first DD DD switching power quadratic. term represents static power by the leakage current and the second one is the switching power of transistors. In the bulk C. Maximum operational frequency MOSFET, leakage current consists of (1) sub-threshold leakage current, (2) gate tunneling current, (3) gate in- In MOSFET, the gate delay is represented with the α duced drain leakage (GIDL), and (4) p-n junction leakage power low[9]. current. However, in the FD-SOI structure, GIDL and p-n CVDD td = k α (4) junction leakage current are suppressed in the normal us- (VDD − VTH ) age[1]. So we only need to consider the sub-threshold leak- Here, k is the process parameter, α is a parameter to age current and gate tunneling current. The sub-threshold consider velocity saturation in MOSFET, and V is the leakage current I is represented as TH sub threshold voltage. The maximum operational frequency − −V Vgs+η(Vds VDD )+Kγ Vsb ds f is proportional to the reciprocal of t . v max d Isub = Ioff 10 S (1 − e T ); (2) α (VDD − VTH ) where vT is thermal voltage, S is sub-threshold slope, fmax = F ; (5) VDD Ioff is the sub-threshold leakage current at Vgs = 0 and Vds = VDD, Kγ is a coefficient of the back gate bias, and η where F is a constant number related to frequency. The is a coefficient of the drain to source voltage[9]. threshold voltage (VTH ) varies due to the back gate biasing, The gate tunneling current Igt is and it can be linearly approximated as follows: tox VDD 2 −PB I = WP ( ) e VDD ; (3) gt A VTH = Vt0 − Kγ V BN; (6) tox where tox is thickness of gate oxide and W is gate width. where Vt0 is the threshold voltage with the zero bias[9]. PA and PB are constants determined by transistor pro- This equation, which is for nMOSFET, but can also be cess[9]. Leakage current of the transistor is, thus, an expo- used to represent pMOSFET, shows that the maximum nential function of VDD and back gate bias voltage. Figure operational frequency is also a function of VDD and back 2 shows the leakage from the sub-threshold leakage current gate voltage (V BN and V BP ). In nMOSFET, when V BN and the gate tunneling current in nMOSFET, including is higher than the source voltage, it is called the forward (a) the relationship to VDD and (b) the relationship to the bias that increases the maximum operational frequency. In back gate biasing. Here, V BN(V BP ) shows the back gate pMOSFET, when V BP is lower than the source voltage, it bias voltage given to nMOSFET(pMOSFET). Both figures is also called forward bias. Note that, the leakage current show results of SPICE simulation of ST micro's 28nm FD- increases exponentially with increasing forward bias. SOI and 65nm SOTB. The different process technologies re- From the practical viewpoint, a system like a micro- sulted in different the dominant leakage currents, but even controller must work at the operational frequency that sat- so, the leakage current increases exponentially to VDD and isfies the performance requirement.
Recommended publications
  • Synthesis and Verification of Digital Circuits Using Functional Simulation and Boolean Satisfiability
    Synthesis and Verification of Digital Circuits using Functional Simulation and Boolean Satisfiability by Stephen M. Plaza A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Computer Science and Engineering) in The University of Michigan 2008 Doctoral Committee: Associate Professor Igor L. Markov, Co-Chair Assistant Professor Valeria M. Bertacco, Co-Chair Professor John P. Hayes Professor Karem A. Sakallah Associate Professor Dennis M. Sylvester Stephen M. Plaza 2008 c All Rights Reserved To my family, friends, and country ii ACKNOWLEDGEMENTS I would like to thank my advisers, Professor Igor Markov and Professor Valeria Bertacco, for inspiring me to consider various fields of research and providing feedback on my projects and papers. I also want to thank my defense committee for their comments and in- sights: Professor John Hayes, Professor Karem Sakallah, and Professor Dennis Sylvester. I would like to thank Professor David Kieras for enhancing my knowledge and apprecia- tion for computer programming and providing invaluable advice. Over the years, I have been fortunate to know and work with several wonderful stu- dents. I have collaborated extensively with Kai-hui Chang and Smita Krishnaswamy and have enjoyed numerous research discussions with them and have benefited from their in- sights. I would like to thank Ian Kountanis and Zaher Andraus for our many fun discus- sions on parallel SAT. I also appreciate the time spent collaborating with Kypros Constan- tinides and Jason Blome. Although I have not formally collaborated with Ilya Wagner, I have enjoyed numerous discussions with him during my doctoral studies. I also thank my office mates Jarrod Roy, Jin Hu, and Hector Garcia.
    [Show full text]
  • A Logic Synthesis Toolbox for Reducing the Multiplicative Complexity in Logic Networks
    A Logic Synthesis Toolbox for Reducing the Multiplicative Complexity in Logic Networks Eleonora Testa∗, Mathias Soekeny, Heinz Riener∗, Luca Amaruz and Giovanni De Micheli∗ ∗Integrated Systems Laboratory, EPFL, Lausanne, Switzerland yMicrosoft, Switzerland zSynopsys Inc., Design Group, Sunnyvale, California, USA Abstract—Logic synthesis is a fundamental step in the real- correlates to the resistance of the function against algebraic ization of modern integrated circuits. It has traditionally been attacks [10], while the multiplicative complexity of a logic employed for the optimization of CMOS-based designs, as well network implementing that function only provides an upper as for emerging technologies and quantum computing. Recently, bound. Consequently, minimizing the multiplicative complexity it found application in minimizing the number of AND gates in of a network is important to assess the real multiplicative cryptography benchmarks represented as xor-and graphs (XAGs). complexity of the function, and therefore its vulnerability. The number of AND gates in an XAG, which is called the logic net- work’s multiplicative complexity, plays a critical role in various Second, the number of AND gates plays an important role cryptography and security protocols such as fully homomorphic in high-level cryptography protocols such as zero-knowledge encryption (FHE) and secure multi-party computation (MPC). protocols, fully homomorphic encryption (FHE), and secure Further, the number of AND gates is also important to assess multi-party computation (MPC) [11], [12], [6]. For example, the the degree of vulnerability of a Boolean function, and influences size of the signature in post-quantum zero-knowledge signatures the cost of techniques to protect against side-channel attacks.
    [Show full text]
  • Logic Optimization and Synthesis: Trends and Directions in Industry
    Logic Optimization and Synthesis: Trends and Directions in Industry Luca Amaru´∗, Patrick Vuillod†, Jiong Luo∗, Janet Olson∗ ∗ Synopsys Inc., Design Group, Sunnyvale, California, USA † Synopsys Inc., Design Group, Grenoble, France Abstract—Logic synthesis is a key design step which optimizes of specific logic styles and cell layouts. Embedding as much abstract circuit representations and links them to technology. technology information as possible early in the logic optimiza- With CMOS technology moving into the deep nanometer regime, tion engine is key to make advantageous logic restructuring logic synthesis needs to be aware of physical informations early in the flow. With the rise of enhanced functionality nanodevices, opportunities carry over at the end of the design flow. research on technology needs the help of logic synthesis to capture In this paper, we examine the synergy between logic synthe- advantageous design opportunities. This paper deals with the syn- sis and technology, from an industrial perspective. We present ergy between logic synthesis and technology, from an industrial technology aware synthesis methods incorporating advanced perspective. First, we present new synthesis techniques which physical information at the core optimization engine. Internal embed detailed physical informations at the core optimization engine. Experiments show improved Quality of Results (QoR) and results evidence faster timing closure and better correlation better correlation between RTL synthesis and physical implemen- between RTL synthesis and physical implementation. We elab- tation. Second, we discuss the application of these new synthesis orate on synthesis aware technology development, where logic techniques in the early assessment of emerging nanodevices with synthesis enables a fair system-level assessment on emerging enhanced functionality.
    [Show full text]
  • Designing a RISC CPU in Reversible Logic
    Designing a RISC CPU in Reversible Logic Robert Wille Mathias Soeken Daniel Große Eleonora Schonborn¨ Rolf Drechsler Institute of Computer Science, University of Bremen, 28359 Bremen, Germany frwille,msoeken,grosse,eleonora,[email protected] Abstract—Driven by its promising applications, reversible logic In this paper, the recent progress in the field of reversible cir- received significant attention. As a result, an impressive progress cuit design is employed in order to design a complex system, has been made in the development of synthesis approaches, i.e. a RISC CPU composed of reversible gates. Starting from implementation of sequential elements, and hardware description languages. In this paper, these recent achievements are employed a textual specification, first the core components of the CPU in order to design a RISC CPU in reversible logic that can are identified. Previously introduced approaches are applied execute software programs written in an assembler language. The next to realize the respective combinational and sequential respective combinational and sequential components are designed elements. More precisely, the combinational components are using state-of-the-art design techniques. designed using the reversible hardware description language SyReC [17], whereas for the realization of the sequential I. INTRODUCTION elements an external controller (as suggested in [16]) is utilized. With increasing miniaturization of integrated circuits, the Plugging the respective components together, a CPU design reduction of power dissipation has become a crucial issue in results which can process software programs written in an today’s hardware design process. While due to high integration assembler language. This is demonstrated in a case study, density and new fabrication processes, energy loss has sig- where the execution of a program determining Fibonacci nificantly been reduced over the last decades, physical limits numbers is simulated.
    [Show full text]
  • Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization
    Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization Shubham Raif,6,y, Walter Lau Neton,10,y, Yukio Miyasakao,1, Xinpei Zhanga,1, Mingfei Yua,1, Qingyang Yia,1, Masahiro Fujitaa,1, Guilherme B. Manskeb,2, Matheus F. Pontesb,2, Leomar S. da Rosa Juniorb,2, Marilton S. de Aguiarb,2, Paulo F. Butzene,2, Po-Chun Chienc,3, Yu-Shan Huangc,3, Hoa-Ren Wangc,3, Jie-Hong R. Jiangc,3, Jiaqi Gud,4, Zheng Zhaod,4, Zixuan Jiangd,4, David Z. Pand,4, Brunno A. de Abreue,5,9, Isac de Souza Camposm,5,9, Augusto Berndtm,5,9, Cristina Meinhardtm,5,9, Jonata T. Carvalhom,5,9, Mateus Grellertm,5,9, Sergio Bampie,5, Aditya Lohanaf,6, Akash Kumarf,6, Wei Zengj,7, Azadeh Davoodij,7, Rasit O. Topalogluk,7, Yuan Zhoul,8, Jordan Dotzell,8, Yichi Zhangl,8, Hanyu Wangl,8, Zhiru Zhangl,8, Valerio Tenacen,10, Pierre-Emmanuel Gaillardonn,10, Alan Mishchenkoo,y, and Satrajit Chatterjeep,y aUniversity of Tokyo, Japan, bUniversidade Federal de Pelotas, Brazil, cNational Taiwan University, Taiwan, dUniversity of Texas at Austin, USA, eUniversidade Federal do Rio Grande do Sul, Brazil, fTechnische Universitaet Dresden, Germany, jUniversity of Wisconsin–Madison, USA, kIBM, USA, lCornell University, USA, mUniversidade Federal de Santa Catarina, Brazil, nUniversity of Utah, USA, oUC Berkeley, USA, pGoogle AI, USA The alphabetic characters in the superscript represent the affiliations while the digits represent the team numbers yEqual contribution. Email: [email protected], [email protected], [email protected], [email protected] Abstract—Logic synthesis is a fundamental step in hard- artificial intelligence.
    [Show full text]
  • Logical Equivalence Checking of Asynchronous Circuits Using Commercial Tools
    Logical Equivalence Checking of Asynchronous Circuits Using Commercial Tools Arash Saifhashemi Hsin-Ho Huang Priyanka Bhalerao Peter A. Beerel∗ Intel Corporation Electrical Engineering Yahoo Corporation Electrical Engineering Santa Clara, CA University of Southern California Sunnyvale, CA University of Southern California Email: [email protected] Los Angeles, CA Email: [email protected] Los Angeles, CA Email: [email protected] Email: [email protected] Abstract—We propose a method for logical equivalence check generally cannot be used to compare CSP with decomposed (LEC) of asynchronous circuits using commercial synchronous versions because the decomposition often introduces pipelining tools. In particular, we verify the equivalence of asynchronous that changes the allowed sequence of events at the external circuits which are modeled at the CSP-level in SystemVerilog as interface. Therefore, some researchers only check critical prop- well as circuits modeled at the micro-architectural level using con- erties on the final decomposed design [15], [16]. ditional communication library primitives. Our approach is based on a novel three-valued logic model that abstracts the detailed Our proposed approach is different from the previous work handshaking protocol and is thus agnostic to different gate-level in the following ways: first, since it is focused on CSP- implementations, making it applicable to a variety of different level designs, it is implementation-agnostic and can be used design styles. Our experimental results with commercial LEC for design flows that target various asynchronous templates. tools on a variety of computational blocks and an asynchronous Secondly, compared to [11], we explicitly support modules microprocessor demonstrate the applicability and limitations of the proposed approach.
    [Show full text]
  • Verilog HDL 1
    chapter 1.fm Page 3 Friday, January 24, 2003 1:44 PM Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed with vacuum tubes and transistors. Integrated circuits were then invented where logic gates were placed on a single chip. The first integrated circuit (IC) chips were SSI (Small Scale Integration) chips where the gate count was very small. As technologies became sophisticated, designers were able to place circuits with hundreds of gates on a chip. These chips were called MSI (Medium Scale Integration) chips. With the advent of LSI (Large Scale Integration), designers could put thousands of gates on a single chip. At this point, design processes started getting very complicated, and designers felt the need to automate these processes. Electronic Design Automation (EDA)1 techniques began to evolve. Chip designers began to use circuit and logic simulation techniques to verify the functionality of building blocks of the order of about 100 transistors. The circuits were still tested on the breadboard, and the layout was done on paper or by hand on a graphic computer terminal. With the advent of VLSI (Very Large Scale Integration) technology, designers could design single chips with more than 100,000 transistors. Because of the complexity of these circuits, it was not possible to verify these circuits on a breadboard. Computer- aided techniques became critical for verification and design of VLSI digital circuits. Computer programs to do automatic placement and routing of circuit layouts also became popular.
    [Show full text]
  • Object-Oriented Development for Reconfigurable Architectures
    Object-Oriented Development for Reconfigurable Architectures Von der Fakultät für Mathematik und Informatik der Technischen Universität Bergakademie Freiberg genehmigte DISSERTATION zur Erlangung des akademischen Grades Doktor Ingenieur Dr.-Ing., vorgelegt von Dipl.-Inf. (FH) Dominik Fröhlich geboren am 19. Februar 1974 Gutachter: Prof. Dr.-Ing. habil. Bernd Steinbach (Freiberg) Prof. Dr.-Ing. Thomas Beierlein (Mittweida) PD Dr.-Ing. habil. Michael Ryba (Osnabrück) Tag der Verleihung: 20. Juni 2007 To my parents. ABSTRACT Reconfigurable hardware architectures have been available now for several years. Yet the application devel- opment for such architectures is still a challenging and error-prone task, since the methods, languages, and tools being used for development are inappropriate to handle the complexity of the problem. This hampers the widespread utilization, despite of the numerous advantages offered by this type of architecture in terms of computational power, flexibility, and cost. This thesis introduces a novel approach that tackles the complexity challenge by raising the level of ab- straction to system-level and increasing the degree of automation. The approach is centered around the paradigms of object-orientation, platforms, and modeling. An application and all platforms being used for its design, implementation, and deployment are modeled with objects using UML and an action language. The application model is then transformed into an implementation, whereby the transformation is steered by the platform models. In this thesis solutions for the relevant problems behind this approach are discussed. It is shown how UML can be used for complete and precise modeling of applications and platforms. Application development is done at the system-level using a set of well-defined, orthogonal platform models.
    [Show full text]
  • Automated Synthesis of Unconventional Computing Systems
    University of Central Florida STARS Electronic Theses and Dissertations, 2004-2019 2019 Automated Synthesis of Unconventional Computing Systems Amad Ul Hassen University of Central Florida Part of the Computer Engineering Commons Find similar works at: https://stars.library.ucf.edu/etd University of Central Florida Libraries http://library.ucf.edu This Doctoral Dissertation (Open Access) is brought to you for free and open access by STARS. It has been accepted for inclusion in Electronic Theses and Dissertations, 2004-2019 by an authorized administrator of STARS. For more information, please contact [email protected]. STARS Citation Ul Hassen, Amad, "Automated Synthesis of Unconventional Computing Systems" (2019). Electronic Theses and Dissertations, 2004-2019. 6500. https://stars.library.ucf.edu/etd/6500 AUTOMATED SYNTHESIS OF UNCONVENTIONAL COMPUTING SYSTEMS by AMAD UL HASSEN MSc Computer Science, University of Central Florida, 2016 MSc Electrical Engineering, University of Engineering & Technology Lahore, 2013 BSc Electrical Engineering, University of Engineering & Technology, Lahore, 2008 A Dissertation submitted in partial fulfilment of the requirements for the degree of Doctor of Philosophy in the Department of Electrical and Computer Engineering in the College of Engineering and Computer Science at the University of Central Florida Orlando, Florida Summer Term 2019 Major Professor: Sumit Kumar Jha c 2019 Amad Ul Hassen ii ABSTRACT Despite decades of advancements, modern computing systems which are based on the von Neu- mann architecture still carry its shortcomings. Moore’s law, which had substantially masked the effects of the inherent memory-processor bottleneck of the von Neumann architecture, has slowed down due to transistor dimensions nearing atomic sizes.
    [Show full text]
  • Robust Boolean Reasoning for Equivalence Checking and Functional Property Verification
    IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. XX, NO. Y, MONTH 2002 1 Robust Boolean Reasoning for Equivalence Checking and Functional Property Verification Andreas Kuehlmann, Senior Member, IEEE, Viresh Paruthi, Florian Krohm, and Malay K. Ganai, Member, IEEE Abstract— Many tasks in CAD, such as equivalence checking, in a powerful solution for a wider range of applications. Ad- property checking, logic synthesis, and false paths analysis require ditionally, by including random simulation its efficiency can be efficient Boolean reasoning for problems derived from circuits. further improved for problems with many satisfying solutions. Traditionally, canonical representations, e.g., BDDs, or structural A large fraction of practical problems derived from the above SAT methods, are used to solve different problem instances. Each of these techniques offer specific strengths that make them efficient mentioned applications have a high degree of structural re- for particular problem structures. However, neither structural dundancy. There are three main sources for this redundancy: techniques based on SAT, nor functional methods using BDDs of- First, the primary netlist produced from a register transfer level fer an overall robust reasoning mechanism that works reliably for (RTL) specification contains redundancies generated by lan- a broad set of applications. In this paper we present a combina- guage parsing and processing. For example, in industrial de- tion of techniques for Boolean reasoning based on BDDs, struc- tural transformations, a SAT procedure, and random simulation signs, between 30 and 50% of generated netlist gates are redun- natively working on a shared graph representation of the prob- dant [1]. A second source of structural redundancy is inherent lem.
    [Show full text]
  • Busting the Myth That Systemverilog Is Only for Verification
    Synthesizing SystemVerilog Busting the Myth that SystemVerilog is only for Verification Stuart Sutherland Don Mills Sutherland HDL, Inc. Microchip Technology, Inc. [email protected] [email protected] ABSTRACT SystemVerilog is not just for Verification! When the SystemVerilog standard was first devised, one of the primary goals was to enable creating synthesizable models of complex hardware designs more accurately and with fewer lines of code. That goal was achieved, and Synopsys has done a great job of implementing SystemVerilog in both Design Compiler (DC) and Synplify-Pro. This paper examines in detail the synthesizable subset of SystemVerilog for ASIC and FPGA designs, and presents the advantages of using these constructs over traditional Verilog. Readers will take away from this paper new RTL modeling skills that will indeed enable modeling with fewer lines of code, while at the same time reducing potential design errors and achieving high synthesis Quality of Results (QoR). Target audience: Engineers involved in RTL design and synthesis, targeting ASIC and FPGA implementations. Note: The information in this paper is based on Synopsys Design Compiler (also called HDL Compiler) version 2012.06-SP4 and Synopsys Synplify-Pro version 2012.09-SP1. These were the most current released versions available at the time this paper was written. SNUG Silicon Valley 2013 1 Synthesizing SystemVerilog Table of Contents 1. Data types .................................................................................................................................4
    [Show full text]
  • A General Method in Synthesis of Pass-Transistor Circuits
    Microelectronics Journal Microelectronics Journal 31 (2000) 991–998 www.elsevier.com/locate/mejo A general method in synthesis of pass-transistor circuits D. Markovic´ a,*, B. Nikolic´ b, V.G. Oklobdzˇijac aDepartment of Electrical Engineering and Computer Sciences, 211-149 Cory Hall #1772, University of California, Berkeley, CA 94720-1772, USA bDepartment of Electrical Engineering and Computer Sciences, 570 Cory Hall, University of California, Berkeley, CA 94720-1770, USA cIntegration Corporation, 1285 Grizzly Peak Blvd, Berkeley, CA 94708, USA Abstract A general method in synthesis and signal arrangement in different pass-transistor network topologies is analyzed. Several pass-transistor logic families have been introduced recently, but no systematic synthesis method is available that takes into account the impact of signal arrangement on circuit performance. In this paper we develop a Karnaugh map based method that can be used to efficiently synthesize pass- transistor logic circuits, which have balanced loads on true and complementary input signals. The method is applied to the generation of basic two-input and three-input logic gates in CPL, DPL and DVL. The method is general and can be extended to synthesize any pass-transistor network. ᭧ 2000 Elsevier Science Ltd. All rights reserved. Keywords: CMOS; Digital integrated circuits; Pass-transistor logic; Logic synthesis; Karnaugh maps 1. Introduction with optimization of the corresponding circuit realization. This method is convenient for library-based synthesis since During the last decade, extensive considerations have it can easily generate optimized basic logic gates, which are been given to the use of pass-transistor logic networks in the main building blocks in library-based designs.
    [Show full text]