EMBEDDED PROGRAMMABLE LOGIC CORES By

Total Page:16

File Type:pdf, Size:1020Kb

EMBEDDED PROGRAMMABLE LOGIC CORES By IMPLEMENTATION CONSIDERATIONS FOR “SOFT” EMBEDDED PROGRAMMABLE LOGIC CORES by James Cheng-Huan Wu B.A.Sc., University of British Columbia, 2002 A thesis submitted in partial fulfillment of the requirements for the degree of Master of Applied Science in The Faculty of Graduate Studies Department of Electrical and Computer Engineering We accept this thesis as conforming to the required standard: ___________________________ ___________________________ ___________________________ ___________________________ The University of British Columbia September 2004 © James C.H. Wu, 2004 ABSTRACT IMPLEMENTATION CONSIDERATIONS FOR “SOFT” EMBEDDED PROGRAMMABLE LOGIC CORES As integrated circuits become increasingly more complex and expensive, the ability to make post-fabrication changes will become much more attractive. This ability can be realized using programmable logic cores. Currently, such cores are available from vendors in the form of “hard” macro layouts. An alternative approach for fine-grain programmability is possible: vendors supply an RTL version of their programmable logic fabric that can be synthesized using standard cells. Although this technique may suffer in terms of speed, density, and power overhead, the task of integrating such cores is far easier than the task of integrating “hard” cores into an ASIC or SoC. When the required amount of programmable logic is small, this ease of use may be more important than the increased overhead. In this thesis, we identify potential implementation issues associated with such cores, and investigate in depth the area, speed and power overhead of using this approach. Based on this investigation, we attempt to improve the performance of programmable cores created in this manner. Using a test-chip implementation, we identify three main issues: core size selection, I/O connections, and clock-tree synthesis. Compared to a non-programmable design, the soft core approach exhibited an average area overhead of 200X, speed overhead of 10X, and power overhead of 150X. These numbers are high but expected, given that the approach is subject to limitations of the standard cell library elements of the ASIC flow, which are not optimized for use with programmable logic. ii TABLE OF CONTENTS ABSTRACT.................................................................................................................................. II TABLE OF CONTENTS ...........................................................................................................III LIST OF FIGURES ..................................................................................................................... V LIST OF TABLES .................................................................................................................... VII ACKNOWLEDGEMENTS ....................................................................................................VIII CHAPTER 1 INTRODUCTION.................................................................................................. 1 1.1 MOTIVATION ........................................................................................................................ 1 1.2 RESEARCH GOALS ................................................................................................................ 4 1.3 ORGANIZATION OF THE THESIS ........................................................................................... 5 CHAPTER 2 BACKGROUND AND RELEVANT WORK ..................................................... 7 2.1 EMBEDDED PROGRAMMABLE LOGIC CORES FOR SOCS .................................................... 7 2.1.1 Advantages and Design Approaches.............................................................................. 7 2.1.2 General IC Design Flow for SoC Designs................................................................... 10 2.1.3 Design Flow Employing Soft Programmable IPs........................................................ 14 2.2 OVERVIEW OF SYNTHESIZABLE GRADUAL ARCHITECTURE ............................................ 15 2.2.1 Logic Resources ...........................................................................................................16 2.2.2 Routing Fabric............................................................................................................. 17 2.3 CAD FOR SYNTHESIZABLE GRADUAL ARCHITECTURE.................................................... 19 2.3.1 Placement Algorithm.................................................................................................... 20 2.3.2 Routing Algorithm........................................................................................................ 24 2.4 SUMMARY ........................................................................................................................... 25 CHAPTER 3 DESIGN OF A PROOF-OF-CONCEPT PROGRAMMABLE MODULE.... 27 3.1 DESIGN ARCHITECTURE..................................................................................................... 27 3.1.1 Reference Version ........................................................................................................ 28 3.1.2 Programmable Version................................................................................................ 28 iii 3.2 TEST-CHIP IMPLEMENTATION FLOW................................................................................ 29 3.2.1 Modified ASIC IC Design Flow ................................................................................... 30 3.2.2 Front-end IC Design Flow........................................................................................... 31 3.2.3 Back-end IC Design Flow............................................................................................ 33 3.3 DESIGN AND IMPLEMENTATION ISSUES............................................................................. 34 3.3.1 Programmable Core Size Selection ............................................................................. 34 3.3.2 Connections between Programmable Core and Fixed Logic ...................................... 35 3.3.3 Routing the Programmable Clock Signals................................................................... 36 3.4 IMPLEMENTATION RESULTS .............................................................................................. 38 3.4.1 Area Overhead .............................................................................................................38 3.4.2 Speed Overhead ........................................................................................................... 41 3.4.3 Validation of Chip on Tester........................................................................................ 42 3.5 SUMMARY ........................................................................................................................... 43 CHAPTER 4 SPEED AND POWER CONSIDERATIONS FOR SOFT-PLC...................... 45 4.1 SPEED CONSIDERATIONS.................................................................................................... 45 4.1.1 Speed Measurement Methodology ............................................................................... 46 4.1.2 Soft-PLC vs. Equivalent ASIC Implementation ........................................................... 49 4.1.3 Speed Improvement Methodology................................................................................ 52 4.1.4 Experimental Results ................................................................................................... 57 4.2 POWER CONSIDERATIONS .................................................................................................. 63 4.2.1 Power Measurement Methodology .............................................................................. 63 4.2.2 Power Overhead vs. Equivalent ASIC Implementation ............................................... 64 4.3 SUMMARY ........................................................................................................................... 67 CHAPTER 5 CONCLUSIONS AND FUTURE WORK ......................................................... 69 5.1 SUMMARY ........................................................................................................................... 69 5.2 FUTURE WORK ................................................................................................................... 71 5.3 CONTRIBUTIONS ................................................................................................................. 72 REFERENCE.............................................................................................................................. 74 iv LIST OF FIGURES FIGURE 2.1 GENERAL IC DESIGN FLOW [18] ................................................................................. 10 FIGURE 2.2 FLOORPLANNING OF CHIP............................................................................................ 12 FIGURE 2.3 POWER PLANNING ....................................................................................................... 12 FIGURE 2.4 PLACEMENT, AND CLOCK-TREE SYNTHESIS ................................................................. 13 FIGURE 2.5 COMPARISON OF STANDARD FPGA AND SOFT PLC BLOCKS ....................................... 15 FIGURE 2.6 A GENERIC FPGA LOGIC BLOCK.................................................................................. 16 FIGURE 2.7 A LOGIC BLOCK FOR GRADUAL ARCHITECTURE.......................................................... 17 FIGURE 2.8 THE ISLAND-STYLED
Recommended publications
  • Integrated Circuit Test Engineering Iana.Grout Integrated Circuit Test Engineering Modern Techniques
    Integrated Circuit Test Engineering IanA.Grout Integrated Circuit Test Engineering Modern Techniques With 149 Figures 123 Ian A. Grout, PhD Department of Electronic and Computer Engineering University of Limerick Limerick Ireland British Library Cataloguing in Publication Data Grout, Ian Integrated circuit test engineering: modern techniques 1. Integrated circuits - Verification I. Title 621.3’81548 ISBN-10: 1846280230 Library of Congress Control Number: 2005929631 ISBN-10: 1-84628-023-0 e-ISBN: 1-84628-173-3 Printed on acid-free paper ISBN-13: 978-1-84628-023-8 © Springer-Verlag London Limited 2006 HSPICE® is the registered trademark of Synopsys, Inc., 700 East Middlefield Road, Mountain View, CA 94043, U.S.A. http://www.synopsys.com/home.html MATLAB® is the registered trademark of The MathWorks, Inc., 3 Apple Hill Drive Natick, MA 01760- 2098, U.S.A. http://www.mathworks.com Verifault-XL®, Verilog® and PSpice® are registered trademarks of Cadence Design Systems, Inc., 2655 Seely Avenue, San Jose, CA 95134, U.S.A. http://www.cadence.com/index.aspx T-Spice™ is the trademark of Tanner Research, Inc., 2650 East Foothill Blvd. Pasadena, CA 91107, U.S.A. http://www.tanner.com/ Apart from any fair dealing for the purposes of research or private study, or criticism or review, as permitted under the Copyright, Designs and Patents Act 1988, this publication may only be reproduced, stored or transmitted, in any form or by any means, with the prior permission in writing of the publishers, or in the case of reprographic reproduction in accordance with the terms of licences issued by the Copyright Licensing Agency.
    [Show full text]
  • DOT/FAA/AR-95/31 ___Design, Test, and Certification Issues For
    DOT/FAA/AR-95/31 Design, Test, and Certification Office of Aviation Research Issues for Complex Integrated Washington, D.C. 20591 Circuits August 1996 Final Report This document is available to the U.S. public through the National Technical Information Service, Springfield, Virginia 22161. U.S. Department of Transportation Federal Aviation Administration Technical Report Documentation Page 1. Report No. 2. Government Accession No. 3. Recipient's Catalog No. DOT/FAA/AR-95/31 5. Report Date 4. Title and Subtitle August 1996 DESIGN, TEST, AND CERTIFICATION ISSUES FOR COMPLEX INTEGRATED CIRCUITS 6. Performing Organization Code 7. Author(s) 8. Performing Organization Report No. L. Harrison and B. Landell 9. Performing Organization Name and Address 10. Work Unit No. (TRAIS) Galaxy Scientific Corporation 2500 English Creek Avenue Building 11 11. Contract or Grant No. Egg Harbor Township, NJ 08234-5562 DTFA03-89-C-00043 12. Sponsoring Agency Name and Address 13. Type of Report and Period Covered U.S. Department of Transportation Final Report Office of Aviation Research Washington, D.C. 20591 14. Sponsoring Agency Code AAR-421 15. Supplementary Notes Peter J. Saraceni. William J. Hughes Technical Center Program Manager, (609) 485-5577, Fax x 4005, [email protected] 16 Abstract This report provides an overview of complex integrated circuit technology, focusing particularly upon application specific integrated circuits. This report is intended to assist FAA certification engineers in making safety assessments of new technologies. It examines complex integrated circuit technology, focusing on three fields: design, test, and certification.. It provides the reader with the background and a basic understanding of the fundamentals of these fields.
    [Show full text]
  • FPGA Emulation for Critical-Path Coverage Analysis
    FPGA Emulation for Critical-Path Coverage Analysis by Kyle Balston B.A.Sc., Simon Fraser University, 2010 ATHESISSUBMITTEDINPARTIAL FULFILLMENT OFTHEREQUIREMENTSFORTHEDEGREEOF Master of Applied Science in THEFACULTYOFGRADUATESTUDIES (Electrical and Computer Engineering) The University Of British Columbia (Vancouver) October 2012 © Kyle Balston, 2012 Abstract A major task in post-silicon validation is timing validation: it can be incredibly difficult to ensure a new chip meets timing goals. Post-silicon validation is the first opportunity to check timing with real silicon under actual operating conditions and workloads. However, post-silicon tests suffer from low observability, making it difficult to properly quantify test quality for the long-running random and di- rected system-level tests that are typical in post-silicon. In this thesis, we propose a technique for measuring the quality of long-running system-level tests used for timing coverage through the use of on-chip path monitors to be used with FPGA emulation. We demonstrate our technique on a non-trivial SoC, measuring the cov- erage of 2048 paths (selected as most critical by static timing analysis) achieved by some pre-silicon system-level tests, a number of well-known benchmarks, boot- ing Linux, and executing randomly generated programs. The results show that the technique is feasible, with area and timing overheads acceptable for pre-silicon FPGA emulation. ii Preface Preliminary work related to this thesis has been published in a conference paper and a journal paper. The work itself will be published as an invited conference paper. The first paper, Post-Silicon Code Coverage Evaluation with Reduced Area Overhead for Functional Verification of SoC, was published as [33].
    [Show full text]
  • Common Path Pessimism Removal in Static Timing Analysis
    Scholars' Mine Masters Theses Student Theses and Dissertations Summer 2015 Common path pessimism removal in static timing analysis Chunyu Wang Follow this and additional works at: https://scholarsmine.mst.edu/masters_theses Part of the Computer Engineering Commons Department: Recommended Citation Wang, Chunyu, "Common path pessimism removal in static timing analysis" (2015). Masters Theses. 7440. https://scholarsmine.mst.edu/masters_theses/7440 This thesis is brought to you by Scholars' Mine, a service of the Missouri S&T Library and Learning Resources. This work is protected by U. S. Copyright Law. Unauthorized use including reproduction for redistribution requires the permission of the copyright holder. For more information, please contact [email protected]. COMMON PATH PESSIMISM REMOVAL IN STATIC TIMING ANALYSIS by CHUNYU WANG A THESIS Presented to the Faculty of the Graduate School of the MISSOURI UNIVERSITY OF SCIENCE AND TECHNOLOGY In Partial Fulfillment of the Requirements for the Degree MASTER OF SCIENCE IN COMPUTER ENGINEERING 2015 Approved by Yiyu Shi, Advisor Minsu Choi Jun Fan 2015 Chunyu Wang All Rights Reserved iii ABSTRACT Static timing analysis is a key process to guarantee timing closure for modern IC designs. However, additional pessimism can significantly increase the difficulty to achieve timing closure. Common path pessimism removal (CPPR) is a prevalent step to achieve accurate timing signoff. To speed up the existing exhaustive exploration on all paths in a design, this thesis introduces a fast multi-threading timing analysis for removing common path pessimism based on block-based static timing analysis. Experimental results show that the proposed method has faster runtime in removing excess pessimism from clock paths.
    [Show full text]
  • Fast and Flexible CAD for Fpgas and Timing Analysis by Kevin Edward
    Fast and Flexible CAD for FPGAs and Timing Analysis by Kevin Edward Murray A thesis submitted in conformity with the requirements for the degree of Doctor of Philosophy Graduate Department of Electrical and Computer Engineering University of Toronto © Copyright 2020 by Kevin Edward Murray Abstract Fast and Flexible CAD for FPGAs and Timing Analysis Kevin Edward Murray Doctor of Philosophy Graduate Department of Electrical and Computer Engineering University of Toronto 2020 Field Programmable Gate Arrays (FPGAs) are a widely used platform for hardware acceleration and digital systems design, which offer high performance and power efficiency while remaining re- programmable. However a major challenge to using FPGAs is the complex Computer Aided Design (CAD) flow required to program them, which makes FPGAs difficult and time-consuming to use. This thesis investigates techniques to address these challenges. A key component of the CAD flow is timing analysis, which is used to verify correctness and drive optimizations. Since the dominant form of timing analysis, Static Timing Analysis (STA), is time consuming to perform, we investigate methods to efficiently perform STA in parallel. We then develop Extended Static Timing Analysis (ESTA), which performs a more detailed and less pessimistic form of timing analysis by accounting for the probability of signal transitions. We next study a variety of enhancements to the FPGA physical design flow, including to key optimization stages like packing, placement and routing. These techniques are combined in the open- source VTR 8 design flow, and significantly outperform previous methods. We also develop advanced architecture modelling capabilities which allow VTR 8 to target a wider range of FPGA architectures.
    [Show full text]
  • Gate-Level Timing Analysis and Waveform Evaluation
    Syracuse University SURFACE Dissertations - ALL SURFACE May 2015 Gate-level timing analysis and waveform evaluation Chaobo Li Syracuse University Follow this and additional works at: https://surface.syr.edu/etd Part of the Engineering Commons Recommended Citation Li, Chaobo, "Gate-level timing analysis and waveform evaluation" (2015). Dissertations - ALL. 220. https://surface.syr.edu/etd/220 This Dissertation is brought to you for free and open access by the SURFACE at SURFACE. It has been accepted for inclusion in Dissertations - ALL by an authorized administrator of SURFACE. For more information, please contact [email protected]. Abstract Static timing analysis (STA) is an integral part of modern VLSI chip design. Table lookup based methods are widely used in current industry due to its fast runtime and mature algorithms. Conventional STA algorithms based on table-lookup methods are developed under many assumptions in timing analysis; however, most of those assumptions, such as that input signals and output signals can be accurately modeled as ramp waveforms, are no longer satisfactory to meet the increasing demand of accuracy for new technologies. In this dissertation, we discuss several crucial issues that conventional STA has not taken into consideration, and propose new methods to handle these issues and show that new methods produce accurate results. In logic circuits, gates may have multiple inputs and signals can arrive at these inputs at different times and with different waveforms. Different arrival times and waveforms of signals can cause very different responses. However, multiple-input transition effects are totally overlooked by current STA tools. Using a conventional single-input transition model when multiple-input transition happens can cause significant estimation errors in timing analysis.
    [Show full text]
  • Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019
    ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 Outline: • … from the previous lesson • Key concepts about FPGA design • FPGA gateware design work flow • Summary Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 • … from the previous lesson Manoel Barros Marin BE-BI-BP … from the previous lesson What is an Field Programmable Gate Array (FPGA)? 4 … from the previous lesson What is an Field Programmable Gate Array (FPGA)? FPGA - Wikipedia https://en.wikipedia.org/wiki/Field-programmable_gate_array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence "field-programmable". 5 … from the previous lesson What is an Field Programmable Gate Array (FPGA)? FPGA - Wikipedia https://en.wikipedia.org/wiki/Field-programmable_gate_array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence "field-programmable". 6 … from the previous lesson • FPGA fabric (matrix like structure) made of: • I/O-cells to communicate with outside world • Logic cells o Look-Up-Table (LUT) to implement combinatorial logic o Flip-Flops (D) to implement sequential logic • Interconnect network between logic resources • Clock tree to distribute the clock signals 7 … from the previous lesson • But it also features Hard Blocks: Example of
    [Show full text]
  • Advanced Verification Methods for Safety-Critical Airborne Electronic Hardware
    NOT FAA POLICY OR GUIDANCE LIMITED RELEASE DOCUMENT 18 October 2013 Advanced Verification Methods for DOT/FAA/A R-XX/XX Safety-Critical Airborne Electronic Office of Aviation Hardware Research and Development Washington, DC 20591 DISCLAIMER This draft document is being made available as a “Limited Release” document by the FAA Software and Digital Systems (SDS) Program and does not constitute FAA policy or guidance. This document is being distributed by permission by the Contracting Officer’s Representative (COR). The research information in this document represents only the viewpoint of its subject matter expert authors. The FAA is concerned that its research is not released to the public before full editorial review is completed. However, a Limited Release distribution does allow exchange of research knowledge in a way that will benefit the parties receiving the documentation and, at the same time, not damage perceptions about the quality of FAA research. NOT FAA POLICY OR GUIDANCE LIMITED RELEASE DOCUMENT 18 October 2013 Technical Report Documentation Page 1. Report No. 2. Government Accession No. 3. Recipient's Catalog No. DOT/FAA/(AR)-xx/xx 4. Title and Subtitle 5. Report Date Qualification of Tools for Airborne Electronic Hardware July. 1, 2013 6. Performing Organization Code 7. Author(s) 8. Performing Organization Report No. Brian Butka 9. Performing Organization Name and Address 10. Work Unit No. (TRAIS) 1 Embry Riddle Aeronautical University 600 S. Clyde Morris Blvd. Daytona Beach, FL 32114 11. Contract or Grant No. DTFACT-11-C-00007 12. Sponsoring Agency Name and Address 13. Type of Report and Period Covered U.S.
    [Show full text]
  • Chapter 8 Timing Closure
    Chapter 8 8 Timing Closure 8 Timing Closure ............................................................221 8.1 Introduction..................................................................................... 221 8.2 Timing Analysis and Performance Constraints ............................. 223 8.2.1 Static Timing Analysis................................................... 224 8.2.2 Delay Budgeting with the Zero-Slack Algorithm........... 229 8.3 Timing-Driven Placement............................................................... 233 8.3.1 Net-Based Techniques ................................................. 234 8.3.2 Embedding STA into Linear Programs for Placement . 237 8.4 Timing-Driven Routing ................................................................... 239 8 8.4.1 The Bounded-Radius, Bounded-Cost Algorithm.......... 240 8.4.2 Prim-Dijkstra Tradeoff................................................... 241 8.4.3 Minimization of Source-to-Sink Delay........................... 242 8.5 Physical Synthesis ......................................................................... 244 8.5.1 Gate Sizing.................................................................... 244 8.5.2 Buffering........................................................................ 245 8.5.3 Netlist Restructuring...................................................... 246 8.6 Performance-Driven Design Flow.................................................. 250 8.7 Conclusions...................................................................................
    [Show full text]
  • Timing Closure
    Timing Closure Introduction Every design has to run at a certain speed based on the design requirement. There are generally three types of speed requirement in an FPGA design: Timing requirement – how fast or slow a design should run. This is defined through the target clock period (or clock frequency) and a few other constraints. Throughput – the average rate of the valid output delivered per clock cycle Latency – the amount of the time required when the valid output is available after the input arrives, usually measured in the number of clock cycles Throughput and latency are usually related to the design architecture and application, and they need to be traded off between each other based on the system requirement. For example, high throughput usually means more pipelining, which increases the latency; low latency usually requires longer combinatorial paths, which removes pipelines, and this can reduce the throughput and clock speed. More often, FPGA designers deal with the timing requirement to make sure that the design runs at the required clock speed. This can require hard work for high-speed design in order to close timing using various techniques (including the trade-off between throughput and latency, appropriate timing constraint adjustments, etc.) and running through multiple processing iterations including Synthesis, MAP and PAR. This document focuses on the timing requirement; it explains the timing- driven FPGA implementation processes and shows how to tackle timing issues when timing closure becomes problematic. Copyright © October 2013 Lattice Semiconductor Corporation. Introduction Timing Requirements and Constraints Several types of timing requirement are commonly used in FPGA designs and can be specified in Diamond through constraints and preferences.
    [Show full text]
  • Aadam: a Fast, Accurate, and Versatile Aging-Aware Cell Library Delay Model Using Feed-Forward Neural Network
    Aadam: A Fast, Accurate, and Versatile Aging-Aware Cell Library Delay Model using Feed-Forward Neural Network Seyed Milad Ebrahimipour1, Behnam Ghavami2, Hamid Mousavi1, Mohsen Raji3 Zhenman Fang2, Lesley Shannon2 1Shahid Bahonar University of Kerman, {miladebrahimi, hamidmousavi0}@eng.uk.ac.ir 2Simon Fraser University, {behnam_ghavami, zhenman, lesley_shannon}@sfu.ca 3Shiraz University, [email protected] ABSTRACT 1 INTRODUCTION With the CMOS technology scaling, transistor aging has become With the CMOS technology scaling, the reliability of circuits has one major issue affecting circuit reliability and lifetime. There are become one of the major issues affecting digital circuit designs1 [ , 7]. two major classes of existing studies that model the aging effects In addition to the correct functionality of the circuit, a longtime in the circuit delay. One is at transistor-level, which is highly ac- lifespan is also crucial in many application fields such as aerospace, curate but very slow. The other is at gate-level, which is faster but defense, and medical industries [5, 13]. Transistor aging is a key less accurate. Moreover, most prior studies only consider a limited source of failure that threatens the lifetime reliability of digital subset or limited value ranges of aging factors. circuits. It leads to a degradation of the electrical characteristics of In this paper, we propose Aadam, a fast, accurate, and versatile transistors and subsequently, a considerable increase of the device aging-aware delay model for generic cell libraries. In Aadam, we delay [19]. For example, the Negative Bias Temperature Instability first use transistor-level SPICE simulations to accurately charac- (NBTI) aging phenomenon, a major parametric reliability issue, terize the delay degradation of each library cell under a versatile may increase the circuit delay by up to 30% [14].
    [Show full text]
  • Master's Thesis
    DIPLOMA THESIS Automated verification of a System-on-Chip for radiation protection fulfilling Safety Integrity Level 2 Submitted at the Faculty of Electrical Engineering and Information Technology, TU Wien in partial fulfillment of the requirements for the degree of Diplom-Ingenieur (equals Master of Science) under supervision of Univ.Prov. Dipl.-Ing. Dr.techn. Axel Jantsch Univ.Ass. Dipl.-Ing. Dr.techn. Michael Rathmair Institute of Computer Technology (E384) TU Wien and Dr. Hamza Boukabache CERN, European Organization for Nuclear Research Occupational Health & Safety and Environmental Protection Unit - Radiation Protection Group - Instrumentation & Logistics by Katharina Ceesay-Seitz, BSc Matr.Nr. 0925147 Vienna Vienna, 15.02.2019 Abstract The new CERN Radiation MOnitoring Electronics (CROME) system is currently being devel- oped at CERN. It consists of hundreds of units, which measure ionizing radiation produced by CERN’s particle accelerators. They autonomously interlock machines if dangerous conditions are detected, for example if defined radiation limits are exceeded. The topic of this thesis was the verification of the safety-critical System-on-Chip (SoC) at the heart of these units. The system has been allocated the Safety Integrity Level 2 (SIL 2) of the IEC 61508 standard for functional verification. The SoC has several characteristics that are challenging for its verification. It is highly configurable with parameters of wide ranges. It will operate continuously for up to 10 years. Measurement outputs are dependent on previous measurements over the complete operating time. The goal of this thesis was the definition and demonstration of a SIL 2 compliant functional verifi- cation methodology for the mentioned SoC.
    [Show full text]