Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019

Total Page:16

File Type:pdf, Size:1020Kb

Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 Outline: • … from the previous lesson • Key concepts about FPGA design • FPGA gateware design work flow • Summary Manoel Barros Marin BE-BI-BP ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 • … from the previous lesson Manoel Barros Marin BE-BI-BP … from the previous lesson What is an Field Programmable Gate Array (FPGA)? 4 … from the previous lesson What is an Field Programmable Gate Array (FPGA)? FPGA - Wikipedia https://en.wikipedia.org/wiki/Field-programmable_gate_array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence "field-programmable". 5 … from the previous lesson What is an Field Programmable Gate Array (FPGA)? FPGA - Wikipedia https://en.wikipedia.org/wiki/Field-programmable_gate_array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence "field-programmable". 6 … from the previous lesson • FPGA fabric (matrix like structure) made of: • I/O-cells to communicate with outside world • Logic cells o Look-Up-Table (LUT) to implement combinatorial logic o Flip-Flops (D) to implement sequential logic • Interconnect network between logic resources • Clock tree to distribute the clock signals 7 … from the previous lesson • But it also features Hard Blocks: Example of FPGA architecture 8 ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 •Key concepts about FPGA design Manoel Barros Marin BE-BI-BP Key concepts about FPGA design FPGA gateware design is NOT programming 10 Key concepts about FPGA design FPGA gateware design is NOT programming Sequential Parallel Processing Processing • Programming • Code is written and translated into instructions • Instructions are executed sequentially by the CPU(s) • Parallelism is achieved by running instructions on multiple threads/cores • Processing structures and instructions sets are fixed by the architecture of the system vs. • FPGA gateware design • No fixed architecture, the system is built according to the task • Building is done by describing/defining system elements and their relations • Intrinsically parallel, sequential behaviour is achieved by registers and Finite-State-Machines (FSMs) • Description done by schematics or a hardware description language (HDL) 11 Key concepts about FPGA design HDL are used for describing HARDWARE 12 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) 13 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds 14 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) 15 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) o Synthesizable (for simulation and/or FPGA implementation) 16 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) o Synthesizable (for simulation and/or FPGA implementation) Register Transfer Level (RTL) http://en.wikipedia.org/wiki/Register-transfer_level A design abstraction which models a synchronous digital circuit in terms of HDL to RTL the flow of digital signals (data) between registers and logical operations performed on those signals 17 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) o Synthesizable (for simulation and/or FPGA implementation) Register Transfer Level (RTL) http://en.wikipedia.org/wiki/Register-transfer_level A design abstraction which models a synchronous digital circuit in terms of HDL to RTL the flow of digital signals (data) between registers and logical operations performed on those signals 18 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) o Synthesizable (for simulation and/or FPGA implementation) Register Transfer Level (RTL) http://en.wikipedia.org/wiki/Register-transfer_level A design abstraction which models a synchronous digital circuit in terms of HDL to RTL the flow of digital signals (data) between registers and logical operations performed on those signals 19 Key concepts about FPGA design HDL are used for describing HARDWARE • Example of a WAIT statement (Programming Language VS. HDL) • In programming language (e.g. C) (Unix, #include <unistd.h>) sleep(5); // sleep 5 seconds • In HDL (e.g. VHDL): o Not synthesizable (only for simulation test benches) o Synthesizable (for simulation and/or FPGA implementation) Register Transfer Level (RTL) http://en.wikipedia.org/wiki/Register-transfer_level A design abstraction which models a synchronous digital circuit in terms of HDL to RTL the flow of digital signals (data) between registers and logical operations performed on those signals 20 Key concepts about FPGA design Timing in FPGA gateware design is critical 21 Key concepts about FPGA design Timing in FPGA gateware design is critical • Data propagates in the form of electrical signals through the FPGA x 22 Key concepts about FPGA design Timing in FPGA gateware design is critical • Data propagates in the form of electrical signals through the FPGA x Synthesized RTL (Netlist) is implemented into FPGA 23 Key concepts about FPGA design Timing in FPGA gateware design is critical • Data propagates in the form of electrical signals through the FPGA x Synthesized RTL (Netlist) is implemented into FPGA • If these signals do not arrive to their destination on time… The consequences may be catastrophic!!! 24 Key concepts about FPGA design When designing FPGA gateware you have to think HARD… 25 Key concepts about FPGA design When designing FPGA gateware you have to think HARDWARE… 26 ISOTDAQ 2019 @ Royal Holloway, University of London (UK) 09/04/2019 •FPGA gateware design work flow Manoel Barros Marin BE-BI-BP FPGA gateware design work flow Project Specification Design Entry Behavioural Simulation Synthesis Functional Simulations (Post-Synthesis or Constraints Implementation Post-Implementation) (Physical & Timing) Static Timing Analysis Timing Simulation Bitstream Generation In-System & FPGA Programming ` Debugging 28 FPGA gateware design work flow Project Specification The rest of the design process is based on it!!! 29 FPGA gateware design work flow Project Specification The rest of the design process is based on it!!! 30 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! 31 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! • Specify: • Target application (General purpose or Specific) Example of General Purpose Gateware 32 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! • Specify: • Target application (General purpose or Specific) Example of Application Specific Gateware LEGEND uTCA shelf GLIB CLK 40MHz FPGA TTC FMC CLK 160MHz Downstream Upstream CDR GBT Serializer TTC /4 Optical TTC GBT TX MGT TX Decoder transceiver 160Mbps source AMC GBT Deserializer Readout Processor SFP+ PCIe DMA Logic GBT RX MGT RX Transceiver GBTx AMC Connector AMC PLL Recovered 4.8Gbps CLK 33 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! • Specify: • Target application (General purpose or Specific) • Main features (e.g. System bus, SoC, Multi-gigabit transceivers, etc.) Example of FPGA Architecture` 34 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! • Specify: • Target application (General purpose or Specific) • Main features (e.g. System bus, SoC, Multi-gigabit transceivers, etc.) • FPGA vendor (e.g. Xilinx, IntelFPGA (Altera), Microsemi (Actel), Lattice, etc.) Small FPGA vendors may target specific markets (e.g. Microsemi offers high reliable FPGAs, etc..) 35 FPGA gateware design work flow Project Specification • Gather requirements from the users The rest of the design process is based on it!!! • Specify: Example of COTS board (Xilinx Devkit) • Target
Recommended publications
  • Debugging System for Openrisc 1000- Based Systems
    Debugging System for OpenRisc 1000- based Systems Nathan Yawn [email protected] 05/12/09 Copyright (C) 2008 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license should be included with this document. If not, the license may be obtained from www.gnu.org, or by writing to the Free Software Foundation. This document is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. History Rev Date Author Comments 1.0 20/7/2008 Nathan Yawn Initial version Contents 1.Introduction.............................................................................................................................................5 1.1.Overview.........................................................................................................................................5 1.2.Versions...........................................................................................................................................6 1.3.Stub-based methods.........................................................................................................................6 2.System Components................................................................................................................................7
    [Show full text]
  • Metadefender Core V4.12.2
    MetaDefender Core v4.12.2 © 2018 OPSWAT, Inc. All rights reserved. OPSWAT®, MetadefenderTM and the OPSWAT logo are trademarks of OPSWAT, Inc. All other trademarks, trade names, service marks, service names, and images mentioned and/or used herein belong to their respective owners. Table of Contents About This Guide 13 Key Features of Metadefender Core 14 1. Quick Start with Metadefender Core 15 1.1. Installation 15 Operating system invariant initial steps 15 Basic setup 16 1.1.1. Configuration wizard 16 1.2. License Activation 21 1.3. Scan Files with Metadefender Core 21 2. Installing or Upgrading Metadefender Core 22 2.1. Recommended System Requirements 22 System Requirements For Server 22 Browser Requirements for the Metadefender Core Management Console 24 2.2. Installing Metadefender 25 Installation 25 Installation notes 25 2.2.1. Installing Metadefender Core using command line 26 2.2.2. Installing Metadefender Core using the Install Wizard 27 2.3. Upgrading MetaDefender Core 27 Upgrading from MetaDefender Core 3.x 27 Upgrading from MetaDefender Core 4.x 28 2.4. Metadefender Core Licensing 28 2.4.1. Activating Metadefender Licenses 28 2.4.2. Checking Your Metadefender Core License 35 2.5. Performance and Load Estimation 36 What to know before reading the results: Some factors that affect performance 36 How test results are calculated 37 Test Reports 37 Performance Report - Multi-Scanning On Linux 37 Performance Report - Multi-Scanning On Windows 41 2.6. Special installation options 46 Use RAMDISK for the tempdirectory 46 3. Configuring Metadefender Core 50 3.1. Management Console 50 3.2.
    [Show full text]
  • Linuxvilag-66.Pdf 8791KB 11 2012-05-28 10:27:18
    Magazin Hírek Java telefon másképp Huszonegyedik századi autótolvajok Samsung okostelefon Linuxszal Magyarországon még nem jellemzõ, Kínában már kapható de tõlünk nyugatabbra már nem tol- a Samsung SCH-i819 mo- vajkulccsal vagy feszítõvassal, hanem biltelefonja, amely Prizm laptoppal járnak az autótolvajok. 2.5-ös Linuxot futtat. Ot- Mindezt azt teszi lehetõvé, hogy tani nyaralás esetén nyu- a gyújtás, a riasztó és az ajtózárak is godtan vásárolhatunk távirányíthatóak, így egy megfelelõen belõle, hiszen a CDMA felszerelt laptoppal is irányíthatóak 800 MHz-e mellett az ezek a rendszerek. európai 900/1800 MHz-et http://www.leftlanenews.com/2006/ is támogatja. A kommunikációt egy 05/03/gone-in-20-minutes-using- Qualcomm MSM6300-as áramkör bo- laptops-to-steal-cars/ nyolítja, míg az alkalmazások egy 416 MHz-es Intel PXA270-es processzoron A Lucent Technologies és a SUN Elephants Dream futnak. A készülék Class 10-es GPRS elkészítette a Jasper S20-at, ami adatátvitelre képes, illetve tartalmaz alapvetõen más koncepcióval GPS (globális helymeghatározó) vevõt © Kiskapu Kft. Minden jog fenntartva használja a Java-t, mint a mostani is. Az eszköz 64 megabájt SDRAM-ot és telefonok. Joggal kérdezheti a kedves 128 megabájt nem felejtõ flash memóri- Olvasó, hogy megéri-e, van-e hely át kapott, de micro-SD memóriakártyá- a jelenlegi Symbian, Windows Mobile val ezt tovább bõvíthetjük. A kijelzõje és Linux trió mellett. A jelenlegi 2.4 hüvelykes, felbontása pedig „csak” telefonoknál kétféleképpen futhat 240x320 képpont 65 ezer színnel. egy program: natív vagy Java mód- Május 19-én elérhetõvé tette az Orange Természetesen a trendeknek megfele- ban. A Java mód ott szükségessé Open Movie Project elsõ rövidfilmjét lõen nem maradt ki a 2 megapixeles tesz pár olyan szintet, amely Creative Commons jogállással.
    [Show full text]
  • A Pythonic Approach for Rapid Hardware Prototyping and Instrumentation
    A Pythonic Approach for Rapid Hardware Prototyping and Instrumentation John Clow, Georgios Tzimpragos, Deeksha Dangwal, Sammy Guo, Joseph McMahan and Timothy Sherwood University of California, Santa Barbara, CA, 93106 USA Email: fjclow, gtzimpragos, deeksha, sguo, jmcmahan, [email protected] Abstract—We introduce PyRTL, a Python embedded hardware To achieve these goals, PyRTL intentionally restricts users design language that helps concisely and precisely describe to a set of reasonable digital design practices. PyRTL’s small digital hardware structures. Rather than attempt to infer a and well-defined internal core structure makes it easy to add good design via HLS, PyRTL provides a wrapper over a well- defined “core” set of primitives in a way that empowers digital new functionality that works across every design, including hardware design teaching and research. The proposed system logic transforms, static analysis, and optimizations. Features takes advantage of the programming language features of Python such as elaboration-through-execution (e.g. introspection), de- to allow interesting design patterns to be expressed succinctly, and sign and simulation without leaving Python, and the option encourage the rapid generation of tooling and transforms over to export to, or import from, common HDLs (Verilog-in via a custom intermediate representation. We describe PyRTL as a language, its core semantics, the transform generation interface, Yosys [1] and BLIF-in, Verilog-out) are also supported. More and explore its application to several different design patterns and information about PyRTL’s high level flow can be found in analysis tools. Also, we demonstrate the integration of PyRTL- Figure 1. generated hardware overlays into Xilinx PYNQ platform.
    [Show full text]
  • Lewis University Dr. James Girard Summer Undergraduate Research Program 2021 Faculty Mentor - Project Application
    Lewis University Dr. James Girard Summer Undergraduate Research Program 2021 Faculty Mentor - Project Application Exploring the Use of High-level Parallel Abstractions and Parallel Computing for Functional and Gate-Level Simulation Acceleration Dr. Lucien Ngalamou Department of Engineering, Computing and Mathematical Sciences Abstract System-on-Chip (SoC) complexity growth has multiplied non-stop, and time-to- market pressure has driven demand for innovation in simulation performance. Logic simulation is the primary method to verify the correctness of such systems. Logic simulation is used heavily to verify the functional correctness of a design for a broad range of abstraction levels. In mainstream industry verification methodologies, typical setups coordinate the validation e↵ort of a complex digital system by distributing logic simulation tasks among vast server farms for months at a time. Yet, the performance of logic simulation is not sufficient to satisfy the demand, leading to incomplete validation processes, escaped functional bugs, and continuous pressure on the EDA1 industry to develop faster simulation solutions. In this research, we will explore a solution that uses high-level parallel abstractions and parallel computing to boost the performance of logic simulation. 1Electronic Design Automation 1 1 Project Description 1.1 Introduction and Background SoC complexity is increasing rapidly, driven by demands in the mobile market, and in- creasingly by the fast-growth of assisted- and autonomous-driving applications. SoC teams utilize many verification technologies to address their complexity and time-to-market chal- lenges; however, logic simulation continues to be the foundation for all verification flows, and continues to account for more than 90% [10] of all verification workloads.
    [Show full text]
  • Comparación Y Análisis De Desempeño De Unidades De Procesamiento Gráfico Como Alternativa De Computación Paralela Para Procesos De Simulación En Ingeniería
    Comparación y análisis de desempeño de unidades de procesamiento gráfico como alternativa de computación paralela para procesos de simulación en ingeniería. Yerman Jahir Avila Garzón Universidad Nacional de Colombia Facultad de Ingeniería, Departamento de Ingeniería Eléctrica y Electrónica Bogotá DC, Colombia 2015 Comparación y análisis de desempeño de unidades de procesamiento gráfico como alternativa de computación paralela para procesos de simulación en ingeniería. Yerman Jahir Avila Garzón Tesis presentada como requisito parcial para optar al título de: Magister en Ingeniería – Automatización Industrial Director: Ph.D., M.Sc. Ing. Electricista Johan Sebastián Eslava Garzón Línea de Investigación: Arquitectura de Computadores y Computación Paralela, Electrónica Digital, Optimización Grupo de Investigación: GMUN: Grupo de Microelectrónica Universidad Nacional Universidad Nacional de Colombia Facultad de Ingeniería, Departamento de Ingeniería Eléctrica y Electrónica Bogotá DC, Colombia 2015 El que ama la educación ama el saber; el que odia la educación es un tonto. Proverbios 12, 1 A mi esposa Jazmín y mi pequeño hijo Diego José quien está por nacer. A mis padres Ismael y María Antonia, y a mi hermano Arley. A mis abuelas Erminda y Nohemy. A mis abuelos Parmenio† y Celestino†. Resumen y Abstract VII Resumen La computación de propósito general en las unidades de procesamiento gráfico GPU es una área de en continuo crecimiento. Las arquitecturas actuales de las GPU permiten la optimización, a través de diferentes lenguajes de programación (p. ej. CUDA C, CUDA Fortran, OpenCL, entre otros) aplicaciones existentes o crear nuevas aplicaciones que permitan aprovechar el paralelismo natural de GPU. Se busca mejorar el tiempo de ejecución algoritmos complejos, un mejor uso de los recursos computacionales y mayor acceso a plataformas de computación de alto rendimiento.
    [Show full text]
  • Review of FPD's Languages, Compilers, Interpreters and Tools
    ISSN 2394-7314 International Journal of Novel Research in Computer Science and Software Engineering Vol. 3, Issue 1, pp: (140-158), Month: January-April 2016, Available at: www.noveltyjournals.com Review of FPD'S Languages, Compilers, Interpreters and Tools 1Amr Rashed, 2Bedir Yousif, 3Ahmed Shaban Samra 1Higher studies Deanship, Taif university, Taif, Saudi Arabia 2Communication and Electronics Department, Faculty of engineering, Kafrelsheikh University, Egypt 3Communication and Electronics Department, Faculty of engineering, Mansoura University, Egypt Abstract: FPGAs have achieved quick acceptance, spread and growth over the past years because they can be applied to a variety of applications. Some of these applications includes: random logic, bioinformatics, video and image processing, device controllers, communication encoding, modulation, and filtering, limited size systems with RAM blocks, and many more. For example, for video and image processing application it is very difficult and time consuming to use traditional HDL languages, so it’s obligatory to search for other efficient, synthesis tools to implement your design. The question is what is the best comparable language or tool to implement desired application. Also this research is very helpful for language developers to know strength points, weakness points, ease of use and efficiency of each tool or language. This research faced many challenges one of them is that there is no complete reference of all FPGA languages and tools, also available references and guides are few and almost not good. Searching for a simple example to learn some of these tools or languages would be a time consuming. This paper represents a review study or guide of almost all PLD's languages, interpreters and tools that can be used for programming, simulating and synthesizing PLD's for analog, digital & mixed signals and systems supported with simple examples.
    [Show full text]
  • Small Soft Core up Inventory ©2019 James Brakefield Opencore and Other Soft Core Processors Reverse-U16 A.T
    tool pip _uP_all_soft opencores or style / data inst repor com LUTs blk F tool MIPS clks/ KIPS ven src #src fltg max max byte adr # start last secondary web status author FPGA top file chai e note worthy comments doc SOC date LUT? # inst # folder prmary link clone size size ter ents ALUT mults ram max ver /inst inst /LUT dor code files pt Hav'd dat inst adrs mod reg year revis link n len Small soft core uP Inventory ©2019 James Brakefield Opencore and other soft core processors reverse-u16 https://github.com/programmerby/ReVerSE-U16stable A.T. Z80 8 8 cylcone-4 James Brakefield11224 4 60 ## 14.7 0.33 4.0 X Y vhdl 29 zxpoly Y yes N N 64K 64K Y 2015 SOC project using T80, HDMI generatorretro Z80 based on T80 by Daniel Wallner copyblaze https://opencores.org/project,copyblazestable Abdallah ElIbrahimi picoBlaze 8 18 kintex-7-3 James Brakefieldmissing block622 ROM6 217 ## 14.7 0.33 2.0 57.5 IX vhdl 16 cp_copyblazeY asm N 256 2K Y 2011 2016 wishbone extras sap https://opencores.org/project,sapstable Ahmed Shahein accum 8 8 kintex-7-3 James Brakefieldno LUT RAM48 or block6 RAM 200 ## 14.7 0.10 4.0 104.2 X vhdl 15 mp_struct N 16 16 Y 5 2012 2017 https://shirishkoirala.blogspot.com/2017/01/sap-1simple-as-possible-1-computer.htmlSimple as Possible Computer from Malvinohttps://www.youtube.com/watch?v=prpyEFxZCMw & Brown "Digital computer electronics" blue https://opencores.org/project,bluestable Al Williams accum 16 16 spartan-3-5 James Brakefieldremoved clock1025 constraint4 63 ## 14.7 0.67 1.0 41.1 X verilog 16 topbox web N 4K 4K N 16 2 2009
    [Show full text]
  • UCLA Electronic Theses and Dissertations
    UCLA UCLA Electronic Theses and Dissertations Title Minimizing Leakage Energy in FPGAs Using Intentional Post-Silicon Device Aging Permalink https://escholarship.org/uc/item/75h4m6qb Author Wei, Sheng Publication Date 2013 Peer reviewed|Thesis/dissertation eScholarship.org Powered by the California Digital Library University of California University of California Los Angeles Minimizing Leakage Energy in FPGAs Using Intentional Post-Silicon Device Aging A thesis submitted in partial satisfaction of the requirements for the degree Master of Science in Computer Science by Sheng Wei 2013 c Copyright by Sheng Wei 2013 Abstract of the Thesis Minimizing Leakage Energy in FPGAs Using Intentional Post-Silicon Device Aging by Sheng Wei Master of Science in Computer Science University of California, Los Angeles, 2013 Professor Miodrag Potkonjak, Chair The presence of process variation (PV) in deep submicron technologies has be- come a major concern for energy optimization attempts on FPGAs. We develop a negative bias temperature instability (NBTI) aging-based post-silicon leakage energy optimization scheme that stresses the components that are not used or are off the critical paths to reduce the total leakage energy consumption. Further- more, we obtain the input vectors for aging by formulating the aging objectives into a satisfiability (SAT) problem. We synthesize the low leakage energy designs on Xilinx Spartan6 FPGA and evaluate the leakage energy savings on a set of ITC99 and Opencores benchmarks. ii The thesis of Sheng Wei is approved. Jason Cong Milos Ercegovac Miodrag Potkonjak, Committee Chair University of California, Los Angeles 2013 iii Table of Contents 1 Introduction :::::::::::::::::::::::::::::::: 1 2 Related Work ::::::::::::::::::::::::::::::: 7 2.1 Process Variation .
    [Show full text]
  • Evaluation of Synthesizable CPU Cores
    Evaluation of synthesizable CPU cores DANIEL MATTSSON MARCUS CHRISTENSSON Maste r ' s Thesis Com p u t e r Science an d Eng i n ee r i n g Pro g r a m CHALMERS UNIVERSITY OF TECHNOLOGY Depart men t of Computer Engineering Gothe n bu r g 20 0 4 All rights reserved. This publication is protected by law in accordance with “Lagen om Upphovsrätt, 1960:729”. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording, or otherwise, without the prior permission of the authors. Daniel Mattsson and Marcus Christensson, Gothenburg 2004. Evaluation of synthesizable CPU cores Abstract The three synthesizable processors: LEON2 from Gaisler Research, MicroBlaze from Xilinx, and OpenRISC 1200 from OpenCores are evaluated and discussed. Performance in terms of benchmark results and area resource usage is measured. Different aspects like usability and configurability are also reviewed. Three configurations for each of the processors are defined and evaluated: the comparable configuration, the performance optimized configuration and the area optimized configuration. For each of the configurations three benchmarks are executed: the Dhrystone 2.1 benchmark, the Stanford benchmark suite and a typical control application run as a benchmark. A detailed analysis of the three processors and their development tools is presented. The three benchmarks are described and motivated. Conclusions and results in terms of benchmark results, performance per clock cycle and performance per area unit are discussed and presented. Sammanfattning De tre syntetiserbara processorerna: LEON2 från Gaisler Research, MicroBlaze från Xilinx och OpenRISC 1200 från OpenCores utvärderas och diskuteras.
    [Show full text]
  • EMBEDDED PROGRAMMABLE LOGIC CORES By
    IMPLEMENTATION CONSIDERATIONS FOR “SOFT” EMBEDDED PROGRAMMABLE LOGIC CORES by James Cheng-Huan Wu B.A.Sc., University of British Columbia, 2002 A thesis submitted in partial fulfillment of the requirements for the degree of Master of Applied Science in The Faculty of Graduate Studies Department of Electrical and Computer Engineering We accept this thesis as conforming to the required standard: ___________________________ ___________________________ ___________________________ ___________________________ The University of British Columbia September 2004 © James C.H. Wu, 2004 ABSTRACT IMPLEMENTATION CONSIDERATIONS FOR “SOFT” EMBEDDED PROGRAMMABLE LOGIC CORES As integrated circuits become increasingly more complex and expensive, the ability to make post-fabrication changes will become much more attractive. This ability can be realized using programmable logic cores. Currently, such cores are available from vendors in the form of “hard” macro layouts. An alternative approach for fine-grain programmability is possible: vendors supply an RTL version of their programmable logic fabric that can be synthesized using standard cells. Although this technique may suffer in terms of speed, density, and power overhead, the task of integrating such cores is far easier than the task of integrating “hard” cores into an ASIC or SoC. When the required amount of programmable logic is small, this ease of use may be more important than the increased overhead. In this thesis, we identify potential implementation issues associated with such cores, and investigate in depth the area, speed and power overhead of using this approach. Based on this investigation, we attempt to improve the performance of programmable cores created in this manner. Using a test-chip implementation, we identify three main issues: core size selection, I/O connections, and clock-tree synthesis.
    [Show full text]
  • Verilog Synthesis and Formal Verification with Yosys Clifford Wolf
    Verilog Synthesis and Formal Verification with Yosys Clifford Wolf Easterhegg 2016 Overview A) Quick introduction to HDLs, digital design flows, ... B) Verilog HDL Synthesis with Yosys 1. OSS iCE40 FPGA Synthesis flow 2. Xilinx Verilog-to-Netlist Synthesis with Yosys 3. OSS Silego GreenPAK4 Synthesis flow 4. Synthesis to simple Verilog or BLIF files 5. ASIC Synthesis and custom flows C) Formal Verification Flows with Yosys 1. Property checking with build-in SAT solver 2. Property checking with ABC using miter circuits 3. Property checking with yosys-smtbmc and SMT solvers 4. Formal and/or structural equivalence checking Quick Introduction ● What is Verilog? What are HDLs? ● What are HDL synthesis flows? ● What are verification, simulation, and formal verification? ● What FOSS tools exist for working with Verilog designs? ● How to use Yosys? Where is the documentation? What is Verilog? What are HDLs? ● Hardware Description Languages (HDLs) are computer languages that describe digital circuits. ● The two most important HDLs are VHDL and Verilog / SystemVerilog. (SystemVerilog is Verilog with a lot of additional features added to the language.) ● Originally HDLs where only used for testing and documentation. But nowadays HDLs are also used as design entry (instead of e.g. drawing schematics). ● Converting HDL code to a circuit is called HDL Synthesis. Simple Verilog Example module example000 ( input clk, output [4:0] gray_counter ); localparam PRESCALER = 100; reg [$clog2(PRESCALER)-1:0] fast_counter = 0; reg [4:0] slow_counter = 0; always @(posedge clk) begin if (fast_counter == PRESCALER) begin fast_counter <= 0; slow_counter <= slow_counter + 1; end else begin fast_counter <= fast_counter + 1; end end assign gray_counter = slow_counter ^ (slow_counter >> 1); endmodule Simple Verilog Example .
    [Show full text]