Qoriq ® Qonverge ® B4860 Baseband Processor

Total Page:16

File Type:pdf, Size:1020Kb

Qoriq ® Qonverge ® B4860 Baseband Processor QorIQ Qonverge Platform QorIQ Qonverge B4860 Baseband Processor Base station system-on-chip enables processing of three 20 MHz sectors of LTE Overview Target Applications The QorIQ Qonverge B4860 device is a multi- each of the air interface standards in single and Macrocell base stations standard wireless base station system-on-chip multi-mode operations. Layer 2 and transport (SoC) based on 28 nm process technology. The processing are implemented using a mix of • LTE (FDD and TDD) B4860 reduces overall power consumption for e6500 64-bit dual threaded Power Architecture • LTE Advanced high-end wireless macro base stations to deliver cores, data path and security accelerators. • WCDMA the industry’s highest performance solution. The multicore SoC includes 10 programmable The B4860 is perfectly suited to meet the cores based on a StarCore flexible vector performance demands of wireless operators processor (FVP), 64-bit Power Architecture® for LTE, WCDMA and LTE-Advanced macro cores, as well as CoreNet and Multi-Accelerator base stations. It includes a combination of fully Platform Engine (MAPLE) technologies. The programmable StarCore FVP cores, Power B4860 targets broadband wireless infrastructure Architecture cores and powerful baseband and builds upon our proven success of acceleration to provide cost-effective, best- existing multicore SoCs and DSPs in wireless in-class performance, power efficiency and infrastructure markets. connectivity. With four dual-threaded e6500 64-bit cores operating at up to 1.8 GHz and The B4860 is designed to adapt to the rapidly six SC3900FP DSP cores at up to 1.2 GHz, changing and expanding standards of LTE the B4860 provides the required programmable (FDD and TDD), LTE-Advanced including 3GPP performance. Additionally, the MAPLE hardware LTE Rel.10/11 and WCDMA, as well as provide accelerators target processing of baseband simultaneous support for multiple standards. functions, such as FEC decoding and encoding, FFT, PDSCH and PUSCH embedded data Layer 1 is implemented using a mix of StarCore flows, WCDMA chiprate and MiMO equalization, SC3900FP high-performance FVP cores and allowing base station manufacturers to deliver the MAPLE baseband accelerator platform, higher throughputs, lower latencies and better which provides a highly efficient hardware spectral efficiency. implementation of standardized algorithms for QorIQQorIQ Qonverge Qonverge B4860 Block B4460 Diagram Block Diagram Power Architecture® e6500 Power Architecture e6500 StarCore StarCore Dual Thread Core Dual Thread Core SC3900FP FVP Core SC3900FP FVP Core 64-bit 64-bit 32 KB 32 KB 32 KB 32 KB DDR3/3L DDR3/3L L1 D Cache L1 I Cache L1 D Cache L1 I Cache 32 KB 32 KB 32 KB 32 KB 2048 KB Memory Memory L1 D Cache L1 I Cache L1 D Cache L1 I Cache Power Architecture e6500 L2 Cache Power Architecture e6500 Controller Controller Dual Thread Core Dual Thread Core 512 KB 512 KB 2048 KB L2 Cache 32 KB 32 KB 32 KB 32 KB L1 D Cache L1 I Cache L1 D Cache L1 I Cache L3/M3 Cache L3/M3 Cache MAPLE-B3 Baseband CoreNet Coherency Switching Fabric Accelerator 2x EQPE2 2x DEPE Frame Manager Security Monitor Queue Test 2x eTVPE2 USB eOpenPIC Parse, Classify, Distribute Mgr. DMA DMA Port/ 8x eFTPE2 1588® support RapidIO SAP Power Management 2x PUPE2 Buffer Message Debug eSDHC eSPI 2x PDPE2 Mgr. Manager (Aurora) 10G/2.5G/1G 2.5G/1G 2.5G/1G (RMan) OCeaN Pre 1x CRPE2 44 GPIO SRIO PCIe Boot SEC 2 1x TCPE SRIO Loader 4x I C 10G/2.5G/1G 2.5G/1G 2.5G/1G 5.3 IFC 3x CRCPE 2x DUART Clocks/Reset 8x CPRI 16-Lane 10 GHz SerDes Timers Core Complex (CPU, FVP, L1 and Cache) Basic Peripherals and Interconnect Accelerators and Memory Control Networking Elements While the four Power Architecture cores offer - Up to 32 MAC/cycle of 16-bit and up to • Four I2C Interfaces industry-leading processing capacity and a major 16 FLOP/cycle • Four UART ports leap in available processor performance for layer - Eight instructions per cycle 2 and layer 3 in many throughput-intensive, - Up to eight data lanes vector in a single • 182 32-bit timers packet-processing networking applications, raw instruction (SIMD8) • 44 general-purpose I/Os CPU processing power is not enough to achieve - State-of-the-art support for control code multi-Gb/s data rates. To address this, the with branch prediction Software B4860 uses Freescale Data Path Acceleration - Fully featured memory management unit • Development tools from Freescale and Architecture (DPAA), which significantly reduces and logical to real address translation partners data plane instructions per packet and enables more CPU cycles to work on value-added - Clustered L2 cache allowing strict Eclipse IDE allocation or full sharing services rather than repetitive low-level tasks. Compilers Combined with specialized accelerators for - Hardware support for L1 and L2 cache cryptography and pattern matching, the B4860 coherency Debuggers allows the user’s software to perform complex MAPLE baseband accelerators Profiling, critical code analysis, call tree, packet processing at high data rates. - FEC accelerators for LTE, LTE-Advanced trace points and WCDMA The B4860 offloads performance and latency- Nexus trace viewer, code viewer, critical layer 1 functions to MAPLE-B3, ›› Turbo decoder with rate de-matching performance view, trace analyzer and HARQ combining which integrates highly optimized and flexible Scripting for post-process trace and ›› Turbo encoder with rate matching accelerators. The smart partitioning introduced performance data in B4860 provides an excellent balance ›› Viterbi decoder Register analyzer between OEM intellectual property, hardwired - FFT/iFFT accelerators and algorithms implemented on the - DFT/iDFT Device and core simulators fully programmable StarCore FVP and is highly - MiMO equalizer MMSE-based supporting efficient in terms of power dissipation and silicon Operating systems IRC, SIC and PIC area utilization. BSP and device drivers - Matrix inversion and multiplication QorIQ Qonverge B4860 - PUSCH data path embedded flow • B4860QDS board: Software and reference - PDSCH data path embedded flow application development system Processor Features - WCDMA/HSPA+ chip rate and path • Freescale’s optimized software reference search libraries for LTE and WCDMA layer 1 PHY Hardware - CRC functions • Integrated processor cores and accelerators • CoreNet: Internal cache coherent switch fabric General for layer control and transport processing enabling full cache coherent system • 1020-pin FC-PBGA package, 1 mm pitch Four e6500 dual-threaded, 64-bit Power • Two DDR-3/3L controllers: 64-bit, 1.867 GHz Architecture cores (each with 512 KB L3 cache) • Core voltage: VID - Dual threading with simultaneous multi • ECC support for on-chip and off-chip • I/O voltage: 1, 1.2, 1.35, 1.5, 1.8 and 2.5 threading (SMT) memories nominal - 128-bit AltiVec SIMD unit • High-speed interfaces multiplexed into 16 • Industrial temperature range - 40-bit physical addressing SerDes 10G ports • 12.3 MB internal memory - Fully featured MMU with a 1024-entry Two 10 G/2.5 G/1 G Ethernet controllers eight-way set-associative cache • Debug ports: Test access port and boundary - Core virtualization supporting hypervisor Four 2.5 G/1 G Ethernet controllers scan architecture compliant with IEEE Std. 1149.1™, 1149.6™ and Nexus IEEE-ISTO 5001 and logical to real address translation ® IEEE 1588v2 support trace support - Clustered L2 cache allowing strict Two x4 Serial RapidIO controllers 5G allocation or full sharing • Lead-free ROHS compliant (Gen II) - Hardware support for L1 and L2 cache coherency Eight CPRI v4.2 controllers 9.8G DPAA (frame manager, queue manager, Four-lane PCI Express® 5G (Gen II) buffer manager) for IP packed acceleration Eight Aurora: Tracing/debug Security protocol accelerators: SNOW-3G, Kasumi, ZUC, IPSec, DES, 3DES, AES, • Trust architecture with secure boot MD5, SHA-1/2, HMAC • One serial port interface (eSPI) • Integrated DSP cores and baseband • One eSD/eMMC interface accelerators for layer 1 processing • One IFC: 16-bit integrated NAND/NOR flash Six StarCore SC3900FP FVP controller or general-purpose interface programmable cores • One USB 2.0 interface For more information, visit freescale.com/QorIQQonverge Freescale, the Freescale logo, AltiVec, CodeWarrior, StarCore and VortiQa are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. CoreNet and QorIQ Qonverge are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. The Power Architecture and Power.org word marks and the Power and Power.org logos and related marks are trademarks and service marks licensed by Power.org. © 2012-2013, 2015 Freescale Semiconductor, Inc. Document Number: B4860FS REV 3 .
Recommended publications
  • A Low Power Asynchronous GPS Baseband Processor
    A Low Power Asynchronous GPS Baseband Processor Benjamin Z. Tang, Stephen Longfield, Jr., Sunil A. Bhave, Rajit Manohar School of Electrical and Computer Engineering Cornell University. Ithaca, NY, 14853, U.S.A. Email: {bt48, slongfield}@csl.cornell.edu, [email protected], [email protected] Abstract—We present the design and implementation of an having power consumption of less than 10mW [4]. However, asynchronous Global Positioning System (GPS) baseband pro- more work needs to be done to lower the power consumption cessor architecture designed with a combination of Quasi-Delay- of the GPS baseband processor. Insensitive (QDI) and bundled-data techniques, with a focus on minimizing power consumption. All subsystems run at their A powerful DSP can perform all baseband processing in natural frequency without clocking and all signal processing software [5]. This approach is highly reconfigurable and easy is done on-the-fly. Transistor-level simulations show that our to develop and debug but not suitable for low power applica- system consumes only 1.4mW with position 3-D rms error below tions. An alternative is to use a hardware correlation engine 4 meters, comparing favorably to other contemporary GPS to handle the fast correlation operations and a microprocessor baseband processors. Index Terms—GPS, QDI, Bundled-Data, Asynchronous Cir- to handle the rest of the signal processing tasks [6]. cuits, Low-Power Receiver In applications where the user only requires infrequent position updates, a possible solution is proposed in [7], where I. INTRODUCTION the receiver is only powered intermittently. This approach does A. Motivation not continually track satellites, but instead focuses on rapid re- acqusition.
    [Show full text]
  • Coverstory by Robert Cravotta, Technical Editor
    coverstory By Robert Cravotta, Technical Editor u WELCOME to the 31st annual EDN Microprocessor/Microcontroller Di- rectory. The number of companies and devices the directory lists continues to grow and change. The size of this year’s table of devices has grown more than NEW PROCESSOR OFFERINGS 25% from last year’s. Also, despite the fact that a number of companies have disappeared from the list, the number of companies participating in this year’s CONTINUE TO INCLUDE directory has still grown by 10%. So what? Should this growth and change in the companies and devices the directory lists mean anything to you? TARGETED, INTEGRATED One thing to note is that this year’s directory has experienced more compa- ny and product-line changes than the previous few years. One significant type PERIPHERAL SETS THAT SPAN of change is that more companies are publicly offering software-programma- ble processors. To clarify this fact, not every company that sells processor prod- ALL ARCHITECTURE SIZES. ucts decides to participate in the directory. One reason for not participating is that the companies are selling their processors only to specific customers and are not yet publicly offering those products. Some of the new companies par- ticipating in this year’s directory have recently begun making their processors available to the engineering public. Another type of change occurs when a company acquires another company or another company’s product line. Some of the acquired product lines are no longer available in their current form, such as the MediaQ processors that Nvidia acquired or the Triscend products that Arm acquired.
    [Show full text]
  • Synthesizing FPGA Cores for Software Defined Radio
    SYNTHESIZING FPGA CORES FOR SOFTWARE DEFINE RADIO John Huie (General Dynamics Decision Systems, Scottsdale, Arizona, [email protected]); Price D’Antonio (General Dynamics Decision Systems, Scottsdale, Arizona, price.d’[email protected]); Robert Pelt (Altera Corporation, San Jose, California, [email protected]); Brian Jentz (Altera Corporation, San Jose, California, [email protected]) ABSTRACT modulation and demodulation is performed in the analog domain. The processing power of digital components can The paper describes the integration of two separate now easily perform these operations. The first step in a waveforms (FM and Spread Spectrum) in a new prototype digital radio architecture is to perform the exact analog development of a software define radio. functions in the digital domain. This can be done in three The FM modulator and demodulator were integrated ways: 1) perform the signal processing as software on a soft into one signal processing core capable of performing the core general purpose processor (GPP) or on a soft core modulation and demodulation of a 5Khz audio signal. digital signal processor (DSP), 2) perform the signal Waveform processing for the Spread Spectrum processing as hardware implemented as firmware in the Waveform (SSW) proved to be a challenge due to the wide FPGA, or 3) perform the signal processing as a micro- bandwidth of the waveform, and due to the high signal sequenced co-processor supporting specialized instructions processing requirements for high data rates greater than for the DSP. 10Mbps. Since the waveform is going through Antenna Low Noise Demodulator evolutionary change during its development cycle, the Amplifier Filter prototype design meets both current waveform requirements User and maintains sufficient flexibility to accommodate VCO VCO Interface, additional processing and evolution of the waveform.
    [Show full text]
  • Interfacing the MC13783 Power Management IC with I.MX31 Applications Processors By: Power Management and I.MX31 Application Teams
    Freescale Semiconductor Document Number: AN3276 Application Note Rev. 0.3, 01/2010 Interfacing the MC13783 Power Management IC with i.MX31 Applications Processors by: Power Management and i.MX31 Application Teams 1 Introduction Contents 1 Introduction . 1 The purpose of this application note is to provide 2 Power Management Basics . 2 information for the development of the power 3 MC13783 Overview . 3 management section of a product that incorporates an 4 i.MX31 Overview . 6 i.MX31 processor or similar processor and a power 5 Interconnection . 11 management IC (PMIC) such as the MC13783. 6 Control and Other Considerations . 17 7 Revision History . 21 The i.MX31 applications processors incorporate numerous features to enhance use time and battery life by reducing power consumption. These features include: • Power gating • Variety of low power modes • Smart techniques for short wake-up time from low power modes • Dynamic Process Temperature Compensation (DPTC) • Automatic Dynamic Voltage and Frequency Scaling (DVFS, sometimes shortened to DVS for Dynamic Voltage Scaling) • Low-power clocking scheme • Active Well Bias (AWB) © Freescale Semiconductor, Inc., 2006–2010. All rights reserved. Power Management Basics Some of these features are implemented entirely within the i.MX31; others such as dynamic voltage scaling, dynamic process temperature compensation of voltage and power gating require the use of a power management device designed with i.MX31 in mind. Freescale’s MC13783 power management IC is designed with all of the power management, logic and audio features needed for an advanced 3G cell phone or other portable devices using the i.MX31 as an applications processor.
    [Show full text]
  • (12) United States Patent (10) Patent No.: US 8,102.202 B2 10
    USOO81022O2B2 (12) United States Patent (10) Patent No.: US 8,102.202 B2 Wurth (45) Date of Patent: Jan. 24, 2012 (54) MODEM UNIT AND MOBILE (56) References Cited COMMUNICATION UNIT U.S. PATENT DOCUMENTS (75) Inventor: Bernd Wurth, Landsberg am Lech (DE) 5.335,276 A * 8/1994 Thompson et al. ........... 380.266 5,638,540 A * 6/1997 T13,300 (73) Assignee: Innon Technologies AG, Neubiberg 5,974,5285,809,432 A * 10/19999/1998 YamashitaTsai et al. ................. 455,575..1 (DE) 6,380,031 B1 4/2002 Mehrad et al. 7,162,279 B2 * 1/2007 Gupta ........................... 455,574 (*) Notice: Subject to any disclaimer, the term of this 7.281,144 B2 * 10/2007 Banginwar et al. T13,320 patent is extended or adjusted under 35 73s E: 33 S.aSC halkOWSKI ki etalca. ... 229. U.S.C. 154(b) by 739 days. 2002/0083432 A1* 6/2002 Souissi et al. ................. 717/178 2008/0104428 A1* 5/2008 Nafziger et al. ............. T13,300 (21) Appl. No.: 12/190,183 * cited by examiner (22) Filed: Aug. 12, 2008 Primary Examiner — David Mis (74) Attorney, Agent, or Firm — Eschweiler & Associates, (65) Prior Publication Data LLC US 2010/00401 24 A1 Feb. 18, 2010 (57) ABSTRACT (51) Int. Cl. A modem unit includes a first semiconductor die that includes H04L27/00 (2006.01) ARASE (52) U.S. Cl. ........ ".- - - - - - - - 329/311; 332/106; 375/222 on a first semiconductor die. The first semiconductor die also (58) Field of Classification Search .................. 329/300, includes a power management unit and an embedded flash 329/304,311: 332/100, 103, 106; 375/222, memory.
    [Show full text]
  • EN 300 798 V1.1.1 (1997-06) European Standard (Telecommunications Series)
    Draft EN 300 798 V1.1.1 (1997-06) European Standard (Telecommunications series) Digital Audio Broadcasting (DAB); Distribution interfaces; Digital baseband I/Q interface European Broadcasting Union Union Européenne de Radio-Télévision 2 Draft EN 300 798 V1.1.1 (1997-06) Reference DEN/JTC-00DAB-6 (7e000ico.PDF) Keywords DAB, digital, audio, broadcasting, interface ETSI Secretariat Postal address F-06921 Sophia Antipolis Cedex - FRANCE Office address 650 Route des Lucioles - Sophia Antipolis Valbonne - FRANCE Tel.: +33 4 92 94 42 00 Fax: +33 4 93 65 47 16 Siret N° 348 623 562 00017 - NAF 742 C Association à but non lucratif enregistrée à la Sous-Préfecture de Grasse (06) N° 7803/88 X.400 c= fr; a=atlas; p=etsi; s=secretariat Internet [email protected] http://www.etsi.fr Copyright Notification No part may be reproduced except as authorized by written permission. The copyright and the foregoing restriction extend to reproduction in all media. © European Telecommunications Standards Institute 1997. © European Broadcasting Union 1997. All rights reserved. 3 Draft EN 300 798 V1.1.1 (1997-06) Contents Intellectual Property Rights................................................................................................................................4 Foreword ............................................................................................................................................................4 Introduction ........................................................................................................................................................5
    [Show full text]
  • Baseband-Processor for a Passive UHF RFID Transponder
    Baseband-Processor for a Passive UHF RFID Transponder Jose A. Rodriguez-Rodriguez*, Manuel Delgado-Restituto and Angel Rodriguez-Vazquez Institute of Microelectronics of Seville (lMSE-CNM-CSIC) - University of Seville Parque Tecnol6gico de la Cartuja,Avda. Americo Vespucio sin, 41092-Seville, SPAIN Abstract - This paper describes the design of a dig­ egies at its implementation. These power saving tech­ ital processor targeting the Class-l Generation-2 EPC niques and the architecture of the baseband processor Protocol for transponders, and proposes dif­ UHF RFID are presented in Section III. Next,Section IV shows the ferent techniques for reducing its power consumption. layout of the processor and presents extracted simula­ The processor has been implemented in a O.35�m CMOS tions which confirm the system functionality and pre­ technology process using automatic tools for both the dict a worst-case power consumption of only 2.9/-lA at logic synthesis and layout. Post-layout simulations con­ I.2V supply. Finally, Section V concludes the paper. firm the fully functionality of the prototype and predict a worst-case power consumption of only 2.9�A at 1.2V sup­ II. EPC GEN 2 REVIEW ply. The EPCTMClass-l Generation-2 (Gen2) protocol I. INTRODUCTION [7] is a highly flexible protocol which allows a wide Nowadays, Radio frequency IDentification variety of air interface and encoding possibilities: (RFID) devices find many applications in fields such • Reader to tag communications (forward link) can as manufacturing, product distribution and sales, auto­ be done with three types of ASK modulation using motive, transportation and customer services, and £ulse-Interval Encoding (PIE) format.
    [Show full text]
  • Selecting Application Processors for Mobile Multimedia
    Selecting Application Processors for Mobile Multimedia Optimized DSP Software • Independent DSP Analysis Selecting Application Processors for Mobile Multimedia Presented by Jeff Bier Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 [email protected] http://www.BDTI.com © 2003 Berkeley Design Technology, Inc. Presentation Goals By the end of this workshop, you should know: • Key selection criteria and trends for application processors • Common approaches to multimedia acceleration used in application processors • Key strengths and weaknesses of each approach • Key strengths and weaknesses of representative processors from each category © 2003 BDTI 2 © 2003 Berkeley Design Technology, Inc. Communications Design ConferencePage 1 September 2003 Selecting Application Processors for Mobile Multimedia Application Processors Defined Run “user applications” in smart phones, PDAs, and other converged devices Support mainstream OSs • Symbian, Windows CE, PalmOS, or Linux Emphasize multimedia processing • Audio, video, still image, and 2D and 3D graphics • Media player, camera, games Support Java for games and other downloaded apps Support security features for network updates, m-commerce, DRM Do not handle “baseband” (wireless communications) © 2003 BDTI 3 Application vs. Baseband Antenna Baseband Processor Application Processor DSP RAM SDRAM FLASH RF Transceiver ARM Media ARM Engine ROM RAM Analog Color Baseband, LCD Power USB LCD Mgmt. Peripherals I2S UARTs MMC/SD MMC/SD … Camera Camera Mic Speaker Headphones Keypad © 2003
    [Show full text]
  • Baseband Processor for IEEE 802.11A Standard with Embedded BIST
    FACTA UNIVERSITATIS (NISˇ ) SER.: ELEC. ENERG. vol. 17, August 2004, 231-239 Baseband Processor for IEEE 802.11a Standard with Embedded BIST This paper is dedicated to dear friend of my familly Prof. K. Trondle¨ on the occasion of his retirement Milosˇ Krstic,´ Koushik Maharatna, Alfonso Troya, Eckhard Grass, and Ulrich Jagdhold Abstract: In this paper results of an IEEE 802.11a compliant low-power baseband processor implementation are presented. The detailed structure of the baseband pro- cessor and its constituent blocks is given. A design for testability strategy based on Built-In Self-Test (BIST) is proposed. Finally, implementational results and power estimation are reported. Keywords: IEEE 802.11a, Baseband processor, Low power, BIST. 1 Introduction Fourth generation (4G) wireless and mobile systems are today very attractive for research and development. New types of services will be universally available to consumers and for industrial applications with the use of 4G devices. Broadband wireless networks will enable packet based high-speed data transfer suitable for video transmission and mobile Internet applications. This paper is based on the outcomes of a project that aims to develop a wireless broadband communication system in the 5 GHz band, compliant with the IEEE 802.11a standard [1]. This standard specifies broadband communication systems using OFDM (Orthogonal Frequency Division Multiplexing) with data rates rang- ing from 6 - 54 Mbit/s. According to the standard, physical layer computational Manuscript received March 8, 2004. M. Krstic,´ A. Troya, E. Grass and U. Jagdhold are with IHP Microelectronics, Im Tech- nologiepark 25, 15236 Frankfurt (Oder), Germany (e-mail: [krstic, troya, grass, jagdhold]@ihp-microelectronics.com).
    [Show full text]
  • A Survey of Baseband Architecture for Software Defined Radio M
    World Academy of Science, Engineering and Technology International Journal of Computer and Information Engineering Vol:9, No:3, 2015 A Survey of Baseband Architecture for Software Defined Radio M. A. Fodha, H. Benfradj, A. Ghazel optimized for a specific functionality such as filtering, FFT Abstract—This paper is a survey of recent works that proposes a processing, modulation, data coding. Even though FUJITSU baseband processor architecture for software defined radio. A has proposed a single core processor that meet full signal classification of different approaches is proposed. The performance processing of LTE. After his reconfigurable single chip of each architecture is also discussed in order to clarify the suitable baseband signal processor [5] FUJITSU has proposed in [6] a approaches that meet software-defined radio constraints. recent single core vector processor. The architecture is Keywords—Multi-core architectures, reconfigurable architecture, composed of LX3 CPU processor of Cadence Design Systems software defined radio. unit and vector unit. The processor is evaluated for FFT, sum of tow arrays, FIR filter, computation of inner product and I. INTRODUCTION maximum value index search operations and the results show HE origin of software radio idea comes from J. Mitola and that larger improvement can be achieved with a higher array Tit is discussed in [1]. The concept is to implement the size. The peak computational performance is 12 GOPS. The radio functionalities at software level. The first aim of radio power consumption can be reduced to about 30 mW on was the transmission of speech, then this has been enhanced average with 28 nm process.
    [Show full text]
  • Reconfigurable Fpga-Based Baseband Processor for Multi-Mode Spectrum Aggregation
    D 201 9 RECONFIGURABLE FPGA-BASED BASEBAND PROCESSOR FOR MULTI-MODE SPECTRUM AGGREGATION MÁRIO LOPES FERREIRA PHD THESIS SUBMITTED TO FACULDADE DE ENGENHARIA DA UNIVERSIDADE DO PORTO DEPARTAMENTO DE ENGENHARIA ELETROTÉCNICA E DE COMPUTADORES FACULDADE DE ENGENHARIA DA UNIVERSIDADE DO PORTO Reconfigurable FPGA-Based Baseband Processor for Multi-mode Spectrum Aggregation Mário Lopes Ferreira MAP-tele Doctoral Programme in Telecommunications Supervisor: Prof. João Canas Ferreira August 5, 2019 c Mário Lopes Ferreira, 2019 Reconfigurable FPGA-Based Baseband Processor for Multi-mode Spectrum Aggregation Mário Lopes Ferreira MAP-tele Doctoral Programme in Telecommunications August 5, 2019 Abstract The fifth generation (5G) of cellular communications will revolutionize the way humans and ma- chines interact with each other and lead to deep technological, social and economic transformations. To fulfill to 5G potential, the communication infrastructure must support an unprecedented variety of deployment scenarios, such as enhanced Mobile Broadband (eMBB), Ultra-Reliable and Low Latency Communications (URLLC) or massive Machine Type Communications (mMTC). At the same time that new waveforms are studied and proposed to cope with the heterogeneity of services and requirements, the increasing ubiquity and high density of wireless communications requires a more efficient use of the electromagnetic spectrum. Consequently, the physical layer design for future wireless devices will arguably surpass those from previous generations in terms of complexity, flexibility and efficiency. In this context, this PhD dissertation aims at studying and designing dynamically reconfigurable baseband processing architectures for multi-mode, multi-waveform coexistence and dynamic spectrum aggregation. Due to their flexibility, parallel computation model and moderated power consumption, Field-Programmable Gate Arrays (FPGAs) are used as the implementation platform.
    [Show full text]
  • CMX981 Baseband Processor for Digital Radio
    Advanced Digital-Radio Baseband Processor A Software-Defined Radio Baseband Processor IC INNOVATIONS INV/TwoWay/981/1 March 2004 Combination Full-Duplex Voice-Codec and Digital Signal Processor IC A-to-D and D-to-A Interfaces n Software-Defined Radio (SDR) Processor IC n Multi-Mode Digital/Analogue PMR and Trunked Radio n High Integration Saves Cost, Space, Power and Design Time n Programmable Flexibility Enables Use in Many Radio Systems n Transmit and Receive Analogue and Digital Processing - Tx and Rx programmable multi-tap FIR filters n Exceptional Rx SINAD Performance n Full-Duplex Voice Codec with Input and Output Gain Setting and Speaker/Earpiece Power Amplifiers n Flexible Serial Interfaces for Multi-Processor Operation n Auxiliary ADCs and DACs for Ancillary Radio Functions n Autonomously Performs Many Critical DSP Intensive Functions SUITABLE APPLICATIONS n Low-Power 2.5V Operation TETRA - APCO25 - Tetrapol - RCR STD-39 - ARIB STD-T61 - ARIB STD-T85 n EV9810 EvKit Available . and many other digital radio systems CML Microcircuits Two-Way Radio COMMUNICATION SEMICONDUCTORS - ‘Communicate’ with CML - Digital Radio* Narrowband digital radio systems provide many advantages over traditional analogue FM RF Modulator Cartesian Loop approaches. By implementing error correction, a digital system can consistently maintain a respectable 'I' Linear PA Voice Coder DAC Channel Coding, RRC ADC Voice Filter p /4 90° operating range even in the presence of significant interference. The majority of current PMR and TDMA Frame DQPSK Formatting DAC trunked digital radio systems deal not only in voice transactions, but also in data, video and still graphics; RRC 'Q' all within a fixed bandwidth.
    [Show full text]