<<

© 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

Chapter 1

Introduction to Chemical Vapor Deposition (CVD)

J. R. Creighton and P. Ho Sandia National Laboratories P.O. 5800, MS0601 Albuquerque, NM 87185-0601

Introduction The handbook by Pierson3 contains a very useful discussion of specific materials and CVD 4 Chemical vapor deposition (CVD) is a processes, as does the book by Morosanu. The 5 widely used materials-processing technology. books by Hitchman and Jensen, and by 6 The majority of its applications involve applying Sherman, concentrate more on thin-film to surfaces, but it is also applications, while the books used to produce high-purity bulk materials and by Stringfellow7 and by Jones and O’Brien8 powders, as well as fabricating composite concentrate on compound materials via infiltration techniques. It has been applications. The book by Kodas and Hampden- used to deposit a very wide range of materials. Smith9 and the series of proceedings volumes, As indicated by the shaded in Figure 1, represented by Sandhu et al.10 focus on CVD of the majority of the elements in the periodic table metals. A separate series of books on CVD are have been deposited by CVD techniques, some the proceedings of the International Conferences in the form of the pure element, but more often on CVD held every two to three years since circa combined to form compounds. 1967, primarily sponsored by the Electro- CVD has an extensive literature, including chemical Society. These provide useful a number of other books on the subject. The “snapshots” of the field at various times,11-13 are classic book by Powell, Oxley and Blocher1 a few of the more recent volumes in this series. covers much of the earlier work up to the mid Books by Vossen and Kern14 and Smith,15 cover 1960s, while a bibliography by Hawkins2 lists CVD as parts of their larger treatments of thin in CVD for the 1960-1980 time period. film deposition. © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

2 Creighton and Ho 2 10 18 36 54 86 Ar Ne Xe He Kr Rn (222) (222) 4.003 4.003 83.80 83.80 20.179 20.179 39.948 39.948 131.30 131.30 I 9 F 17 35 53 85 At CI Br (210) (210) 19.00 19.00 35.45 35.45 79.90 126.9 8 S O Se 16 34 52 84 Te Po (210) (210) 16.00 16.00 32.07 78.96 127.6 71 Lr Lu Lu 103 (257) (257) 174.96 174.96 7 P N Bi 15 33 51 83 As Sb 14.01 14.01 30.97 74.92 121.8 209.0 70 No Yb Yb 102 (259) (259) 173.0 173.0 6 C Si 14 14 32 50 82 Sn Sn Pb Ge 12.01 12.01 28.09 72.61 118.7 207.2 69 69 Tm Tm 101 Md (256) (256) 168.9 168.9 5 B Al In 13 31 49 81 TI Ga 10.81 10.81 26.98 69.72 114.8 204.37 204.37 68 Er Fm Fm 100 100 (257) (257) 167.3 167.3 30 30 48 80 Zn Zn Cd Cd Hg 65.39 65.39 112.4 200.59 200.59 99 Es 67 Ho (252) (252) 164.9 164.9 29 47 79 Ag Cu Cu Au 63.546 63.546 98 Cf 66 Dy Dy 107.868 107.868 196.966 (251) (251) 162.5 162.5 Pt 28 Ni 46 78 Pd 97 65 Tb Tb Bk 58.70 58.70 106.4 (247) (247) 158.9 158.9 195.09 195.09 96 96 64 64 Gd Cm Cm Ir 27 45 77 (247) (247) 157.2 157.2 Co Rh 58.933 58.933 192.22 102.905 102.905 63 95 Eu Eu Am (243) (243) 152.0 152.0 26 44 76 Fe Os Os Ru Ru 190.2 190.2 55.847 55.847 101.07 62 94 Pu Pu Sm Sm (244) (244) 150.4 150.4 25 43 75 Tc Re Mn Mn (99) (99) 186.2 186.2 54.938 54.938 61 93 Np Np Pm Pm (145) (145) 237.0 237.0 W 24 42 74 Cr Mo Mo 95.94 95.94 51.996 51.996 183.85 U 60 92 Nd Nd 144.2 144.2 238.0 V 23 41 73 Ta Ta Nb Nb 59 59 Pr 91 Pa Pa 50.942 50.942 92.906 180.948 180.948 140.1 140.1 231.0 231.0

Ti 22 40 72 Zr Hf 58 90 Ce Th 47.90 47.90 91.22 178.49 178.49 232.0 232.0 140.115 140.115

Y 89 21 Sc 39 57 Ac La La 89 57 Ac La La 227.0 227.0 138.9 138.9 227.0 227.0 138.9 138.9 44.956 44.956 88.906

4 88 12 20 38 Sr 56 Be Ba Ra Ra Ca Ca Mg Mg (226) (226) Periodic table, where shaded boxes indicate elements that have been deposited using CVD. 9.012 9.012 40.08 87.62 24.305 24.305 137.33 1 3 K H Li 11 19 37 55 87 IA IIA IVAIIIA VA VIIA VIA VIII IB VIIB O VIB IIB IIIB IV VA Fr Cs Na Na Rb Rb ACTINIDES ACTINIDES (223) (223) 1.008 1.008 6.941 22.990 22.990 39.098 85.468 LANTHANIDES LANTHANIDES 132.905 132.905 PERIODIC TABLE Fig. 1: © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

Introduction to Chemical Vapor Deposition 3 In its simplest incarnation, CVD involves surface to be coated and the source. Another flowing a precursor gas or gases into a chamber advantage of CVD is that, in addition to the wide containing one or more heated objects to be variety of materials that can be deposited, they coated. Chemical reactions occur on and near can be deposited with very high purity. This the hot surfaces, resulting in the deposition of a results from the relative ease with which on the surface. This is accompanied by impurities are removed from gaseous precursors the production of chemical by-products that are using distillation techniques. Other advantages exhausted out of the chamber along with include relatively high deposition rates, and the unreacted precursor gases. As would be expected fact that CVD often doesn’t require as high a with the large variety of materials deposited and vacuum as PVD processes. the wide range of applications, there are many CVD also has a number of disadvantages. variants of CVD. It is done in hot-wall reactors One of the primary disadvantages lies in the and cold-wall reactors, at sub-torr total pressures properties of the precursors. Ideally, the to above-atmospheric pressures, with and precursors need to be volatile at near-room without carrier gases, and at temperatures temperatures. This is non-trivial for a number typically ranging from 200-1600°C. There are of elements in the periodic table, although the also a variety of enhanced CVD processes, use of metal-organic precursors has eased this which involve the use of plasmas, ions, photons, situation. CVD precursors can also be highly

, hot filaments, or combustion reactions to toxic (Ni(CO)4), explosive (B2H6), or corrosive

increase deposition rates and/or lower deposition (SiCl4). The byproducts of CVD reactions can

temperatures. There are also many derivatives also be hazardous (CO, H2, or HF). Some of of the CVD terminology, such as metal-organic these precursors, especially the metal-organic chemical vapor deposition (MOCVD)16,17 or, less precursors, can also be quite costly. The other commonly, organo-metallic chemical vapor major disadvantage is the fact that the films are deposition (OMCVD), which are sometimes usually deposited at elevated temperatures. This used to note the class of molecules used in the puts some restrictions on the kind of substrates deposition process. Some practitioners chose to that can be coated. More importantly, it to differentiate epitaxial film deposition from stresses in films deposited on materials with polycrystalline or amorphous film deposition, different coefficients, which so they introduced a variety of terms that include can cause mechanical instabilities in the “” in the acronym. Two of the more deposited films. common variants are organometallic vapor phase CVD processes can be categorized epitaxy (OMVPE)7 and metalorganic vapor according to the type of: phase epitaxy (MOVPE)18 which are often used 1. Application, in the compound semiconductor epitaxy 2. Process and reactor used, or 3. Precursor and chemical reaction used. literature. The next three sections of this chapter are CVD has a number of advantages as a method for depositing thin films. One of the arranged around such subdivisions. These primary advantages is that CVD films are sections are followed by a discussion of the generally quite conformal, i.e., that the film fundamental processes underlying CVD, such thickness on the sidewalls of features is as mass transport, thermodynamics, and comparable to the thickness on the top. This chemical kinetics. Finally, we present a brief means that films can be applied to elaborately analysis of the historical and current status of shaped pieces, including the insides and CVD R & D. undersides of features, and that high-aspect ratio holes and other features can be completely filled. CVD Applications In contrast, physical vapor deposition (PVD) techniques, such as or , One of the earliest examples of a large-scale generally require a line-of-sight between the CVD application was a carbonyl process for © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

4 Creighton and Ho refining of nickel, as developed by Mond, The communications revolution also relies Langer, and Quincke in 1890.19 Many of the on a diverse set of CVD technologies. Some early applications involved refining or components are similar to those used in silicon purification of metals and a limited number of microelectronics, but many are unique, involving non-metals by carbonyl or halide processes. complex epitaxial heterostructures of SiGe or Other early applications involved deposition of compound semiconductor (e.g., AlGaAs) alloys coatings for and resistance, and that are required to yield high frequency (1-100 the fabrication of structural shapes and GHz) device operation.20 The communication components. Most of the earliest work, up to revolution also relies on optoelectronic the mid 1960s, is reviewed in the book by components, such as solid state diode lasers Powell, Oxley, and Blocher.1 Many high volume (another complex heterostructure device), and applications, such as refining and the production these devices are often grown by CVD.7,21 Even of powders and pigments, are obviously still the fiberoptic cables that transmit the optical important economically, but most of the recent component of the communications network are CVD R & D effort is aimed at thin-film manufactured using a CVD technique to achieve deposition. the desired profile.22 There is a great deal of ongoing R & D Optoelectronic material grown by CVD has regarding CVD of thin films used primarily for many applications outside of the their mechanical or chemical properties. Many communications industry. One example is for of these are discussed in detail in other chapters solid state lighting using light emitting diodes of this book, so we only briefly mention them (LEDs). Recently, Nichia Chemical was the first here. Chapter 11 discusses the use of CVD films company to commercialize high brightness blue for tribological applications, chapter 13 and green LEDs based on group-III nitride discusses CVD films used for corrosion alloys, e.g. InGaN, which are grown on sapphire protection, and chapter 14 discusses protective substrates using CVD technology.23 This CVD coatings for tool steels. Chapter 10 company also introduced the first long-life blue discusses the CVD of diamond, and diamond- diode, based on the same material and like carbon (DLC), which has generated a technology. In addition to the myriad of lighting tremendous interest level in the past decade (see and signage applications using colored LEDs, also last section, this chapter), and has significant there is a growing interest in generating solid commercial applications. Diamond films are state white light sources to replace incandescent mainly used for their hardness, but applications and perhaps even fluorescent sources.24 A solid- utilizing its high thermal conductivity, chemical state white light source may be achieved by inertness, or electronic properties are also combining LEDs of different wavelengths, or important. by pumping a with an ultraviolet LED. A great deal of CVD R & D in recent Another exciting technology utilizing CVD decades, however, is focussed on the is the production of microelectromechanical semiconductor revolution. We devote somewhat structures, or MEMS.25 Much of the MEMS more space here to these applications, as they technology is derived from the silicon are not covered elsewhere in this book. CVD microelectronics technology, so it is not has been a critical enabling technology in surprising that CVD plays a crucial role. Most silicon-based microelectronics; it is even used MEMS devices are fabricated from at the earliest stage during the refining and polycrystalline silicon (polysilicon) films purification of elemental silicon. Depending on deposited on silicon wafers, with intermediate

the device, CVD processes are used for sacrificial SiO2 layers that are later removed by depositing thin films of the active semiconductor chemical etching. Figure 3 shows an example material (e.g. doped Si), conductive of such a device, in this a set of interlocking interconnects (e.g. tungsten), and/or insulating gears that are ~50-200 microns in diameter. Both

(e.g. SiO2), (see Figure 2). the polysilicon and oxide are deposited using © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

Introduction to Chemical Vapor Deposition 5

Fig. 2: Cross section of a silicon microelectronic memory circuit showing several materials

deposited by CVD; polycrystalline silicon, tungsten (W), SiO2, and Si3N4. Photo courtesy of Pat Shea, Sandia National Laboratories.

CVD or PECVD. The CVD steps define the these devices contain two dimensional (2-D) structure of the device perpendicular to the quantum wells or composed of silicon substrate, while numerous lithographic strained epitaxial layers that are 1-10 nm thick. and etching steps define the structure in the other An example of a strained is two dimensions. CVD is sometimes used to shown in Figure 4. In addition to quantum wells, apply coatings to reduce friction after the 3-D laser structures such as the vertical cavity surface structure is created. Work is also being done to emitting laser (VCSEL) contain stacks integrate MEMS devices with silicon composed of a large number of alternating layers microelectronic devices on the same chip. of semiconductor material that are typically The field of nanotechnology has generated 50-100 nm thick. The thickness of each layer a lot of recent interest, and focused research often must be controlled with a precision better programs have been initiated in almost all than 1 nm. Despite this requirement these industrialized countries in the last five years. In devices can be grown routinely with relatively 2000 the U.S. launched the National Nano- high yield in finely tuned and calibrated OMVPE technology Initiative, with plans to nearly double reactors.28 A related CVD technique that the nanoscale R & D effort.26 Nano-technology automatically yields subnanometer control is is an extremely diverse topic, but some of the known as atomic layer epitaxy (ALE).29 best examples of existing nanoscale R & D are in An extension of 2-D R & D the area of epitaxial heterostructures for laser involves the generation of quantum wires (1-D) diodes and LEDs grown by CVD.7,23,27 Many of and quantum dots (0-D).27,30 Quantum dots are © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

6 Creighton and Ho

Fig. 3: Example of a silicon surface micromachined gear train. Courtesy of the Intelligent Micromachine Initiative, Sandia National Laboratories.

Fig. 4: Strained layer superlattice of InAsSb on InSb with 10 nm layer thickness. Photo courtesy of R. M. Biefeld, Sandia National Laboratories. © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

Introduction to Chemical Vapor Deposition 7

Fig. 5: Indium arsenide quantum dots deposited on arsenide by OMVPE. Photo courtesy of Jeff Cederberg and R. M. Biefeld, Sandia National Laboratories.

often considered to be artificial , where a thick Rhenium CVD is applied to a the effect of quantum confinement significantly sacrificial molybdenum mandrel, which is later perturbs the normal bulk electronic properties removed by etching. Another example is the of the material. One method of growing quantum production of large-scale infrared optical materials dots involves depositing one material on another (ZnSe and/or ZnS up to several feet across).32 with a large lattice mismatch. The example in Figure 5 is InAs on GaAs(100) by CVD. Due to CVD Reactor Types the interfacial properties of this highly strained layer, InAs spontaneously forms islands on the As mentioned in the introduction, CVD 10-nm scale with a relatively narrow size encompasses a wide range of reactor and process distribution. The electronic and optical types. The choice of process/reactor is properties of the InAs quantum dots are determined by the application via the dramatically different from bulk InAs, allowing requirements for substrate material, coating for novel device fabrication. material and morphology, film thickness and In addition to the numerous electronic and uniformity, availability of precursors, and cost. optoelectronic applications mentioned above, Here, we discuss the general types of reactors novel applications of CVD are also being used used for CVD, and refer the reader to the other to generate macroscopic components (10 cm as chapters in this and other books for detailed opposed to 1 nm). One interesting example is information on specific systems. the production of Ir/Re thrust chambers for Hot wall reactors represent one of the major rocket motors (see Figure 6).31 For this structure categories of CVD reactors. In such systems, © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

8 Creighton and Ho

Fig. 6: Rhenium rocket thrust chamber fabricated using CVD. Photo courtesy of B. H. Tuffias, Ultramet.31

shown schematically in Figure 7, the chamber relatively uniform substrate temperatures and containing the parts is surrounded by a furnace thus coating thicknesses. The primary that heats the system. The parts are loaded into disadvantages are that the walls get heavily the system, it is heated to the desired coated, requiring frequent cleaning and causing temperature, then the reactive gases are particle problems, and that it involves higher introduced. The reactor may be equipped with thermal loads and usage. shelves for coating many parts at once, or be Cold wall reactors are the other major sized for specific large parts. These systems are category of CVD reactors. In such systems, the often run at very high temperatures, limited only substrates are heated but the walls are cooled. by the materials used in constructing the furnace, Figure 9 shows an example of a cold wall and at reduced pressures, on the order of Torr to rotating disk CVD reactor.28,33 This system has tens of Torr. Figure 8 shows a schematic for a water-cooled quartz walls, with a rotating holder hot-wall reactor that has been tailored to low- for (silicon or compound semiconductor) wafers pressure CVD (LPCVD) batch processing in the that is resistively heated from below. Other microelectronics industry. In this case, a commercial cold-wall reactors include lamp specialized support holds a large number (over heated single- reactors that are widely used a hundred) of closely-spaced silicon wafers for in microelectronics fabrication, and inductively simultaneous processing. In general, hot wall heated horizontal flow reactors. Cold-wall reactors have the advantages of being able to reactors are often run at relatively high pressures, process large batches of substrates, and having several hundred torr to atmospheric total © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

Introduction to Chemical Vapor Deposition 9 Gas Inlet

Heating Elements

Parts Being Coated

Shelves

Exhaust to Pump

Fig. 7: Schematic drawing of hot-wall CVD reactor used to coat multiple parts.

Gas Inlet

Heating Elements

Wafers

Exhaust to Pump

Fig. 8: Schematic drawing of LPCVD furnace for batch processing of multiple silicon wafers. © 2001 ASM International. All Rights Reserved. www.asminternational.org Chemical Vapor Deposition (#06682G)

10 Creighton and Ho

Window for Screens for Pyrometry Straightening Gas Flow

Gas Inlet

Rotating Water Holder Water Cooled Quartz Walls Stationary Heater

Exhaust to Pump

Fig. 9: Schematic diagram of a cold-wall rotating disk CVD reactor used for depositing thin films on semiconductor wafers.

pressure, and usually have the reactive precursors over a set of rollers. In other cases, such as the diluted in a carrier gas. Most compound large-scale application of optical coatings (i.e. semiconductor CVD processes use reactors of low-E coatings) to , the moving belt could this type. Cold wall reactors have the advantages be the float-glass sheet itself. These systems are of reduced deposition of material on the walls, essentially open to atmosphere – the reactive which means less cleaning, lower thermal loads gases are contained by “curtains” of inert gas on the substrates because of faster heat-up and on either side of the deposition zone. Such cool-down times, lower energy consumption, and systems have the advantage that they can do very the avoidance of vacuum equipment. The primary large scale production, and avoid vacuum disadvantages are larger temperature non- equipment. The disadvantages are a relatively uniformities on the substrate, which may to high rate of gas consumption, potential non- film thickness non-uniformities, the smaller batch uniformities in film thickness, relatively low sizes, and possible thermal stresses on the operating temperatures because of the high substrates if the heating/cooling is too rapid. volumes of gas involved, and relatively low A specialized variation of a cold wall reactor efficiency for precursor use. is the continuous reactor shown schematically -enhanced (PECVD) or plasma- in Figure 10. In this system, the surface to be assisted (PACVD) CVD, (see chapters in coated moves underneath a set of gas injectors Refs. 5, 14, and 15), constitute a smaller category and is heated from below. In some cases, the of CVD processes that also involves a variety of substrates (wafers) are placed on a belt moving reactor designs. In these systems, a plasma is ASM International is the society for materials engineers and scientists, a worldwide network dedicated to advancing industry, technology, and applications of metals and materials.

ASM International, Materials Park, Ohio, USA www.asminternational.org

This publication is copyright © ASM International®. All rights reserved.

Publication title Product code Chemical Vapor Deposition #06682G

To order products from ASM International: Online Visit www.asminternational.org/bookstore Telephone 1-800-336-5152 (US) or 1-440-338-5151 (Outside US) Fax 1-440-338-4634 Customer Service, ASM International Mail 9639 Kinsman Rd, Materials Park, Ohio 44073-0002, USA Email [email protected] American Technical Publishers Ltd. 27-29 Knowl Piece, Wilbury Way, Hitchin Hertfordshire SG4 0SX, In Europe United Kingdom Telephone: 01462 437933 (account holders), 01462 431525 (credit card) www.ameritech.co.uk Neutrino Inc. In Japan Takahashi Bldg., 44-3 Fuda 1-chome, Chofu-Shi, Tokyo 182 Japan Telephone: 81 (0) 424 84 5550

Terms of Use. This publication is being made available in PDF format as a benefit to members and customers of ASM International. You may download and print a copy of this publication for your personal use only. Other use and distribution is prohibited without the express written permission of ASM International.

No warranties, express or implied, including, without limitation, warranties of merchantability or fitness for a particular purpose, are given in connection with this publication. Although this information is believed to be accurate by ASM, ASM cannot guarantee that favorable results will be obtained from the use of this publication alone. This publication is intended for use by persons having technical skill, at their sole discretion and risk. Since the conditions of product or material use are outside of ASM's control, ASM assumes no liability or obligation in connection with any use of this information. As with any material, evaluation of the material under end-use conditions prior to specification is essential. Therefore, specific testing under actual conditions is recommended.

Nothing contained in this publication shall be construed as a grant of any right of manufacture, sale, use, or reproduction, in connection with any method, process, apparatus, product, composition, or system, whether or not covered by letters patent, copyright, or trademark, and nothing contained in this publication shall be construed as a defense against any alleged infringement of letters patent, copyright, or trademark, or as a defense against liability for such infringement.