Multi-Method Dispatch Using Single-Receiver Projections

Total Page:16

File Type:pdf, Size:1020Kb

Multi-Method Dispatch Using Single-Receiver Projections Multi-Method Dispatch Using Single-Receiver Projections Wade Holst Duane Szafron Candy Pang Yuri Leontiev g fwade,duane,candy,yuri @cs.ualberta.ca Department of Computing Science University of Alberta Edmonton, Canada Abstract A new technique for multi-method dispatch, called Single-Receiver Projections and abbre- viated SRP, is presented. It operates by performing projections onto single-receiver dispatch tables, and thus benefits from existing research into single-receiver dispatch techniques. It pro- k vides method dispatch in O(k ), where is the arity of the method. Unlike existing multi-method dispatch techniques, it also maintains the set of all applicable methods. This extra information allows SRP to support languages like CLOS that use next-method. SRP is also highly incremen- tal in nature, so it is well-suited for languages that require separate compilation and languages that allow methods and classes to be added at run-time. SRP uses less data-structure memory than all existing multi-method techniques and has the second fastest dispatch time. Furthermore, if the other techniques are extended to support all applicable methods, SRP becomes the fastest dispatch techniques. RESEARCH PAPER Subject Areas: language design and implementation, programming environments Keywords: multi-method, dispatch, object-oriented, implementation, compiler Word Count: 8722 Contact Author: Duane Szafron Department of Computing Science University of Alberta Edmonton, Canada, T6G 2H1 Phone: (780) 492-5468 Fax: (780) 492-1071 Email: [email protected] 1 1 Introduction In object-oriented languages, the method to invoke is determined not only by the method (selector) name, but also by the dynamic types of its arguments. Run-time determination of the method to invoke at a call-site is called method dispatch and is a critical component of execution performance. Although optimizing compilers can avoid method dispatch at numerous call-sites, run-time method dispatch is always necessary in the general case. Object-oriented languages can be separated into single-receiver languages and multi-method lan- guages. Single-receiver languages use the dynamic type of a dedicated receiver object in conjunction with the method name to determine the method to execute at run-time. Multi-method languages use the dynamic types of two or more object arguments1 in conjunction with the method name to de- termine the method to execute. In single-receiver languages, a call-site can be viewed as a message send to the receiver object. In multi-method languages, a call-site can be viewed as the execution of a method on a set of arguments. Note that languages like C++ and Java that allow methods with the same name but different static argument types are not performing multi-method dispatch since static argument types are simply encoded within the method name at compile-time. Multi-method languages are not yet in popular use, but there are numerous reasons to believe that they will become more predominant. First, such languages provide more expressive power, in the same way that single-receiver object-oriented languages are more expressive than procedural languages. Second, multi-method languages address certain problematic issues that arise in single- receiver languages. For example, implementing binary methods in a type-safe and efficient manner is problematic in single-receiver languages, but completely natural using multi-methods. Existing multi-method languages like CLOS ([BDG+ 88]), Cecil ([Cha92]) and Dylan ([App94]) show the viability of multi-methods, and there is already research to extend Java to multi-methods ([BC97]). Method dispatch techniques can be separated into two groups. Cache-based techniques look in global or local caches at the time of dispatch to determine if the method for a particular call- site has been determined. If it has been determined, that method is used. Otherwise, a cache-miss technique is used to compute the method, which is then cached for later executions. Table-based techniques pre-determine the method for every possible call-site, and record these methods in a table. At dispatch-time, the method name and dynamic argument types form an index into this table. 1In the rest of this paper, we will assume that dispatch occurs on all arguments. 1 The major research contribution of this paper is a new multi-method dispatch technique called Single-Receiver Projections (SRP). SRP has the following advantages: 1. SRP provides access to all applicable methods, not just the most specific applicable method. 2. SRP is inherently incremental so it is applicable to reflexive languages and languages that support separate compilation. 3. SRP uses less data-structure space than any other multi-method dispatch technique. 4. SRP has the second fastest dispatch time of all dispatch techniques. 5. SRP has the fastest dispatchtime of all dispatchtechniques if the others are extended to support all applicable methods. The rest of this paper is organized as follows. Section 2 introduces notation for multi-methods. Section 3 presents a summary of existing single-receiver table-based techniques. Section 4 presents a summary of the existing multi-method dispatch techniques. Section 5 presents the new multi-method table-based technique. Section 6 presents time and space results for the technique and compares it to other techniques. Section 7 discusses future work and Section 8 presents our conclusions. 2 Terminology for Multi-Method Dispatch 2.1 Notation k o Expression 1 shows the form of a -arity multi-method call-site. Each argument, i , represents an i T = ty pe(o ) H object, and has an associated dynamic type, i . Let represent a type hierarchy, and H num(T ) j H j be the number of types in the hierarchy. In , each type has a type number, . A directed T T T T i j i supertype edge exists between type j and type if is a direct subtype of , which we denote as T T T T T 1 i i j j j . If can be reached from by following one or more supertype edges, is a subtype T T T j i of i , denoted as . (o o o ) 2 k 1 (1) Method dispatch is the run-time determination of a method to invoke at a call-site. When a i method is defined, each argument has a specific static type, T . However, at a call-site, the dynamic i i o T fT jT T g type of each argument, i , can either be the static type, , or any of its subtypes, . For example, consider the type hierarchy and method definitions in Figure 1a, and the code in Figure 1b. The static type of anA is A, but the dynamic type of anA can be either A or C. In general, we do not know the dynamic type of an object at a call-site until run-time, so method dispatch is necessary. Although multi-method languages might appear to break the conceptual model of sending a mes- sage to a receiver, we can maintain this idea by introducing the concept of a product-type. A k-arity 2 A::α A0 D3 A anA; C::α if( ... ) C::β anA = new A(); B C E 1 2 4 D::β else anA = new C(); * The subscript beside the type is the anA.(); type number, num(T). (a) Type Hierarchy (b) Code Requiring Method Dispatch Figure 1: An example hierarchy and program segment requiring method dispatch 1 2 k P = T T T product-type is an ordered list of k types denoted by . The induced k-degree k k 1 H H H k product-type graph, k , denoted , is implicitly defined by the edges in . Nodes in are - arity product-types, where each type in the product-type is an element of H. Expression 2 describes k 2 1 T T P = T when a directed edge exists from a child product-type j to a parent product-type j j j 1 2 k P = T T T P P j 1 i i , which is denoted . i i i u u v v P P u 1 u k :(T T ) (v = u T = T ) 1 i 1 j (2) j i j i P P P P P i j i i The notation j indicates that is a sub-product-type of , which implies that can k P H be reached from j by following edges in the product-type graph . Figure 2 presents a sample inheritance hierarchy H and one of four connected components of its induced 2-arity product-type 2 2 H H 3 graph, . Three 2-arity methods ( 1 to ) for behavior have been defined on and associated with the appropriate product-types2. Note that for real inheritance hierarchies, the product-type hier- 2 3 H archies, H , , , are too large to store explicitly. Therefore, it is essential to define all product-type relationships in terms of relations between the original types, as in Expression 2. A behavior corresponds to a generic-function in CLOS and Cecil, to the set of methods that share the same signature in Java, and the set of methods that share the same message selector in Smalltalk. k k Behaviors are denoted by B , where is the arity and is the name. The maximum arity across all behaviors in the system is denoted by K . Multiple methods can be defined for each behavior. A th i j method for a behavior named is denoted by j . Ifthestatictypeofthe argument of is denoted i 1 2 k T dom( ) = T T T by , the list of argument types can viewed as a product-type, j . With 3 k j multi-method dispatch, the dynamic types of all arguments are needed .
Recommended publications
  • VAX MACRO and Instruction Set Reference Manual
    VAX MACRO and Instruction Set Reference Manual Order Number: AA-LA89A-TE April 1988 This document describes the features of the VAX MACRO instruction set and assembler. It includes a detailed description of MACRO directives and instructions, as well as information about MACRO source program syntax. Revision/Update Information: This manual supersedes the VAX MACRO and Instruction Set Reference Manual, Version 4.0. Software Version: VMS Version 5.0 digital equipment corporation maynard, massachusetts April 1988 The information in this document is subject to change without notice and should not be construed as a commitment by Digital Equipment Corporation. Digital Equipment Corporation assumes no responsibility for any errors that may appear in this document. The software described in this document is furnished under a license and may be used or copied only in accordance with the terms of such license. No responsibility is assumed for the use or reliability of software on equipment that is not supplied by Digital Equipment Corporation or its affiliated companies. Copyright © 1988 by Digital Equipment Corporation All Rights Reserved. Printed in U.S.A. The postpaid READER'S COMMENTS form on the last page of this document requests the user's critical evaluation to assist in preparing future documentation. The following are trademarks of Digital Equipment Corporation: DEC DIBOL UNIBUS DEC/CMS EduSystem VAX DEC/MMS IAS VAXcluster DECnet MASSBUS VMS DECsystem-10 PDP VT DECSYSTEM-20 PDT DECUS RSTS DECwriter RSX t:JD~DD5lD TM ZK4515 HOW TO ORDER ADDITIONAL DOCUMENTATION DIRECT MAIL ORDERS USA 8t PUERTO Rico* CANADA INTERNATIONAL Digital Equipment Corporation Digital Equipment Digital Equipment Corporation P.O.
    [Show full text]
  • MIPS SDE 6.X Programmers' Guide
    TECHNOLOGIES MIPS® SDE 6.x Programmers’ Guide Document Number: MD00428 Revision 1.17 April 4, 2007 MIPS Technologies, Inc 1225 Charleston Road Mountain View,CA94043-1353 Copyright © 1995-2007 MIPS Technologies, Inc. All rights reserved. Copyright © 1995-2007 MIPS Technologies, Inc. All rights reserved. Unpublished rights (if any) reserved under the copyright laws of the United States of America and other countries. This document contains information that is proprietary to MIPS Technologies, Inc. (‘‘MIPS Technologies’’). Any copying, reproducing, modifying or use of this information (in whole or in part) that is not expressly permitted in writing by MIPS Technologies or an authorized third party is strictly prohibited. At a minimum, this information is protected under unfair competition and copyright laws. Violations thereof may result in criminal penalties and fines. Anydocument provided in source format (i.e., in a modifiable form such as in FrameMaker or Microsoft Word format) is subject to use and distribution restrictions that are independent of and supplemental to anyand all confidentiality restrictions. UNDER NO CIRCUMSTANCES MAYADOCUMENT PROVIDED IN SOURCE FORMATBEDISTRIBUTED TOATHIRD PARTY IN SOURCE FORMATWITHOUT THE EXPRESS WRITTEN PERMISSION OF MIPS TECHNOLOGIES, INC. MIPS Technologies reserves the right to change the information contained in this document to improve function, design or otherwise. MIPS Technologies does not assume anyliability arising out of the application or use of this information, or of anyerror or omission in such information. Anywarranties, whether express, statutory,implied or otherwise, including but not limited to the implied warranties of merchantability or fitness for a particular purpose, are excluded. Except as expressly provided in anywritten license agreement from MIPS Technologies or an authorized third party,the furnishing of this document does not give recipient anylicense to anyintellectual property rights, including anypatent rights, that coverthe information in this document.
    [Show full text]
  • Readthedocs-Breathe Documentation Release 1.0.0
    ReadTheDocs-Breathe Documentation Release 1.0.0 Thomas Edvalson Feb 06, 2019 Contents 1 Going to 11: Amping Up the Programming-Language Run-Time Foundation3 2 Solid Compilation Foundation and Language Support5 2.1 Quick Start Guide............................................5 2.1.1 Current Release Notes.....................................5 2.1.2 Installation Guide........................................5 2.1.3 Programming Guide......................................6 2.1.4 ROCm GPU Tunning Guides..................................7 2.1.5 GCN ISA Manuals.......................................7 2.1.6 ROCm API References.....................................7 2.1.7 ROCm Tools..........................................8 2.1.8 ROCm Libraries........................................9 2.1.9 ROCm Compiler SDK..................................... 10 2.1.10 ROCm System Management.................................. 10 2.1.11 ROCm Virtualization & Containers.............................. 10 2.1.12 Remote Device Programming................................. 11 2.1.13 Deep Learning on ROCm.................................... 11 2.1.14 System Level Debug...................................... 11 2.1.15 Tutorial............................................. 11 2.1.16 ROCm Glossary......................................... 12 2.2 Current Release Notes.......................................... 12 2.2.1 New features and enhancements in ROCm 2.1......................... 12 2.2.1.1 RocTracer v1.0 preview release – ‘rocprof’ HSA runtime tracing and statistics sup- port
    [Show full text]
  • Kernel Boot Process Kernel Booting Process. Part 1
    Kernel Boot Process This chapter describes the linux kernel boot process. Here you will see a series of posts which describes the full cycle of the kernel loading process: • From the bootloader to kernel - describes all stages from turning on the computer to running the first instruction of the kernel. • First steps in the kernel setup code - describes first steps in the kernel setup code. You will see heap initialization, query of different parameters like EDD, IST and etc. • Video mode initialization and transition to protected mode - describes video mode initialization in the kernel setup code and transition to protected mode. • Transition to 64-bit mode - describes preparation for transition into 64-bit mode and details of transition. • Kernel Decompression - describes preparation before kernel decompression and details of direct decompression. • Kernel random address randomization - describes randomization of the Linux kernel load address. Kernel booting process. Part 1. From the bootloader to the kernel If you have been reading my previous blog posts, then you can see that, for some time now, I have been starting to get involved with low-level programming. I have written some posts about assembly programming for x86_64 Linux and, at the same time, I have also started to dive into the Linux kernel source code. I have a great interest in understanding how low-level things work, how programs run on my computer, how they are located in memory, how the kernel manages processes and memory, how the network stack works at a low level, and many many other things. So, I have decided to write yet another series of posts about the Linux kernel for the x86_64 architecture.
    [Show full text]
  • Proceedings of the GCC Developers' Summit
    Reprinted from the Proceedings of the GCC Developers’ Summit June 17th–19th, 2008 Ottawa, Ontario Canada Conference Organizers Andrew J. Hutton, Steamballoon, Inc., Linux Symposium, Thin Lines Mountaineering C. Craig Ross, Linux Symposium Review Committee Andrew J. Hutton, Steamballoon, Inc., Linux Symposium, Thin Lines Mountaineering Ben Elliston, IBM Janis Johnson, IBM Mark Mitchell, CodeSourcery Toshi Morita Diego Novillo, Google Gerald Pfeifer, Novell Ian Lance Taylor, Google C. Craig Ross, Linux Symposium Proceedings Formatting Team John W. Lockhart, Red Hat, Inc. Authors retain copyright to all submitted papers, but have granted unlimited redistribution rights to all as a condition of submission. A Superoptimizer Analysis of Multiway Branch Code Generation Roger Anthony Sayle OpenEye Scientific Software [email protected] Abstract Over the last 25 years, microprocessor architecture has advanced considerably, the cycle penalties of memory The high level of abstraction of the multi-way branch, latency and branch misprediction have changed, and exemplified by C/C++’s switch statement, Pascal/Ada’s several novel implementations have been proposed. case, and FORTRAN’s computed GOTO and SELECT, allows an optimizing compiler a large degree of free- 2 Definitions dom in its implementation. Typically compilers gener- ate code selected from a few common patterns, such as A switch statement (or multiway branch) is a con- jump tables and/or trees of conditional branches. How- struct found in most high-level languages for selecting ever, for most switch statements there exists a vast num- from one of several possible blocks of code or branch ber of possible implementations. destinations depending on the value of an index expres- To assess the relative merits of these alternatives, and sion.
    [Show full text]
  • VAX MACRO and Instruction Set Reference Manual
    VAX MACRO and Instruction Set Reference Manual Order Number: AA–PS6GD–TE April 2001 This document describes the features of the VAX MACRO instruction set and assembler. It includes a detailed description of MACRO directives and instructions, as well as information about MACRO source program syntax. Revision/Update Information: This manual supersedes the VAX MACRO and Instruction Set Reference Manual, Version 7.1 Software Version: OpenVMS VAX Version 7.3 Compaq Computer Corporation Houston, Texas © 2001 Compaq Computer Corporation Compaq, VAX, VMS, and the Compaq logo Registered in U.S. Patent and Trademark Office. OpenVMS is a trademark of Compaq Information Technologies Group, L.P. in the United States and other countries. All other product names mentioned herein may be trademarks of their respective companies. Confidential computer software. Valid license from Compaq required for possession, use, or copying. Consistent with FAR 12.211 and 12.212, Commercial Computer Software, Computer Software Documentation, and Technical Data for Commercial Items are licensed to the U.S. Government under vendor’s standard commercial license. Compaq shall not be liable for technical or editorial errors or omissions contained herein. The information in this document is provided "as is" without warranty of any kind and is subject to change without notice. The warranties for Compaq products are set forth in the express limited warranty statements accompanying such products. Nothing herein should be construed as constituting an additional warranty. ZK4515 The Compaq OpenVMS documentation set is available on CD-ROM. This document was prepared using DECdocument, Version 3.3-1b. Contents Preface ............................................................ xv VAX MACRO Language 1 Introduction 2 VAX MACRO Source Statement Format 2.1 Label Field .
    [Show full text]
  • Introduction CS2253 ● Goal: Write a Simple C Program and Understand ● Why and What for 2253 How the Computer Actually Executes It
    Introduction CS2253 ● Goal: write a simple C program and understand ● Why and what for 2253 how the computer actually executes it. ● This year, we study the ARM7TDMI processor. ● Levels of abstraction ● Last year, we used the fictional LC-3. ● Instruction Set Architectures ● The Church-Turing thesis essentially states that all systems with a certain minimal computational ● Major parts of any computer capability are able to compute the same things ● von Neumann architecture as each other. So LC3 vs ARM vs Intel x86 does ● Flow of control not matter, at least theoretically. ● And in actuality, LC3 and ARM etc. are fundamentally similar. ● Easy to pick up a second machine.... Levels of Abstraction Textbook Fig 1.9 ● From atoms, we build transistors. [Lowest level] ● From transistors, we built gates (ECE courses). ● From gates, we build microarchitectures (CS3813) that implement machine instructions. ● From machine instructions, we can make simple programs directly (first part of this course). ● Or a compiler can string together machine instructions corresponding to our C code (second part). ● From simple pieces of C code, we can build OSes, databases, other complex software systems. [Highest level] Why Should I Care About the Lower Microarchitecture example (block Levels? diagram, book Figure 1.4) ● If you want to work in the computer hardware field, it's obvious. ● If you want to work in software: – it's sad if you aren't intellectually a little bit curious about how things really happen. – when things fail, you tend to need to “see behind the veil” to understand what is going wrong. Debugging often requires a lower-level view.
    [Show full text]
  • A RISC-V ISA Extension for Ultra-Low Power Iot Wireless Signal Processing Hela Belhadj Amor, Carolynn Bernier, Zdenek Prikryl
    A RISC-V ISA Extension for Ultra-Low Power IoT Wireless Signal Processing Hela Belhadj Amor, Carolynn Bernier, Zdenek Prikryl To cite this version: Hela Belhadj Amor, Carolynn Bernier, Zdenek Prikryl. A RISC-V ISA Extension for Ultra-Low Power IoT Wireless Signal Processing. IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers, 2021, pp.1-1. 10.1109/TC.2021.3063027. cea-03158876 HAL Id: cea-03158876 https://hal-cea.archives-ouvertes.fr/cea-03158876 Submitted on 4 Mar 2021 HAL is a multi-disciplinary open access L’archive ouverte pluridisciplinaire HAL, est archive for the deposit and dissemination of sci- destinée au dépôt et à la diffusion de documents entific research documents, whether they are pub- scientifiques de niveau recherche, publiés ou non, lished or not. The documents may come from émanant des établissements d’enseignement et de teaching and research institutions in France or recherche français ou étrangers, des laboratoires abroad, or from public or private research centers. publics ou privés. 1 A RISC-V ISA Extension for Ultra-Low Power IoT Wireless Signal Processing Hela Belhadj Amor, Carolynn Bernier and Zdenekˇ Prikrylˇ Abstract—This work presents an instruction-set extension to the open-source RISC-V ISA (RV32IM) dedicated to ultra-low power (ULP) software-defined wireless IoT transceivers. The custom instructions are tailored to the needs of 8/16/32-bit integer complex arithmetic typically required by quadrature modulations. The proposed extension occupies only 2 major opcodes and most instructions are designed to come at a near-zero energy cost. Both an instruction accurate (IA) and a cycle accurate (CA) model of the new architecture are used to evaluate six IoT baseband processing test benches including FSK demodulation and LoRa preamble detection.
    [Show full text]
  • Ultra C Library Reference
    Ultra C Library Reference Version 2.6 RadiSys. 118th Street Des Moines, Iowa 50325 515-223-8000 www.radisys.com Revision B • February 2003 Copyright and publication information Reproduction notice This manual reflects version 2.6 of Ultra C Compiler. The software described in this document is intended to Reproduction of this document, in part or whole, by be used on a single computer system. RadiSys Corpo- any means, electrical, mechanical, magnetic, optical, ration expressly prohibits any reproduction of the soft- chemical, manual, or otherwise is prohibited, without written permission from RadiSys Corporation. ware on tape, disk, or any other medium except for backup purposes. Distribution of this software, in part Disclaimer or whole, to any other party or on any other system may constitute copyright infringements and misappropria- The information contained herein is believed to be accurate as of the date of publication. However, tion of trade secrets and confidential processes which RadiSys Corporation will not be liable for any damages are the property of RadiSys Corporation and/or other including indirect or consequential, from use of the parties. Unauthorized distribution of software may OS-9 operating system, Microware-provided software, cause damages far in excess of the value of the copies or reliance on the accuracy of this documentation. involved. The information contained herein is subject to change without notice. February 2003 Copyright ©2003 by RadiSys Corporation. All rights reserved. EPC and RadiSys are registered trademarks of RadiSys Corporation. ASM, Brahma, DAI, DAQ, MultiPro, SAIB, Spirit, and ValuePro are trademarks of RadiSys Corporation. DAVID, MAUI, OS-9, OS-9000, and SoftStax are registered trademarks of RadiSys Corporation.
    [Show full text]
  • Porting to 64-Bit GNU/Linux Systems
    Porting to 64-bit GNU/Linux Systems Andreas Jaeger SuSE Linux AG [email protected], http://www.suse.de/˜aj Abstract mary example. Other architectures that the au- thor has access to and is familiar with are dis- cussed also. A brief characteristic of these 64- More and more 64-bit systems are showing 1 up on the market—and developers are porting bit Linux platforms is given in table 1. their applications to these systems. Most code Differences between platforms and therefore runs directly without problems—but there is a the need to port software can be attributed to number of sometimes quite subtile problems at least one of: that developers have to be aware of for portable programming and porting. Compiler Different compilers have different This paper illustrates some problems on port- behavior. This can mostly be avoided with ing an application to 64-bit and also shows using the same version of the GNU com- how use a 64-bit system as development plat- pilers. form for both 32-bit and 64-bit code. It will give hints especially to application and library Application Binary Interfaces (ABI) An developers on writing portable code using the ABI specifies sizes of fundamental types, GNU Compiler Collection. function calling sequence and the object format. In general the ABI is hidden from the developer by the compiler. 1 Introduction CPU The effect of different CPUs is mainly With the introduction of AMD’s 64-bit archi- visible through the ABI. The differences tecture, AMD64, implemented in the AMD visible to developers include little or big Opteron and Athlon64 CPUs, another 64-bit endian, whether the stack grows up or processor family enters the market and users down, or whether the fundamental size is are going to buy and deploy these systems.
    [Show full text]
  • VSI Openvms VAX MACRO and Instruction Set Reference Manual
    VSI OpenVMS VAX MACRO and Instruction Set Reference Manual Document Number: DO-VMACRM-01A Publication Date: April 2019 This document describes the features of the VAX MACRO instruction set and assembler. It includes a detailed description of MACRO directives and instructions, as well as information about MACRO source program syntax. Revision Update Information: This is a new manual. Operating System and Version: OpenVMS VAX Version 7.3 VMS Software, Inc., (VSI) Bolton, Massachusetts, USA VAX MACRO and Instruction Set Reference Manual Copyright © 2019 VMS Software, Inc. (VSI), Bolton, Massachusetts, USA Legal Notice Confidential computer software. Valid license from VSI required for possession, use or copying. Consistent with FAR 12.211 and 12.212, Commercial Computer Software, Computer Software Documentation, and Technical Data for Commercial Items are licensed to the U.S. Government under vendor's standard commercial license. The information contained herein is subject to change without notice. The only warranties for VSI products and services are set forth in the express warranty statements accompanying such products and services. Nothing herein should be construed as constituting an additional warranty. VSI shall not be liable for technical or editorial errors or omissions contained herein. HPE, HPE Integrity, HPE Alpha, and HPE Proliant are trademarks or registered trademarks of Hewlett Packard Enterprise. Intel, Itanium, and IA-64 are trademarks or registered trademarks of Intel Corporation or its subsidiaries in the United States and other countries. The VSI OpenVMS documentation set is available on CD. ii VAX MACRO and Instruction Set Reference Manual Preface .................................................................................................................................... xi 1. About VSI ..................................................................................................................... xi 2. Intended Audience ......................................................................................................... xi 3.
    [Show full text]
  • See Mips Run.Pdf
    Foreword John L. Hennessy, Founder, MIPS Technolagies Inc. Frederick Emmons Terman Dean of Engineering, Stanford University am very pleased to see this new book on the MIPS architecture at such an Iinteresting time in the 15-year history of the architecture. The MIPS archi- tecture had its beginnings in 1984 and was first delivered in 1985. By the late 1980s, the architecture had been adopteda variety of workstation and server companies, including Silicon Graphics and Digital Equipment Corpo- ration. The early 1990s saw the introduction of the R4000, the first 64-bit microprocessor, while the mid 1990s saw the introduction of the R10000 — at the time of its introduction, the most architecturally sophisticated CPU ever built. The end of the 1990s heralds a new era for the MIPS architecture: its emergence as a leading architecture in the embedded processor market. To date, over 100 million MIPS processors have been shipped in applications ranging from video games and palmtops, to laser printers and network routers, to emerging markets, such as set-top boxes. Embedded MIPS processors now ournumber MIPS processors in general-purpose computers by more than 1,000 to 1. This growth of the MIPS architecture in the embedded space and its enormous potential led to the spinout of MIPS Technologies (from Silicon Graphics) as an independent company in 1998. Thus, this book focusing on the MIPS architecture in the embedded mar- ket comes at a very propitious time. Unlike the well-known MIPS architecture handbook, which is largely a reference manual, this book is highly readable and contains a wealth of sage advice to help the programmer to avoid pitfalls, to understand some of the tradeoffs among MIPS implementations, and to optimize performance on MIPS processors.
    [Show full text]