<<

materials

Article Process Steps for High Quality Si-Based Epitaxial Growth at Low Temperature via RPCVD

Jongwan Jung 1,2,*,† , Baegmo Son 3,†, Byungmin Kam 3,†, Yong Sang Joh 3, Woonyoung Jeong 2, Seongjae Cho 4 , Won-Jun Lee 2 and Sangjoon Park 3

1 Hybrid Materials Center (HMC), Sejong University, Seoul 05006, Korea 2 Department of Nano and Advanced Materials Science, Sejong University, Seoul 05006, Korea; [email protected] (W.J.); [email protected] (W.-J.L.) 3 Wonik IPS, Pyeongtaek 17709, Korea; [email protected] (B.S.); [email protected] (B.K.); [email protected] (Y.S.J.); [email protected] (S.P.) 4 Department of Engineering, The Graduate School of IT Convergence Engineering, Gachon University, Seongnam 13120, Korea; [email protected] * Correspondence: [email protected] † These authors contributed equally.

Abstract: The key process steps for growing high-quality Si-based epitaxial films via reduced pressure chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is largely affected by the following steps in the process: ex-situ cleaning, in-situ bake, and loading conditions such as the temperature and gaseous environment. With respect to ex-situ cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are   obtained via a combination of wet and dry cleaning. With respect to in-situ bake in the presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from Citation: Jung, J.; Son, B.; Kam, B.; 700 ◦C to a maximum of 850 ◦C, at which no peaks of O and F are observed. Further, the addition Joh, Y.S.; Jeong, W.; Cho, S.; Lee, W.-J.; of a (HCl) bake step after the H2 bake results in effective in-situ bake even at Park, S. Process Steps for High temperatures as low as 700 ◦C. In addition, the effects of temperature and environment ( or Quality Si-Based Epitaxial Growth at gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial Low Temperature via RPCVD. films are obtained when the samples are loaded into the process chamber at low temperature in a Materials 2021, 14, 3733. https:// doi.org/10.3390/ma14133733 gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned and controlled in order to achieve high-quality epitaxial growth. Academic Editor: Matthieu Petit Keywords: epitaxy; RPCVD; low temperature; Si; SiGe; impurity; in-situ cleaning; pre-cleaning; Received: 5 June 2021 surface treatment Accepted: 30 June 2021 Published: 3 July 2021

Publisher’s Note: MDPI stays neutral 1. Introduction with regard to jurisdictional claims in The epitaxial growth of , silicon- (SiGe) layers on a silicon substrate published maps and institutional affil- is a well-established technology for fabrication, and has long been applied iations. to the production of high-performance image sensors [1] and power devices [2]. Moreover, the addition of or precursors along with the Si precursor enables the growth of Si:P or Si:B alloys with much higher P or B concentrations than can be obtained via implantation [3–6]. In addition to Si, Group 4 elements such as Ge, C, Copyright: © 2021 by the authors. etc. can be applied to stress engineering [7–10]. This enables a source/drain (S/D) strain Licensee MDPI, Basel, Switzerland. engineering in which SiC epitaxy is used to increase electron mobility by providing tensile This article is an open access article strain, while SiGe epitaxy is used to increase hole mobility by providing compressive distributed under the terms and strain. Further, relaxed epitaxy technology can be used to grow Ge as a relaxed-Ge channel conditions of the Creative Commons with very high hole mobility [11]. Currently, epitaxy technology is a key process for the Attribution (CC BY) license (https:// continued scaling and increased functionality of integrated circuits. With respect to scaling, creativecommons.org/licenses/by/ the currently used FIN field-effect (FINFET) technology has been extended down 4.0/).

Materials 2021, 14, 3733. https://doi.org/10.3390/ma14133733 https://www.mdpi.com/journal/materials Materials 2021, 14, x FOR PEER REVIEW 2 of 11

scaling, the currently used FIN field-effect transistor (FINFET) technology has been ex- tended down to 5 nm, but the most suitable structure for the 3 to 2 nm scale downwards is probably the gate-all-around FET (GAAFET) [12,13]. A key process for implementing the GAAFET is the stacked epitaxial growth of Si and SiGe to construct multi-layers of nanosheets. The chemical vapor deposition (CVD) processes used for epitaxial growth includes ultra-high vacuum CVD (UHVCVD) [14], reduced pressure CVD (RPCVD) [15,16], and atmospheric-pressure CVD (APCVD) [17]. Among these, RPCVD has the advantage of combining a high throughput with high quality epitaxy. While several papers have re- ported the growth of crystalline Si or SiGe [18–24], there are a few reports on key process steps in low-temperature epitaxial growth via RPCVD. In addition, many reports using dichlorosilane (DCS) as Si source have been performed at high temperature above 700 °C Materials 2021, 14, 3733 [18–23] due to poor chlorine (Cl) desorption of DCS at low temperature. Even2 of 11 though high order precursors can be used at lower temperature [24–27], a cyclic deposition and etch routine are needed for selective epitaxial growth (SEG), making DCS the most com- monto 5 nm, Si butprecursor the most for suitable SEG structureof Si and for SiGe. the 3 to Epitaxial 2 nm scale growth downwards is performed is probably via the several se- quentialgate-all-around steps. FET The (GAAFET) first step [12 is,13 pre]. A-treatment key process of for the implementing wafers, which the GAAFET usually is involves an initialthe stacked ex-situ epitaxial wet cleaning growth of step, Si and after SiGe which to construct the wafers multi-layers are loaded of nanosheets. into a load lock chamber of theThe RPCVD chemical system. vapor depositionFrom there, (CVD) the wafers processes are used moved for epitaxial via a transfer growth chamber includes into either ultra-high vacuum CVD (UHVCVD) [14], reduced pressure CVD (RPCVD) [15,16], and anatmospheric-pressure additional in-situ CVD cleaning (APCVD) chamber [17]. Among or directly these, RPCVD into the has proc the advantageess chamber, of com- where a final prebining-cleaning a high throughput step such withas H high2 bake quality takes epitaxy. place. While Finally, several the papersepitaxial have growth reported occurs in the processthe growth chamber of crystalline (Figure Si or 1). SiGe Each [18– 24of], these there areprocess a few reportssteps onneed key to process be well steps tuned in to grow highlow-temperature-quality epitaxial epitaxial films. growth In via particular, RPCVD. In the addition, most manyrepresentative reports using interface dichlorosi- impurities in ◦ Silane and (DCS) SiGe as epitaxy Si source are have been performed (O), carbon at high (C), temperatureand fluorine above (F). 700The C[presence18–23] of O at the interfacedue to poor of chlorine Si and (Cl)epitaxial desorption layer of leads DCS at to low staking temperature. faults Evenin the though Si film high [28], order while C leads silane precursors can be used at lower temperature [24–27], a cyclic deposition and etch toroutine the precipitation are needed for selectiveof silicon epitaxial carbide growth and (SEG),causes making DCS the or most staking common faults in the Si lattice.Si precursor Meanwhile, for SEGof surface Si and- SiGe.adsorbed Epitaxial F is growthunstable, is performed such that via Si– severalF bonds sequen- are easily trans- formedtial steps. into The Si first–H step–O bonds is pre-treatment during the of the extended wafers, whichqueue usually time under involves ambient an initial atmosphere, thusex-situ promoting wet cleaning re- step,oxidation after which after thethe wafers surface are cleaning loaded into [20 a,29 load]. lock chamber of the RPCVDTherefore, system. the From use there,of RPCVD the wafers equipment are moved and via processes a transfer chamberthat are free into eitherfrom impurities, an additional in-situ cleaning chamber or directly into the process chamber, where a final or at least suppress impurities as much as possible, are essential. In the present paper, pre-cleaning step such as H2 bake takes place. Finally, the epitaxial growth occurs in the severalprocess chamberkey process (Figure steps1 ). Eachare examined of these process using steps a 300 need mm to cluster be well RPCVD tuned to system grow at a tem- peraturehigh-quality as epitaxiallow as 700 films. °C Inwith particular, DCS precursor the most representative. First, for the interface application impurities of ex- insitu cleaning, wetSi and cleaning SiGe epitaxy with are dilute oxygen hydrofluoric (O), carbon (C),acid and (DHF), fluorine dry (F). cleaning, The presence and a of combination O at the of wet andinterface dry ofcleaning Si and epitaxial are compared. layer leads Then to staking the faultseffects in of the in Si-situ film [bake28], while with C H leads2 at tovarious tem- the precipitation of and causes dislocation or staking faults in the Si lattice. peratures, and the effects of two-step baking with H2 followed by HCl, are investigated. Meanwhile, surface-adsorbed F is unstable, such that Si–F bonds are easily transformed Finally, the effects of the temperature and environment of the process chamber at the time into Si–H–O bonds during the extended queue time under ambient atmosphere, thus ofpromoting loading re-oxidation the wafers after are theexamined. surface cleaning [20,29].

RPCVD system

In-situ cleaning chamber H2,HCl, DCS,GeH4

Ex-situ cleaning Load lock Transfer Chamber (Wet, or Dry) Process chamber

Figure 1. Basic schematic of epitaxial process flow using a reduced pressure chemical vapor deposi- Figure 1. Basic schematic of epitaxial process flow using a reduced pressure chemical vapor depo- tion (RPCVD) tool. sition (RPCVD) tool. Therefore, the use of RPCVD equipment and processes that are free from impurities, or at least suppress impurities as much as possible, are essential. In the present paper, several key process steps are examined using a 300 mm cluster RPCVD system at a temperature as low as 700 ◦C with DCS precursor. First, for the application of ex-situ cleaning, wet cleaning with dilute hydrofluoric acid (DHF), dry cleaning, and a combination of wet and dry cleaning are compared. Then the effects of in-situ bake with H2 at various temperatures, and the effects of two-step baking with H2 followed by HCl, are investigated. Finally, the effects of the temperature and environment of the process chamber at the time of loading the wafers are examined. Materials 2021, 14, 3733 3 of 11

2. Experimental Details The epitaxy equipment used in the present work is an industrial RPCVD tool with a planetary reactor for the simultaneous loading of up to five 300 mm wafers on each satellite mounted on a graphite susceptor (Wonik IPS Co., Pyeongtaek, Korea). The sus- ceptor and satellites rotate in synchrony to provide epitaxially grown films with highly uniform thicknesses. The precursors are injected through the shower heads in the middle of the reactor and are discharged through the outlet at the edge of the susceptor. The detailed reactor structure and modeling of the resulting CVD growth have been provided in previous work [30,31]. Before loading the p-type (100) 300 mm wafers into the epitaxial equipment, the wafers were cleaned with DHF (single spin wet etcher, Apollon, Zeus. Co., Hwaseong, Korea). Starting from a stock solution of 49% HF, two concentrations of DHF solution were prepared in deionized (DI) water, namely 1:30 DHF/DI water (1.58% DHF, 0.932 M) and 1:200 DHF/DI water (0.24% DHF, 0.143 M). These solutions were compared for the pre-cleaning of the Si wafer. The cleaning times were adjusted based on the thermal oxide etching of about 5 nm. After the spin etching, the wafers were spin rinsed with DI water and then spin dried. In addition, the ex-situ 1:200 DHF wet cleaning process was compared with dry cleaning and with a combination of 1:200 DHF wet + dry cleaning. The ex-situ dry cleaning process was performed using NF3/NH3 plasma. The queue time in the atmosphere was minimized after dry cleaning, the samples were loaded into the chamber, and Si epitaxial films were grown under the same conditions as for the wet-cleaned wafer. In another set of experiments, the effects of additional in-situ bake in the presence of H2 only, or H2 followed by HCl were compared. The (100) Si wafers were first subjected to ex-situ wet cleaning with 1:200 DHF or ex-situ dry cleaning. Unless otherwise noted, the cleaned wafers were moved from the transfer chamber to the process chamber under vacuum. The loaded wafers were then subjected to in-situ bake at 700 ◦C for 10 min or ◦ at 750, 800, or 850 C for 5 or 10 min in the presence of H2 and/or HCl. After baking, the dichloro-silane (DCS, SiH2Cl2), (GeH4), H2, and HCl were used for Si, SiGe blanket and selective epitaxy at 700 ◦C under pressures of 10–100 Torr. In further experiments, the effects of the temperature and environment of the process chamber at the time of loading the wafers were examined. In these experiments, all the wafers were subjected to ex-situ dry cleaning before loading into the equipment. After dry cleaning, the wafers were loaded into a load lock chamber and subjected to vacuum before being moved into a transfer chamber and, finally, into the process chamber. The temperature of the process chamber at the transferal stage (i.e., the loading temperature) was varied as 550, 600, 650, and 700 ◦C, and the conditions of the process chamber were either a vacuum or a gaseous atmosphere. The thicknesses and quality of the resulting epitaxial films were examined via Cs- corrected scanning transmission electron microscopy (HRTEM; JEOL, JEM-ARM200F, Tokyo, Japan) and secondary ion mass spectroscopy (SIMS; IMS 7f, CAMECA, Gennevil- liers, France). O (16O), F (19F), and C (12C) concentration profiles were measured with a SIMS Cameca IMS 7f microanalyzer by using a 6 keV Cs+ mass-filtered primary of an intensity of 12 nA. The beam was rastered over an area of 250 × 250 µm2 and the analysis area was 150 µm in diameter. The quality of the epitaxial SiGe film was measured by high resolution X-ray diffraction (HRXRD) using PANalytical X’pert PRO (Malvern, UK) with a 4 bounce symmetric Ge (220) monochromator and slits in front of the detector.

3. Results and Discussions 3.1. Ex-Situ Wet DHF Cleaning The concentrations of impurities in the SiGe epitaxial films obtained after pre-cleaning with 1:30 DHF and 1:200 DHF are indicated by the SIMS results in Figure2. The SiGe film grown after cleaning with 1:30 DHF exhibits an O peak with a concentration of about ~1020/cm3 at the interface between the SiGe film and the Si substrate, whereas the film grown after cleaning with 1:200 DHF exhibits a decreased concentration of ~1019/cm3 Materials 2021, 14, x FOR PEER REVIEW 4 of 11

Materials 2021, 14, 3733 4 of 11

grown after cleaning with 1:200 DHF exhibits a decreased concentration of ~1019/cm3 (Fig- ure 2a). Similarly, the level of F impurity is less in the film grown after cleaning with 1:200 (Figure2a). Similarly, the level of F impurity is less in the film grown after cleaning with DHF (Figure 2b). This may be attributed to the formation of more Si–H bonds, and corre- 1:200 DHF (Figure2b). This may be attributed to the formation of more Si–H bonds, and spondinglycorrespondingly fewer fewer unstable unstable Si–F Si–F bonds, bonds, on the on theSi surface Si surface during during the the longer longer etching etching time in thetime presence in the presence of the lower of the- lower-concentrationconcentration HF solution HF solution (1:200 (1:200 HF). HF).

1.E+21 1.E+21 10 10 O F

1.E+20 10 1.E+20 ) ) 10 3 3 1:30 DHF 1:30 DHF

1.E+19 1:200 DHF 10 1:200 DHF

1.E+19 10

1.E+18 10

101.E+18 Concentration(/cm Concentration(/cm 1.E+17 10 (a) (b)

1.E+17 1.E+16 10 10 0 10 20 30 40 50 0 10 20 30 40 50 Depth (nm) Depth (nm) FigureFigure 2. 2. TheThe secondary ion ion mass mass spectroscopy spectroscopy (SIMS) (SIMS profiles) profiles of the of SiGe the epitaxialSiGe epitaxial films obtained films obtained afterafter pre pre-cleaning-cleaning withwith 1:30 1:30 DHF DHF and and 1:200 1:200 DHF, DHF, indicating indicating the concentrations the concentrations of (a) O of and (a) ( bO) F.and (b) F. 3.2. Comparison of Ex-Situ DHF Wet Cleaning, Dry Cleaning, and Combined 3.2.Wet Comparison + Dry Cleaning of Ex-Situ DHF Wet Cleaning, Dry Cleaning, and Combined Wet + Dry CleaningThe SIMS profiles of the Si epitaxial films obtained after ex-situ wet pre-cleaning withThe 1:200 SIMS DHF, profiles dry cleaning, of the andSi epitaxial combined films wet +obtained dry cleaning after are ex- presentedsitu wet pre in Figure-cleaning3. with 1:200Thus, DHF, in Figure dry3 cleaning,a, the O profile and combined of the epitaxial wet + substrate dry cleaning that was are wet presented cleaned in with Figure 1:200 3. Thus, 18 3 inDHF Figure exhibits 3a, the an impurityO profile peak of the with epitaxial a concentration substrate of that ~1.8 ×was10 wet/cm cleaned. By comparison, with 1:200 DHF the intensity of the O peaks of the dry cleaned and wet + dry cleaned substrates are exhibits an impurity peak with a concentration of ~1.8 × 1018/cm3. By comparison, the in- measurably decreased. Among the dry and wet + dry cleaning, the wet + dry have a tensity of the O peaks of the dry cleaned and wet + dry cleaned substrates are measurably lower O concentration at the interface. Meanwhile, the F profiles in Figure3b reveal a decreased.major decrease Among in the the level dry of and F impurity wet + dry for the cleaning, dry and the the wet combined + dry wethave + drya lower cleaning, O concen- trationcompared at the to theinterface. wet-only Meanwhile, treatment, while the F the profiles C profiles in Figure in Figure 3b3 revealc reveal a no major impurity decrease in thepeak level for allof F three impurity cleaning for conditions. the dry and These the results combined can be wet attributed + dry cleaning, to the fact compared that the Si to the wetsurface-only becomes treatment, H-terminated while the andC profiles hydrophobic in Figure when 3c pre-cleaningreveal no impurity is performed peak using for all three cleaningDHF, which conditions. can prevent These pollution results or can oxidation be attributed in the ambient to the fact atmosphere. that the Si However, surface inbecomes Hthe-terminated case of the wet-onlyand hydrophobic cleaning, the when amount pre of-cleaning subsequent is performed queueing time using in the DHF, ambient which can preventatmosphere pollution is inevitably or oxidation increased in bythe the ambient need for atm rinsingosphere. with However, DI water followedin the case by of the drying. This significantly increases the possibility of re-oxidation. Not only is the need for wet-only cleaning, the amount of subsequent queueing time in the ambient atmosphere is these processes eliminated in the case of dry cleaning, but also there is the advantage of inevitablybeing able increased to perform theby in-situthe need dry for cleaning rinsing in awith vacuum DI water chamber. followed Hence, dryby drying. cleaning This is sig- nificantlymore advantageous increases the than possibility wet cleaning of re in- termsoxidation. of the Not queue only time is the constraints. need for Thethese TEM processes eliminatedimages of the in interfacethe case betweenof dry cleaning, the Si epitaxial but also layer there and the is Sithe substrate advantage of the of epitaxial being able to performfilms grown the in after-situ the dry three cleaning different in pre-cleaninga vacuum chamber. are presented Hence, in Figuredry cleaning4. Here, is the more ad- vantageousinterface between than wet the substrate cleaning and in t theerms epitaxial of the layer queue is easilytime distinguishedconstraints. The in the TEM sample images of thethat interface was subjected between to wet the cleaningSi epitaxial only layer (Figure and4a), the and Si becomessubstrate progressively of the epitaxial less films well- grown afterdefined the inthree the epitaxialdifferent films pre- thatcleaning were subjectedare presented to dry in cleaning Figure only 4. Here, (Figure the4b) interface and to the between combined wet + dry cleaning process (Figure4c). These results suggest that both the dry the substrate and the epitaxial layer is easily distinguished in the sample that was sub- and combined wet + dry cleaning processes provide better cleaning efficiency than the wet jectedcleaning to wet only, cleaning and that only the wet (Figure + dry 4a), cleaning and isbecomes more effective progressively than the dry-onlyless well cleaning.-defined in the epitaxial films that were subjected to dry cleaning only (Figure 4b) and to the combined wet + dry cleaning process (Figure 4c). These results suggest that both the dry and com- bined wet + dry cleaning processes provide better cleaning efficiency than the wet clean- ing only, and that the wet + dry cleaning is more effective than the dry-only cleaning.

Materials 2021, 14, x FOR PEER REVIEW 5 of 11

Materials 2021, 14, x FOR PEER REVIEW 5 of 11 Materials 2021, 14, 3733 5 of 11 1.E+21 1.E+21 10 10 O F 1.E+20 1.E+20 10 1.E+21 10 1.E+21

Wet DHF ) 10 ) 10 Wet DHF 3 3 Dry O Dry F 1.E+19 1.E+19 1.E+20 10 Wet101.E+20 DHF +Dry 10 10 Wet DHF+ Dry

Wet DHF ) ) Wet DHF 3 3 Dry Dry 1.E+18 1.E+19 1.E+18 1.E+19 10 10 Wet DHF10 +Dry 10 Wet DHF+ Dry

1.E+18 1.E+17 1.E+18 101.E+17 10 10 10 Concentration (/cm Concentration Concentration(/cm (a) (b)

1.E+17 1.E+17 1.E+16 10 1.E+16 10 Concentration (/cm Concentration

10 Concentration(/cm 10 (a) (b) 0 10 20 30 0 10 20 30 Depth (nm)101.E+16 Depth101.E+16 (nm) 1.E+21 10 0 10 20 30 0 10 20 30 Depth (nm) Depth (nm) C 1.E+21 10 1.E+20 10 C

) Wet DHF 3 1.E+20 10 Dry

) Wet DHF

1.E+19 3 10 Wet DHF+Dry Dry 1.E+19 10 Wet DHF+Dry 1.E+18 10 1.E+18 10 1.E+17 10 Concentration (/cm Concentration (c) 1.E+17 10 Concentration (/cm Concentration (c) 101.E+16 1.E+16 0 10 10 20 30 Depth (nm)0 10 20 30 Depth (nm) Figure 3. The SIMS profilesFigureFigure 3.of3.The Thethe SIMS SIMSSi epitaxial profiles profiles of films the of Sithe epitaxialobtained Si epitaxial films after obtainedfilms ex -obtainedsitu after wet ex-situ afterpre wet- cleaningex pre-cleaning-situ wet with pre with -1:200cleaning 1:200 with 1:200 DHF, dry cleaning, andDHF,DHF, combined drydry cleaning, cleaning, wet and and+ combineddry combined cleaning, wet +wet dry indicating + cleaning, dry cleaning, indicatingthe concentrations indicating the concentrations the concentrationsof (a of) O, (a) ( O,b) ( bF,) of F, (a) O, (b) F, and (c) C. andand ((cc)) C. C.

(a) (b) (c) (a) (b) (c)

Figure 4. The TEM images of the Si epitaxial films obtained after ex-situ pre-cleaning with inset of FigureFigure 4. The 4. The TEM TEM images images ofHRTEM the Si epitaxialof image the Si films( aepitaxial) with obtained 1:200 films after DHF ex-situobtained (wet pre-cleaning clean after only), ex with- situ(b) inset dry preof clean- HRTEMcleaning only, image andwith ( a( )cinset) with wet of+ dry cleaning. 1:200HRTEM DHF (wet image clean ( only),a) with (b) 1:200 dry clean DHF only, (wet and (cleanc) wet +only), dry cleaning. (b) dry clean only, and (c) wet + dry cleaning. 3.3. In-Situ Hydrogen and HCl Bake Cleaning 3.3. In-Situ Hydrogen and HCl Bake Cleaning 3.3. In-Situ Hydrogen andAs HCl the Bakefinal Cleaningcleaning process before epitaxial growth, in-situ bake is very important As the final cleaning process before epitaxial growth, in-situ bake is very important As the final cleaningforfor removingremoving process any any residual before residual surface epita surfacexial oxide oxidegrowth, from thefrom Siin wafer.the-situ Si Thisbakewafer. residual is This very oxideresidual important may oxide result may result for removing any residualfromfrom incompleteincomplete surface ex-situ oxideex-situ cleaning, from cleaning, orthe by Si re-oxidation orwafer. by re This-oxidation during residual the numerousduring oxide the processing may numerous result steps processing stepsafter ex-situafter ex cleaning.-situ cleaning. Although Although the effectiveness the effectiveness of H2 bake of increases H2 bake withincreases increased with increased from incomplete ex-situ cleaning, or by re-oxidation during the numerous processing temperature,temperature, a a higher higher bake bake temperature temperature leads leads to undercutting to undercutting or erosion or oferosion the SiO of2 orthe SiO2 or Si steps after ex-situ cleaning.patternSi pattern and Although and to to changes changes the ineffectivenessin the the doping doping profile ofprofile H [219 bake]. [19]. The increases SIMSThe SIMS O and with O F profilesand increased F profiles of the of the Si temperature, a higherSi epitaxial bake temperature films obtained leads after wetto undercutting pre-cleaning and or subsequent erosion of H 2thebake SiO at2 variousor Si epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at various temper- pattern and to changestemperatures in the are doping presented profile in Figure [19].5a,b, The respectively. SIMS O The and corresponding F profiles areal of impuritythe Si aturesdoses are are presented presented as ain bar Figure chart 5a in,Figure b, respectively.5c. These data The reveal corresponding that levels of areal O and impurity F doses 2 epitaxial films obtainedareimpurities presented after at wet the as interface pre a bar-cleaning chart gradually in Figureand decrease subsequent 5c. as These the temperature data H bakereveal of at that H various2 bake levels increases temper-of O and from F impurities atures are presentedat inthe Figure interface 5a ,gradually b, respectively. decrease The as the corresponding temperature of areal H2 bake impurity increases doses from 700 to 800 are presented as a bar°C, chartand that in Figurethese impurities 5c. These peaks data revealare completely that levels removed of O and at 850 F impurities °C. Figure 6 shows the at the interface graduallyTEM images decrease of the as epi the-Si temperature obtained after of wetH2 bake pre-cleaning increases and from subsequent 700 to 800 H2 bake at var- °C, and that these impuritiesious temperatures. peaks are (a) completely700 °C for 10 removed min, (b– d)at 750,850 °C.800, Figure 850 °C 6for shows 5 min. the The interfaces between the substrate and the epitaxial layer are distinguished in 700, 750, 800 °C—sam- TEM images of the epi-Si obtained after wet pre-cleaning and subsequent H2 bake at var- ious temperatures.ples (a) 700as SIMS °C for results. 10 min, In Figure(b–d) 750,7, the 800, HRTEM 850 °C images for 5 min.showing The atomic interfaces lattices and FFT diffraction patterns for the 750 °C-sample show the crystalline structure of the Si-epitaxial between the substrate and the epitaxial layer are distinguished in 700, 750, 800 °C—sam- layer. A multi-stack consisting of Si/SiGe/Si on Si substrate was also grown. Figure 8 ples as SIMS results. In Figure 7, the HRTEM images showing atomic lattices and FFT diffraction patterns for the 750 °C-sample show the crystalline structure of the Si-epitaxial layer. A multi-stack consisting of Si/SiGe/Si on Si substrate was also grown. Figure 8

Materials 2021, 14, 3733 6 of 11 Materials 2021, 14, x FOR PEER REVIEW 6 of 11

700 to 800 ◦C, and that these impurities peaks are completely removed at 850 ◦C. Figure6 shows the TEM images of the epi-Si obtained after wet pre-cleaning and subsequent H2 Materials 2021, 14, x FOR PEER REVIEWbake at various temperatures. (a) 700 ◦C for 10 min, (b–d) 750, 800, 850 ◦C for 5 min. 6 of 11

shows the TEM imagesThe interfaces of Si cap between (~10 the nm)/SiGe substrate and (~14nm)/Si the epitaxial layerbuffer are distinguished (~5 nm) multi in 700,-stack 750, lay- ers grown on a Si substrate.800 ◦C—samples That as SIMSsample results. was In Figure grown7, the HRTEMafter wet images pre showing-cleaning atomic (200:1 lattices DHF) and FFT diffraction patterns for the 750 ◦C-sample show the crystalline structure of the and subsequent H2 bake at 700 °C. HRXRD was measured to characterize the SiGe epi showsSi-epitaxial the layer.TEM A images multi-stack of consistingSi cap (~10 of Si/SiGe/Si nm)/SiGe on (~14nm)/Si Si substrate was buffer also grown. (~5 nm) multi-stack lay- layer. It shows SiGeFigure layer8 shows has thea 32% TEM imagesGe fraction of Si cap (~10and nm)/SiGe strained. (~14nm)/Si buffer (~5 nm) multi- ersstack grown layers grownon a onSi asubstrate. Si substrate. That sample sample was was grown grown after wet after pre-cleaning wet pre (200:1-cleaning (200:1 DHF) 101.E+21 101.E+21 ◦ andDHF) subsequent and subsequent H H2 2bakebake atat 700 700C. °C. HRXRD HRXRD was measured was measured to characterize to thecharacterize SiGe the SiGe epi F epi layer. It shows SiGe layer has a 32% Ge fraction and strained.o 1.E+20 O layer. It shows700C700 oC SiGe 1.E+20layer has a 32% Ge fraction700C700 C and strained.

10 ) 10 ) 3 3 750C750 oC 750C750 oC 1.E+21 1.E+21 10 800C800 oC 10 800C800 oC 1.E+19 1.E+19 10 850C850 oC 10 850CF850 oC o 1.E+20 O 700C700 oC 1.E+20 700C700 C

10 ) 10 ) 3 3 o o 1.E+18 1.E+18 750C750 C 750C750 C 10 10 800C800 oC 800C800 oC 1.E+19 1.E+19 10 850C850 oC 10 850C850 oC Concentration (/cm Concentration

Concentration (/cm Concentration 1.E+17 101.E+17 (d) 10 (a) 1.E+18 1.E+18 10 (b) 10

1.E+16 101.E+16 10 Concentration (/cm Concentration

Concentration (/cm Concentration 1.E+17 0 5 10 101.E+17 15 (d)20 0 5 10 15 20 Depth (nm) (a) Depth (nm) 1.E+13 (b) 10 1.E+16 101.E+16 10 )

2 0 5 10 15 20 0 O 5 10 15 20 Depth (nm) Depth (nm) 1.E+12 1.E+13 10 10 F ) 2 O 1.E+11 1.E+12 10 10 F

1.E+11 1.E+10 10 10 700700 o C 750750 o C 800800 oCC 850850 oCC Interface rimpurityInterface dose (/cm (c) H2 bake temperature1.E+10 10 700700 o C 750750 o C 800800 oCC 850850 oCC Interface rimpurityInterface dose (/cm (c) H2 bake temperature Figure 5. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained after wet pre-cleaning Figure 5. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained after wet pre-cleaning 2 and subsequent H bakeFigureand subsequent at 5. various The HSIMS2 baketemperatures; O at ( variousa) and temperatures; F ( b(c)) profilesa bar (c) achart of bar the chart showing Si showing epitaxial thethe correspondingfilms corresponding obtained areal after areal wet pre-cleaning ◦ ◦ doses of O and F. (700anddoses °C subsequent offor O and10 min, F. (700 H 750,2C bake for 800, 10 at min, 850various 750, °C 800, for temperatures; 850 5C min). for 5 min). (c) a bar chart showing the corresponding areal doses of O and F. (700 °C for 10 min, 750, 800, 850 °C for 5 min).

FigureFigure 6.6.The The TEM TEM images images of the Si of epitaxial the Si films epitaxial obtained films after wet obtained pre-cleaning after and subsequentwet pre-cleaning H2 bake at variousand subsequent temperatures. (a) 700 ◦C forFigure 10 min, 6. (b –Thed) 750, TEM 800, 850images◦C for 5of min. the Si epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at various temperatures. ( ) 700 °C for 10 min, ( – ) 750, 800, 850 °C for 5 min. H2 bake at variousa temperatures. (a) 700b °Cd for 10 min, (b–d) 750, 800, 850 °C for 5 min.

A A

strate strate B B e e ) ) Interface of epi-substrateInterface of epi-substrate (b) (b)

B B (c) (c) Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning and subsequent H2 bake at 750 °C 5 min. (a) TEM image, (b) TEM image of the red box, (c) FFTs of substrate and epitaxial layer Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning and subsequent H2 bake at 750 °C 5 min. (a) TEMshowing image ,crystalline (b) TEM image structure. of the red box, (c) FFTs of substrate and epitaxial layer showing crystalline structure.

Materials 2021, 14, x FOR PEER REVIEW 6 of 11

Figure 8 shows the TEM images of Si cap (~10 nm)/SiGe (~14nm)/Si buffer (~5 nm) multi- stack layers grown on a Si substrate. That sample was grown after wet pre-cleaning (200:1 DHF) and subsequent H2 bake at 700 °C. HRXRD was measured to characterize the SiGe epi layer. It shows SiGe layer has a 32% Ge fraction and strained.

1.E+21 10 101.E+21 F o 1.E+20 700C700 oC 1.E+20 700C700 C 10 O 10 ) ) 3 3 750C750 oC 750C750 oC 800C800 oC 800C800 oC 1.E+19 1.E+19 10 850C850 oC 10 850C850 oC

1.E+18 1.E+18 10 10 Concentration (/cm

Concentration (/cm Concentration 1.E+17 101.E+17 (d) 10 (a) (b)

1.E+16 101.E+16 10 0 5 10 15 20 0 5 10 15 20 Depth (nm) Depth (nm) 1.E+13 10 ) 2 O 1.E+12 10 F

1.E+11 10

1.E+10 10 700700 o CC750 750 o C800C 800 oCC 850 850 oCC Interface rimpurityInterface dose (/cm (c) H2 bake temperature

Figure 5. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at various temperatures; (c) a bar chart showing the corresponding areal doses of O and F. (700 °C for 10 min, 750, 800, 850 °C for 5 min).

Materials 2021, 14, 3733 Figure 6. The TEM images of the Si epitaxial films obtained after7 of 11 wet pre-cleaning and subsequent H2 bake at various temperatures. (a) 700 °C for 10 min, (b–d) 750, 800, 850 °C for 5 min.

Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning and subsequent H bake at Materials 2021, 14, x FOR PEER REVIEW Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning27 of 11 and subsequent H2 bake at 750 ◦C 5 min. (a) TEM image, (b) TEM image of the red box, (c) FFTs of substrate and epitaxial layer showing750 °C crystalline 5 min. structure. (a) TEM image, (b) TEM image of the red box, (c) FFTs of substrate and epitaxial layer showing crystalline structure.

Si

Si peak

SiGe(Ge=32%) SiGe peak 9.92 nm

Si Si 2 nm (b) thickness fringe

14.01 nm 30.31 nm Intensity (arb.unit)Intensity SiGe(Ge=32%) 6.06 nm

(b) Si buffer -6000 -4000 -2000 0 2000 5 nm (a)(a) Si substrate (b) ω θ -2 (arcsec) Figure 8. The TEM image and high resolution X-ray diffraction (HRXRD) of the Si (10 nm)/SiGe (14 nm)/Si (6 nm) epitaxial Figure 8. The TEM image and high resolution X-ray diffr◦ action (HRXRD) of the Si (10 nm)/SiGe (14 films obtained after wet pre-cleaning and subsequent H2 bake at 700 C. (a) TEM image (b) HRXRD rocking curve (Si and nm)/SiSiGe peaks (6 nm) are shown). epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at 700 °C. (a) TEM image (b) HRXRD rocking curve (Si and SiGe peaks are shown). The SIMS O and F profiles of the Si epitaxial films obtained after dry pre-cleaning and subsequent H2 bake at various temperatures are presented in Figure9. In comparison The SIMS O andto F the profiles above results of the for theSi wetepitaxial DHF pre-cleaned films obtained samples (Figure after5 ),dry an overallpre-cleaning decrease and subsequent H2 bake at various temperatures are presented in Figure 9. In comparison to the above results for the wet DHF pre-cleaned samples (Figure 5), an overall decrease in the concentration of impurities is observed in the dry pre-cleaned samples (Figure 9), even when H2 bake is performed at 700 °C for 10 min. Finally, to further improve the effectiveness of low-temperature surface treatment, the H2 bake at 700 °C for 10 min was followed by an additional bake step in the well-known Si etchant gas HCl [19,32], and the results are presented in Figure 10. Here, a decrease in the level of O impurity is clearly seen compared to that obtained after H2 bake only. It is expected that the HCl bake removes any contaminated upper Si surface, thus effectively decreasing the level of impurity at the interface.

1.E+21 1.E+21 10 10 o O 700C700 C, 10 min o F 700C700 C, 10 min 800C800 5moC, 5 min 101.E+20 800C800 o5mC, 5 min

1.E+20 ) )

o 3

3 10 800C850 10mC, 10 min 800C850 o10mC, 10 min

101.E+19 1.E+19 10 101.E+18

1.E+18 10 1.E+17 Concentration (/cm Concentration Concentration (/cm Concentration 10 (a) (b)

1.E+17 (d)1.E+16 (e) (f) 10 10 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) Figure 9. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained after dry pre-cleaning and subsequent H2 bake at various temperatures.

Materials 2021, 14, x FOR PEER REVIEW 7 of 11

Si

Si peak

SiGe(Ge=32%) SiGe peak

Si (b) Si thickness fringe

SiGe(Ge=32%)

Si (b) (a) Si substrate (b)

Figure 8. The TEM image and high resolution X-ray diffraction (HRXRD) of the Si (10 nm)/SiGe (14 nm)/Si (6 nm) epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at 700 °C. (a) TEM image (b) HRXRD rocking curve (Si and SiGe peaks are shown).

The SIMS O and F profiles of the Si epitaxial films obtained after dry pre-cleaning and subsequent H2 bake at various temperatures are presented in Figure 9. In comparison Materials 2021, 14, 3733 to the above results for the wet DHF pre-cleaned samples (Figure 5), an8 of overall 11 decrease in the concentration of impurities is observed in the dry pre-cleaned samples (Figure 9), even when H2 bake is performed at 700 °C for 10 min. Finally, to further improve the effectivenessin the concentration of low of- impuritiestemperature is observed surface in treatment, the dry pre-cleaned the H2 samplesbake at (Figure700 °C9 ),for 10 min was ◦ even when H2 bake is performed at 700 C for 10 min. Finally, to further improve the followed by an additional bake step in the well-known Si etchant◦ gas HCl [19,32], and the effectiveness of low-temperature surface treatment, the H2 bake at 700 C for 10 min was resultsfollowed are by presented an additional in bake Figure step in10. the Here, well-known a decrease Si etchant in gasthe HCl level [19 ,of32 ],O and impurity the is clearly seenresults compared are presented to inthat Figure obtained 10. Here, after a decrease H2 bak in thee only. level ofIt O is impurity expected is clearly that seenthe HCl bake re- movescompared any to contaminated that obtained after upper H2 bake Si surface, only. It is thus expected effectively that the HCldecreasing bake removes the level of impu- rityany at contaminated the interface. upper Si surface, thus effectively decreasing the level of impurity at the interface.

1.E+21 1.E+21 10 10 700C700 oC, 10 min O 700C700 oC, 10 min o F 800C800 5mC, 5 min 1.E+20 10 800C800 o5mC, 5 min 1.E+20 ) )

o 3

3 10 850 C, 10 min 800C 10m 800C850 o10mC, 10 min

101.E+19

1.E+19 10 101.E+18

1.E+18 10 1.E+17 Concentration (/cm Concentration Concentration (/cm 10 (a) (b)

1.E+17 (d) 1.E+16 (e) (f) 10 10 0 5 10 15 20 0 5 10 15 20 depth (nm) Materials 2021, 14, x FOR PEER REVIEW depth (nm) 8 of 11

FigureFigure 9.9. TheThe SIMS SIMS O (Oa) and(a) and F (b) F profiles (b) profiles of the Si of epitaxial the Si filmsepitaxial obtained films after obtained dry pre-cleaning after dry pre-cleaning and subsequent H bake at various temperatures. and subsequent 2H2 bake at various temperatures.

1.E+20 1.E+21 10 10 O F Add HCl Add HCl 1.E+20 o ) ) 10 H2H2 (700(700C) C )only only 3 101.E+19 3 o H2H2 (700(700C) C )only only

1.E+19 10 1.E+18 10 101.E+18

1.E+17 10 1.E+17 Concentration (/cm Concentration Concentration (/cm Concentration (a) 10 (b) (d) (e) (f) 101.E+16 101.E+16 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) 101.E+21 C Add HCl

1.E+20 o 10 HH22 (700(700C) C )only only ) 3

101.E+19

101.E+18

101.E+17 (c) Concentration (/cm Concentration

101.E+16 0 5 10 15 20 depth (nm) Figure 10. The SIMS O (a), F (b), and C (c) profiles of the Si epitaxial films obtained after ex-situ dry ◦ Figurepre-cleaning 10. The and subsequentSIMS O (a in-situ), F (b bake), and in C the (c presence) profiles of Hof2 theand thenSi epitaxial HCl at 700 filmsC. obtained after ex-situ dry pre-cleaning and subsequent in-situ bake in the presence of H2 and then HCl at 700 °C. 3.4. Process Chamber Loading Conditions The SIMS O and F profiles of the epitaxial layers obtained under various loading 3.4.temperatures Process Chamber are presented Loading in Figure Conditions 11. Here, peaks in the O profile are hardly discernable at loadingThe temperaturesSIMS O and below F profiles 700 ◦C (Figure of the 11 a).epitaxial Moreover, layers the levels obtained of F also under decrease various loading temperatureswith decreased loadingare presented temperature in Figure (Figure 11. 11b). Here, This peaks suggests in thatthe theO profile surface are Si–H hardly discern- able at loading temperatures below 700 °C (Figure 11a). Moreover, the levels of F also decrease with decreased loading temperature (Figure 11b). This suggests that the surface Si–H bonds generated during the dry pre-cleaning process are readily broken under the high-temperature loading conditions. Therefore, low temperature loading is required for high quality epitaxial growth. Finally, the SIMS O, F, and C profiles of the Si epitaxial films obtained using different loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre- cleaned, are presented in Figure 12. Here, the O impurity is seen to be suppressed under the gaseous loading environment compared to that observed under the vacuum loading environment. This is explained similarly to the effects of loading temperature (i.e., the gaseous environment in the process chamber at the time of loading the wafer is effective for preserving the Si–H bonding on the surface of the Si wafer).

1.E+21 1.E+21 10 10 O 700C700 oC F 700C700 oC 650C650 oC 650C650 oC 101.E+20 1.E+20 ) o ) o 600C600 C 3 600C600 C 3 10 550C550 oC 550C550 oC 101.E+19

1.E+19 10 101.E+18

1.E+1810

Concentration (/cm Concentration 1.E+17 10 Conecentration (/cm Conecentration (d) (e) (f) (a) (b) 1.E+17 1.E+16 10 10 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) Figure 11. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained under various loading temperatures using samples that were dry pre-cleaned.

Materials 2021, 14, x FOR PEER REVIEW 8 of 11

1.E+20 1.E+21 10 10 O F Add HCl Add HCl

1.E+20 o )

1.E+19 ) 10 H2H2 (700(700C) C )only only 3 10 3 o H2H2 (700(700C) C )only only 101.E+19 1.E+18 10 101.E+18

101.E+17

1.E+17 Concentration (/cm Concentration (a) (/cm Concentration 10 (b) (d) (e) (f) 101.E+16 101.E+16 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) 101.E+21 C Add HCl

1.E+20 o

10 HH22 (700(700C) C )only only

) 3

101.E+19

101.E+18

101.E+17 (c) Concentration (/cm Concentration

101.E+16 0 5 10 15 20 depth (nm)

Figure 10. The SIMS O (a), F (b), and C (c) profiles of the Si epitaxial films obtained after ex-situ dry pre-cleaning and subsequent in-situ bake in the presence of H2 and then HCl at 700 °C.

3.4. Process Chamber Loading Conditions The SIMS O and F profiles of the epitaxial layers obtained under various loading temperatures are presented in Figure 11. Here, peaks in the O profile are hardly discern- able at loading temperatures below 700 °C (Figure 11a). Moreover, the levels of F also decrease with decreased loading temperature (Figure 11b). This suggests that the surface Si–H bonds generated during the dry pre-cleaning process are readily broken under the high-temperature loading conditions. Therefore, low temperature loading is required for high quality epitaxial growth. Finally, the SIMS O, F, and C profiles of the Si epitaxial films obtained using different Materials 2021, 14, 3733 loading conditions (vacuum loading or gaseous loading), where all wafers were9 of 11dry pre- cleaned, are presented in Figure 12. Here, the O impurity is seen to be suppressed under the gaseous loading environment compared to that observed under the vacuum loading environment. This is explained similarly to the effects of loading temperature (i.e., the bonds generated during the dry pre-cleaning process are readily broken under the high- gaseoustemperature environment loading conditions. in the process Therefore, chamber low temperature at the time loadingof loading is required the wafer for highis effective forquality preserving epitaxial the growth. Si–H bonding on the surface of the Si wafer).

1.E+21 1.E+21 10 10 O 700C700 oC F 700C700 oC 650C650 oC 650C650 oC 101.E+20 1.E+20 ) o

) o 600C600 C 3 600C600 C

3 10 550C550 oC 550C550 oC 101.E+19

1.E+19 10 101.E+18

101.E+18

Concentration (/cm Concentration 1.E+17 10 Conecentration (/cm Conecentration (d) (e) (f) (a) (b) 1.E+17 1.E+16 10 10 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) FigureFigure 11. 11. TheThe SIMS OO (a(a)) and and F F (b ()b profiles) profiles of theof the Si epitaxial Si epitaxial films films obtained obtained under under various various loading loading temperaturestemperatures using samplessamples that that were were dry dry pre-cleaned. pre-cleaned.

Finally, the SIMS O, F, and C profiles of the Si epitaxial films obtained using different loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre- cleaned, are presented in Figure 12. Here, the O impurity is seen to be suppressed under the gaseous loading environment compared to that observed under the vacuum loading Materials 2021, 14, x FOR PEER REVIEWenvironment. This is explained similarly to the effects of loading temperature (i.e., the 9 of 11

gaseous environment in the process chamber at the time of loading the wafer is effective for preserving the Si–H bonding on the surface of the Si wafer).

1.E+20 1.E+20 10 10 O F Vac. loading(ref) Vac. loading(ref) ) )

1.E+19 3 1.E+19 3 10 Gas loading 10 Gas loading

1.E+18 10 1.E+1810

1.E+17 1.E+17 10 10 Concentration(/cm Concentration(/cm (a) (b)

1.E+16 1.E+16 10 10 0 5 10 15 20 0 5 10 15 20 depth (nm) depth (nm) 1.E+20 10 (d) C Vac. loading(ref)

) 1.E+19 3 10 Gas loading

1.E+18 10

1.E+17 10 Concentration(/cm (c) 1.E+16 10 0 5 10 15 20 depth (nm) Figure 12. 12.The The SIMS SIMS O (a O), F(a (b),), F and (b), C and (c) profiles C (c) ofprofiles the Si epitaxial of the Si films epitaxial obtained films using obtained different using different loading conditions conditions (vacuum (vacuum loading loading or gaseous or gaseous loading), whereloading), all wafers where were all dry wafers pre-cleaned. were dry pre-cleaned. 4. Conclusions 4. ConclusionsThe present study examined the effects of three main epitaxy steps (ex-situ cleaning, in-situThe bake present and the wafer study loading examined environment) the effects upon theof three quality main of Si-based epitaxy epitaxial steps films (ex-situ cleaning, in-situ bake and the wafer loading environment) upon the quality of Si-based epitaxial films obtained via reduced pressure chemical vapor deposition (RPCVD). With respect to ex-situ cleaning, dry cleaning gave better results than either wet cleaning with a 1:200 solution of dilute hydrofluoric acid (DHF) in deionized water or wet cleaning with 1:30 DHF. However, the best cleaning effect of all was obtained using a combination of wet (1:200 DHF) and dry cleaning. A multi-stack consisting of Si/SiGe/Si on a Si substrate grown after wet pre-cleaning (200:1 DHF) and H2 bake at 700 °C showed strained-pseu- domorphic layers by HRXRD. With respect to the in-situ H2 bake, the levels of oxygen and fluorine impurities were found to decrease as the temperature increased from 700 to 800 °C, and no impurities peaks were observed at a bake temperature of 850 °C. Moreover, an additional baking step in HCl after the H2 bake was effective even at a temperature as low as 700 °C. Finally, with respect to the conditions of the process chamber at the time of loading the wafers, loading under a gaseous atmosphere at lower temperature gave better epitaxial film quality. These results provide a valuable guideline for high-quality, low-temperature epitax- ial growth in a cluster RPCVD system. The recommended steps should be carefully ap- plied in to avoid compromising the quality and throughput of the epitaxy equipment as each step is closely related to the epitaxy throughput.

Author Contributions: Conceptualization, J.J., B.S., B.K., W.-J.L., Y.S.J. and S.P.; methodology, B.S. and B.K.; formal analysis, W.J. and J.J.; investigation, J.J., B.S., B.K., Y.S.J., S.C., W.-J.L. and S.P.; writ- ing—original draft preparation, J.J.; writing—review and editing, J.J.; supervision, B.S., B.K., Y.S.J. and S.P. All authors have read and agreed to the published version of the manuscript. Funding: This work was supported by the Ministry of Trade, Industry and Energy with the Korea Semiconductor Research Consortium Support Program for the development of the future semicon- ductor devices (10052928) and by National Research Foundation of Korea (NRF) funded by the Min- istry of science, ICT and Future Planning (2020R1A6A1A03043435). Institutional Review Board Statement: Not applicable. Informed Consent Statement: Not applicable.

Materials 2021, 14, 3733 10 of 11

obtained via reduced pressure chemical vapor deposition (RPCVD). With respect to ex-situ cleaning, dry cleaning gave better results than either wet cleaning with a 1:200 solution of dilute hydrofluoric acid (DHF) in deionized water or wet cleaning with 1:30 DHF. However, the best cleaning effect of all was obtained using a combination of wet (1:200 DHF) and dry cleaning. A multi-stack consisting of Si/SiGe/Si on a Si substrate grown after wet ◦ pre-cleaning (200:1 DHF) and H2 bake at 700 C showed strained-pseudomorphic layers by HRXRD. With respect to the in-situ H2 bake, the levels of oxygen and fluorine impurities were found to decrease as the temperature increased from 700 to 800 ◦C, and no impurities peaks were observed at a bake temperature of 850 ◦C. Moreover, an additional baking step ◦ in HCl after the H2 bake was effective even at a temperature as low as 700 C. Finally, with respect to the conditions of the process chamber at the time of loading the wafers, loading under a gaseous atmosphere at lower temperature gave better epitaxial film quality. These results provide a valuable guideline for high-quality, low-temperature epitaxial growth in a cluster RPCVD system. The recommended steps should be carefully applied in to avoid compromising the quality and throughput of the epitaxy equipment as each step is closely related to the epitaxy throughput.

Author Contributions: Conceptualization, J.J., B.S., B.K., W.-J.L., Y.S.J. and S.P.; methodology, B.S. and B.K.; formal analysis, W.J. and J.J.; investigation, J.J., B.S., B.K., Y.S.J., S.C., W.-J.L. and S.P.; writing—original draft preparation, J.J.; writing—review and editing, J.J.; supervision, B.S., B.K., Y.S.J. and S.P. All authors have read and agreed to the published version of the manuscript. Funding: This work was supported by the Ministry of Trade, Industry and Energy with the Korea Semiconductor Research Consortium Support Program for the development of the future semicon- ductor devices (10052928) and by National Research Foundation of Korea (NRF) funded by the Ministry of science, ICT and Future Planning (2020R1A6A1A03043435). Institutional Review Board Statement: Not applicable. Informed Consent Statement: Not applicable. Data Availability Statement: Data sharing is not applicable to this article. Acknowledgments: Authors would like to thank Park, Yun Chang (National nano fab center (NNFC) TEAM, Korea) for supporting TEM analysis. Conflicts of Interest: The authors declare no conflict of interest.

References 1. Roy, F.; Suler, A.; Dalleau, T.; Duru, R.; Benoit, D.; Arnaud, J.; Cazaux, Y.; Chaton, C.; Montes, L.; Morfouli, P.; et al. Fully Depleted, Trench-Pinned Photo Gate for CMOS Image Sensor Applications. Sensors 2020, 20, 727. [CrossRef][PubMed] 2. Chang, H.-R.; Temple, V. High quality thick epitaxial films for power semiconductor devices. Solid State Electron. 1986, 29, 39–46. [CrossRef] 3. Loubet, N.; Adam, T.; Raymond, M.; Liu, Q.; Cheng, K.; Sreenivasan, R.; Reznicek, A.; Khare, P.; Kleemeier, W.; Paruchuri, V.; et al. Ultra-low resistivity in-situ phosphorus doped Si and SiC epitaxy for source/drain formation in advanced 20nm n-type field effect transistor devices. Thin Solid Films 2012, 520, 3149–3154. [CrossRef] 4. Li, X.; Dube, A.; Ye, Z.; Sharma, S.; Kim, Y.; Chu, S. Selective Epitaxial Si:P Film for nMOSFET Application: High Phosphorous Concentration and High Tensile Strain. ECS Trans. 2014, 64, 959–965. [CrossRef] 5. Wu, H.; Gluschenkov, O.; Tsutsui, G.; Niu, C.; Brew, K.; Durfee, C.; Prindle, C.; Kamineni, V.; Mochizuki, S.; Lavoie, C.; et al. Parasitic Resistance Reduction Strategies for Advanced CMOS Beyond 7nm. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 35.4.1–35.4.4. [CrossRef] 6. Myronov, M.; Shah, V.A.; Rhead, S.; Leadley, D.R. Epitaxial Growth of Tensile Strained SiB Alloy on a Si Substrate. In Proceedings of the 2012 International Silicon-Germanium Technology and Device Meeting (ISTDM), Berkeley, CA, USA, 4–6 June 2012; pp. 1–2. [CrossRef] 7. Thompson, S.; Armstrong, M.; Auth, C.; Alavi, M.; Buehler, M.; Chau, R.; Cea, S.; Ghani, T.; Glass, G.; Hoffman, T.; et al. A 90-nm Logic Technology Featuring Strained-Silicon. IEEE Trans. Electron. Devices 2004, 51, 1790–1797. [CrossRef] 8. Hashemi, P.; Kobayashi, M.; Majumdar, A.; Yang, L.A.; Baraskar, A.; Balakrishnan, K.; Kim, K.; Chan, K.; Engelmann, S.U.; Ott, J.A.; et al. High-performance Si1−xGex channel on insulator trigate PFETs featuring an implant-free process and aggressively-scaled fin and gate dimensions. In Proceedings of the 2013 Symposium on VLSI Circuits, Kyoto, Japan, 12–14 June 2013; pp. T18–T19. Materials 2021, 14, 3733 11 of 11

9. Vincent, B.; Witters, L.; Richard, O.; Hikavyy, A.; Bender, H.; Loo, R.; Caymax, M.; Thean, A. Selective Growth of Strained Ge Channel on Relaxed SiGe Buffer in Shallow Trench Isolation for High Mobility Ge Planar and Fin p-FET. ECS Trans. 2013, 50, 39–45. [CrossRef] 10. Delhougne, R.; Eneman, G.; Caymax, M.; Loo, R.; Meunier-Beillard, P.; Verheyen, P.; Vandervorst, W.; De Meyer, K.; Heyns, M. Selective epitaxial deposition of strained silicon: A simple and effective method for fabricating high performance MOSFET devices. Solid State Electron. 2004, 48, 1307–1316. [CrossRef] 11. Lee, M.; Antoniadis, D.; Fitzgerald, E. Strained and Relaxed SiGe for High-Mobility . In Proceedings of the 2006 International SiGe Technology and Device Meeting, Princeton, NJ, USA, 15–17 May 2006; pp. 1–2. [CrossRef] 12. Barraud, S.; Lapras, V.; Previtali, B.; Samson, M.P.; Lacord, J.; Martinie, S.; Jaud, M.-A.; Athanasiou, S.; Triozon, F.; Rozeau, O.; et al. Performance and design considerations for gate-all-around stacked-NanoWires FETs. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 29.2.1–29.2.4. [CrossRef] 13. Thomas, S. Gate-all-around stack up. Nat. Electron. 2020, 3, 728. [CrossRef] 14. Glowacki, F.; Campidelli, Y. Single wafer epitaxy of Si and SiGe using UHV-CVD. Microelectron. Eng. 1994, 25, 161–170. [CrossRef] 15. He, H.; Brabant, P.; Chung, K.; Shinriki, M.; Adam, T.; Reznicek, A.; Sadana, D.; Hasaka, S.; Francis, T. High strain embedded-SiGe via low temperature reduced pressure chemical vapor deposition. Thin Solid Films 2012, 520, 3175–3178. [CrossRef] 16. Hartmann, J.M.; Loup, V.; Rolland, G.; Holliger, P.; Laugier, F.; Vannuffel, C.; Séméria, M.N. SiGe growth kinetics and doping in reduced pressure-chemical vapor deposition. J. Cryst. Growth 2002, 236, 10–20. [CrossRef] 17. Sedgwick, T.O.; Agnello, P.D.; Berkenblit, M.; Kuan, T.S. Growth of Facet-Free Selective Silicon Epitaxy at Low Temperature and Atmospheric Pressure. J. Electrochem. Soc. 1991, 138, 3042–3047. [CrossRef] 18. Destefanis, V.; Hartmann, J.M.; Hopstaken, M.; Delaye, V.; Bensahel, D. Low-thermal surface preparation, HCl etch and Si/SiGe selective epitaxy on (110) silicon surfaces. Semicond. Sci. Technol. 2008, 23.[CrossRef] 19. Cheng, P.L.; Liao, C.I.; Wu, H.R.; Chen, Y.C.; Chien, C.C.; Yang, C.L.; Yang, S.F.; Tzou, J.; Tang, R.; Kodali, L.; et al. Effective surface treatments for selective epitaxial SiGe growth in locally strained pMOSFETs. Semicond. Sci. Technol. 2007, 22, S140–S143. [CrossRef] 20. Kormann, T.; Garnier, P.; Chabanne, G.; Fortuin, A. Ex-situ wet clean and in-situ hydrogen clean for Si and SiGe epitaxy. Thin Solid Films 2008, 517, 269–271. [CrossRef] 21. Loo, R.; Hikavyy, A.; Leys, F.E.; Wada, M.; Sano, K.; De Vos, B.; Pacco, A.; Gonzalez, M.B.; Simoen, E.; Verheyen, P.; et al. Low Temperature Pre-Epi Treatment: Critical Parameters to Control Interface Contamination. Solid State Phenom. 2009, 145–146, 177–180. [CrossRef] 22. Abbadie, A.; Hartmann, J.; Holliger, P.; Semeria, M.; Besson, P.; Gentile, P. Low thermal budget surface preparation of Si and SiGe. Appl. Surf. Sci. 2004, 225, 256–266. [CrossRef] 23. Wang, J.; Inokuchi, Y.; Kunii, Y. Low-Temperature Pre-Treatments in Vertical Epitaxial Reactor with Improved Vacuum Load-Lock Chamber. In Proceedings of the 2006 International SiGe Technology and Device Meeting, Princeton, NJ, USA, 15–17 May 2006; Volume 22, pp. S107–S109. 24. Vincent, B.; Loo, R.; Vandervorst, W.; Brammertz, G.; Caymax, M. Low temperature Si homo-epitaxy by reduced pressure chemical vapor deposition using dichlorosilane, silane and trisilane. J. Cryst. Growth 2010, 312, 2671–2676. [CrossRef] 25. Porret, C.; Hikavyy, A.Y.; Granados, J.F.G.; Baudot, S.; Vohra, A.; Kunert, B.; Douhard, B.; Bogdanowicz, J.; Schaekers, M.; Kohen, D.; et al. (Invited) Very Low Temperature Epitaxy of Group-IV for Use in Finfet, Stacked Nanowires and Monolithic 3D Integration. ECS J. Solid State Sci. Technol. 2019, 8, 392. [CrossRef] 26. Hartmann, J.; Benevent, V.; Damlencourt, J.; Billon, T. A benchmarking of silane, disilane and dichlorosilane for the low temperature growth of group IV layers. Thin Solid Films 2012, 520, 3185–3189. [CrossRef] 27. Arkles, B.; Pan, Y.; Jove, F.; Goff, J.; Kaloyeros, A. Synthesis and Exploratory Deposition Studies of Isotetrasilane and Reactive Intermediates for Epitaxial Silicon. Inorg. Chem. 2019, 58, 3050–3057. [CrossRef][PubMed] 28. Finch, R.H.; Queisser, H.J.; Thomas, G.; Washburn, J. Structure and Origin of Stacking Faults in Epitaxial Silicon. J. Appl. Phys. 1963, 34, 406. [CrossRef] 29. Kern, W. Handbook of Semiconductor Wafer Cleaning Technology: Science, Technology, and Applications; Noyes Publications: Park Ridge, NJ, USA, 1993. 30. Ramadan, Z.; Abdelmotalib, H.M.; Im, I.-T.; Im, I. Modeling of Epitaxial Silicon Growth from the DCS-H2-HCl System in a Large Scale CVD Reactor. IEEE Trans. Semicond. Manuf. 2018, 31, 363–370. [CrossRef] 31. Ramadan, Z.; Im, I.-T. Optimization of Operating Parameters in a Planetary CVD Reactor Using Response Surface Methodology. Silicon 2019, 11, 2067–2074. [CrossRef] 32. Kuijer, T.; Giling, L.; Bloem, J. Gas phase etching of silicon with HCl. J. Cryst. Growth 1974, 22, 29–33. [CrossRef]