UG900 (V2019.2) October 30, 2019 Revision History

Total Page:16

File Type:pdf, Size:1020Kb

UG900 (V2019.2) October 30, 2019 Revision History See all versions of this document Vivado Design Suite User Guide: Logic Simulation UG900 (v2019.2) October 30, 2019 Revision History Revision History The following table shows the revision history for this document. Section Revision Summary 10/30/2019 Version 2019.2 General updates Updated tables 7-2, 7-3, 7-4, A-6, and A-13. Compiling Simulation Libraries Added Riviera/Active-HDL: library.cfg file information. Supported Simulators Added table 1-1. Parsing Design Files, xvhdl and xvlog Updated xvlog Syntax. xelab Updated xelab Command Syntax Options. Appendix C: Universal Verification Methodology Support Added new appendix. 05/22/2019 Version 2019.1 General updates Updated tables 7-2,7-3, and B-2. Functional Coverage Report Generator Added new section. xelab Updated xelab Command Syntax Options. UG900 (v2019.2) October 30, 2019Send Feedback www.xilinx.com Vivado Design Suite User Guide: Logic Simulation 2 Table of Contents Revision History...............................................................................................................2 Chapter 1: Logic Simulation Overview............................................................... 7 Supported Simulators.................................................................................................................7 Simulation Flow .......................................................................................................................... 8 Language and Encryption Support ........................................................................................ 11 Chapter 2: Preparing for Simulation..................................................................12 Using Test Benches and Stimulus Files.................................................................................. 12 Pointing to the Simulator Install Location............................................................................. 13 Compiling Simulation Libraries............................................................................................... 14 Using Xilinx Simulation Libraries.............................................................................................19 Using Simulation Settings........................................................................................................ 28 Adding or Creating Simulation Source Files.......................................................................... 33 Generating a Netlist..................................................................................................................35 Chapter 3: Simulating with Third-Party Simulators................................. 38 Running Simulation Using Third Party Simulators with Vivado IDE................................... 38 Dumping SAIF for Power Analysis...........................................................................................41 Dumping VCD for Power Analysis........................................................................................... 43 Simulating IP..............................................................................................................................44 Using a Custom DO File During an Integrated Simulation Run.......................................... 44 Running Third-Party Simulators in Batch Mode....................................................................47 Chapter 4: Simulating with Vivado Simulator..............................................48 Running the Vivado Simulator.................................................................................................48 Running Functional and Timing Simulation...........................................................................66 Saving Simulation Results........................................................................................................ 68 Distinguishing Between Multiple Simulation Runs...............................................................69 Closing a Simulation................................................................................................................. 69 Adding a Simulation Start-up Script File.................................................................................70 Viewing Simulation Messages................................................................................................. 71 Using the launch_simulation Command................................................................................ 72 UG900 (v2019.2) October 30, 2019Send Feedback www.xilinx.com Vivado Design Suite User Guide: Logic Simulation 3 Re-running the Simulation After Design Changes (relaunch).............................................73 Using the Saved Simulator User Interface Settings..............................................................74 Chapter 5: Analyzing Simulation Waveforms with Vivado Simulator...................................................................................................................... 76 Using Wave Configurations and Windows.............................................................................76 Opening a Previously Saved Simulation Run.........................................................................77 Understanding HDL Objects in Waveform Configurations .................................................78 Customizing the Waveform..................................................................................................... 81 Controlling the Waveform Display ......................................................................................... 87 Organizing Waveforms.............................................................................................................91 Analyzing Waveforms............................................................................................................... 93 Analyzing AXI Interface Transactions..................................................................................... 98 Chapter 6: Debugging a Design with Vivado Simulator....................... 113 Debugging at the Source Level............................................................................................. 113 Forcing Objects to Specific Values.........................................................................................117 Power Analysis Using Vivado Simulator............................................................................... 125 Using the report_drivers Tcl Command................................................................................127 Using the Value Change Dump Feature...............................................................................127 Using the log_wave Tcl Command........................................................................................ 128 Cross Probing Signals in the Object, Wave, and Text Editor Windows.............................130 Chapter 7: Simulating in Batch or Scripted Mode in Vivado Simulator.....................................................................................................................136 Exporting Simulation Files and Scripts................................................................................. 136 Running the Vivado Simulator in Batch Mode.....................................................................142 Elaborating and Generating a Design Snapshot, xelab......................................................144 Simulating the Design Snapshot, xsim.................................................................................155 Example of Running Vivado Simulator in Standalone Mode............................................. 158 Project File (.prj) Syntax..........................................................................................................159 Predefined Macros.................................................................................................................. 160 Library Mapping File (xsim.ini).............................................................................................. 160 Running Simulation Modes....................................................................................................161 Using Tcl Commands and Scripts .........................................................................................164 export_simulation ...................................................................................................................165 export_ip_user_files.................................................................................................................168 UG900 (v2019.2) October 30, 2019Send Feedback www.xilinx.com Vivado Design Suite User Guide: Logic Simulation 4 Appendix A: Compilation, Elaboration, Simulation, Netlist, and Advanced Options..................................................................................................170 Compilation Options...............................................................................................................170 Elaboration Options................................................................................................................ 173 Simulation Options................................................................................................................. 174 Netlist Options.........................................................................................................................177 Advanced Simulation Options............................................................................................... 177 Appendix B: SystemVerilog Support in Vivado Simulator..................
Recommended publications
  • Prostep Ivip CPO Statement Template
    CPO Statement of Mentor Graphics For Questa SIM Date: 17 June, 2015 CPO Statement of Mentor Graphics Following the prerequisites of ProSTEP iViP’s Code of PLM Openness (CPO) IT vendors shall determine and provide a list of their relevant products and the degree of fulfillment as a “CPO Statement” (cf. CPO Chapter 2.8). This CPO Statement refers to: Product Name Questa SIM Product Version Version 10 Contact Ellie Burns [email protected] This CPO Statement was created and published by Mentor Graphics in form of a self-assessment with regard to the CPO. Publication Date of this CPO Statement: 17 June 2015 Content 1 Executive Summary ______________________________________________________________________________ 2 2 Details of Self-Assessment ________________________________________________________________________ 3 2.1 CPO Chapter 2.1: Interoperability ________________________________________________________________ 3 2.2 CPO Chapter 2.2: Infrastructure _________________________________________________________________ 4 2.3 CPO Chapter 2.5: Standards ____________________________________________________________________ 4 2.4 CPO Chapter 2.6: Architecture __________________________________________________________________ 5 2.5 CPO Chapter 2.7: Partnership ___________________________________________________________________ 6 2.5.1 Data Generated by Users ___________________________________________________________________ 6 2.5.2 Partnership Models _______________________________________________________________________ 6 2.5.3 Support of
    [Show full text]
  • Systemverilog
    SystemVerilog ● Industry's first unified HDVL (Hw Description and Verification language (IEEE 1800) ● Major extension of Verilog language (IEEE 1364) ● Targeted primarily at the chip implementation and verification flow ● Improve productivity in the design of large gate-count, IP- based, bus-intensive chips Sources and references 1. Accellera IEEE SystemVerilog page http://www.systemverilog.com/home.html 2. “Using SystemVerilog for FPGA design. A tutorial based on a simple bus system”, Doulos http://www.doulos.com/knowhow/sysverilog/FPGA/ 3. “SystemVerilog for Design groups”, Slides from Doulos training course 4. Various tutorials on SystemVerilog on Doulos website 5. “SystemVerilog for VHDL Users”, Tom Fitzpatrick, Synopsys Principal Technical Specialist, Date04 http://www.systemverilog.com/techpapers/date04_systemverilog.pdf 6. “SystemVerilog, a design and synthesis perspective”, K. Pieper, Synopsys R&D Manager, HDL Compilers 7. Wikipedia Extensions to Verilog ● Improvements for advanced design requirements – Data types – Higher abstraction (user defined types, struct, unions) – Interfaces ● Properties and assertions built in the language – Assertion Based Verification, Design for Verification ● New features for verification – Models and testbenches using object-oriented techniques (class) – Constrained random test generation – Transaction level modeling ● Direct Programming Interface with C/C++/SystemC – Link to system level simulations Data types: logic module counter (input logic clk, ● Nets and Variables reset, ● enable, Net type,
    [Show full text]
  • A Full-System VM-HDL Co-Simulation Framework for Servers with Pcie
    A Full-System VM-HDL Co-Simulation Framework for Servers with PCIe-Connected FPGAs Shenghsun Cho, Mrunal Patel, Han Chen, Michael Ferdman, Peter Milder Stony Brook University ABSTRACT the most common connection choice, due to its wide availability in The need for high-performance and low-power acceleration tech- server systems. Today, the majority of FPGAs in data centers are nologies in servers is driving the adoption of PCIe-connected FPGAs communicating with the host system through PCIe [2, 12]. in datacenter environments. However, the co-development of the Unfortunately, developing applications for PCIe-connected application software, driver, and hardware HDL for server FPGA FPGAs is an extremely slow and painful process. It is challeng- platforms remains one of the fundamental challenges standing in ing to develop and debug the host software and the FPGA hardware the way of wide-scale adoption. The FPGA accelerator development designs at the same time. Moreover, the hardware designs running process is plagued by a lack of comprehensive full-system simu- on the FPGAs provide little to no visibility, and even small changes lation tools, unacceptably slow debug iteration times, and limited to the hardware require hours to go through FPGA synthesis and visibility into the software and hardware at the time of failure. place-and-route. The development process becomes even more diffi- In this work, we develop a framework that pairs a virtual ma- cult when operating system and device driver changes are required. chine and an HDL simulator to enable full-system co-simulation of Changes to any part of the system (the OS kernel, the loadable ker- a server system with a PCIe-connected FPGA.
    [Show full text]
  • Development of Systemc Modules from HDL for System-On-Chip Applications
    University of Tennessee, Knoxville TRACE: Tennessee Research and Creative Exchange Masters Theses Graduate School 8-2004 Development of SystemC Modules from HDL for System-on-Chip Applications Siddhartha Devalapalli University of Tennessee - Knoxville Follow this and additional works at: https://trace.tennessee.edu/utk_gradthes Part of the Electrical and Computer Engineering Commons Recommended Citation Devalapalli, Siddhartha, "Development of SystemC Modules from HDL for System-on-Chip Applications. " Master's Thesis, University of Tennessee, 2004. https://trace.tennessee.edu/utk_gradthes/2119 This Thesis is brought to you for free and open access by the Graduate School at TRACE: Tennessee Research and Creative Exchange. It has been accepted for inclusion in Masters Theses by an authorized administrator of TRACE: Tennessee Research and Creative Exchange. For more information, please contact [email protected]. To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications." I have examined the final electronic copy of this thesis for form and content and recommend that it be accepted in partial fulfillment of the equirr ements for the degree of Master of Science, with a major in Electrical Engineering. Dr. Donald W. Bouldin, Major Professor We have read this thesis and recommend its acceptance: Dr. Gregory D. Peterson, Dr. Chandra Tan Accepted for the Council: Carolyn R. Hodges Vice Provost and Dean of the Graduate School (Original signatures are on file with official studentecor r ds.) To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications".
    [Show full text]
  • Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure
    UNLV Theses, Dissertations, Professional Papers, and Capstones 5-1-2014 Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure Bill Jason Pidlaoan Tomas University of Nevada, Las Vegas Follow this and additional works at: https://digitalscholarship.unlv.edu/thesesdissertations Part of the Computer Engineering Commons, Computer Sciences Commons, and the Electrical and Computer Engineering Commons Repository Citation Tomas, Bill Jason Pidlaoan, "Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure" (2014). UNLV Theses, Dissertations, Professional Papers, and Capstones. 2152. http://dx.doi.org/10.34917/5836171 This Thesis is protected by copyright and/or related rights. It has been brought to you by Digital Scholarship@UNLV with permission from the rights-holder(s). You are free to use this Thesis in any way that is permitted by the copyright and related rights legislation that applies to your use. For other uses you need to obtain permission from the rights-holder(s) directly, unless additional rights are indicated by a Creative Commons license in the record and/ or on the work itself. This Thesis has been accepted for inclusion in UNLV Theses, Dissertations, Professional Papers, and Capstones by an authorized administrator of Digital Scholarship@UNLV. For more information, please contact [email protected]. CO-EMULATION OF SCAN-CHAIN BASED DESIGNS UTILIZING SCE-MI INFRASTRUCTURE By: Bill Jason Pidlaoan Tomas Bachelor‟s Degree of Electrical Engineering Auburn University 2011 A thesis submitted
    [Show full text]
  • Powerplay Power Analysis 8 2013.11.04
    PowerPlay Power Analysis 8 2013.11.04 QII53013 Subscribe Send Feedback The PowerPlay Power Analysis tools allow you to estimate device power consumption accurately. As designs grow larger and process technology continues to shrink, power becomes an increasingly important design consideration. When designing a PCB, you must estimate the power consumption of a device accurately to develop an appropriate power budget, and to design the power supplies, voltage regulators, heat sink, and cooling system. The following figure shows the PowerPlay Power Analysis tools ability to estimate power consumption from early design concept through design implementation. Figure 8-1: PowerPlay Power Analysis From Design Concept Through Design Implementation PowerPlay Early Power Estimator Quartus II PowerPlay Power Analyzer Higher Placement and Simulation Routing Results Results Accuracy Quartus II Design Profile User Input Estimation Design Concept Design Implementation Lower PowerPlay Power Analysis Input For the majority of the designs, the PowerPlay Power Analyzer and the PowerPlay EPE spreadsheet have the following accuracy after the power models are final: • PowerPlay Power Analyzer—±20% from silicon, assuming that the PowerPlay Power Analyzer uses the Value Change Dump File (.vcd) generated toggle rates. • PowerPlay EPE spreadsheet— ±20% from the PowerPlay Power Analyzer results using .vcd generated toggle rates. 90% of EPE designs (using .vcd generated toggle rates exported from PPPA) are within ±30% silicon. The toggle rates are derived using the PowerPlay Power Analyzer with a .vcd file generated from a gate level simulation representative of the system operation. © 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S.
    [Show full text]
  • VHDL Modelling Guidelines Simulation and Documentation Aspects
    Second draft, 23 February 1997 CENELEC TC217/WG2 report 2.14 English version VHDL Modelling Guidelines Simulation and Documentation Aspects This CENELEC Report is under preparation and review by the Technical Committee CENELEC TC 217 Working Group 2. CENELEC members are the national electrotechnical committees of Austria, Belgium, Denmark, Finland, France, Germany, Greece, Iceland, Ireland, Italy, Luxembourg, Netherlands, Norway, Portugal, Spain, Sweden, Switzerland and United Kingdom. CENELEC European Committee for Electrotechnical Standardisation Comité Européen de Normalisation Electrotechnique Europäisches Komitee für Elektrotechnische Normung Central Secretariat: rue de Stassart 35, B-1050 Brussels CENELEC TC217/WG2 report 2.142 Second draft, 23 February 1997 3DJH LQWHQWLRQDOO\ OHIW EODQN Second draft, 23 February 19973 CENELEC TC217/WG2 report 2.14 )25(:25' 7KLV 7HFKQLFDO 5HSRUW LV WKH ILUVW GUDIW RI WKH &(1(/(& 7&:* UHSRUW 7KH UHSRUW LV GHULYHG IURP WKH (XURSHDQ 6SDFH $JHQF\ V (6$©V 9+'/ 0RGHOOLQJ *XLGHOLQHV UHIHUHQFH $6,& LVVXH GDWHG 6HSWHPEHU 7KLV GUDIW KDV EHHQ SUHSDUHG WDNLQJ LQWR DFFRXQW FRPPHQWV IURP &(1(/(& :* PHPEHUV SUHVHQWHG RQ WKH GHGLFDWHG HPDLO UHIOHFWRU 7KH DXWKRU ZRXOG OLNH WR WKDQN DOO FRQWULEXWRUV IRU WKHLU YDOXDEOH LQSXW 7KH (6$ 9+'/ 0RGHOOLQJ *XLGHOLQHV KDYH EHHQ XVHG LQ (6$ GHYHORSPHQW DQG VWXG\ FRQWUDFWV WR HQVXUH KLJKTXDOLW\ PDLQWDLQDEOH 9+'/ PRGHOV 7KH\ KDYH EHHQ SUHSDUHG E\ 3HWHU 6LQDQGHU ZLWK VXSSRUW IURP 6DQGL +DELQF ERWK DW WKH (6$(67(& 0LFURHOHFWURQLFV DQG 7HFKQRORJ\ 6HFWLRQ :60 32 %R[ $* 1RRUGZLMN
    [Show full text]
  • Waveform Editor
    1. Quartus II Simulator QII53017-9.1.0 This chapter describes how to perform different types of simulations with the Quartus II simulator. Introduction With today’s FPGAs becoming faster and more complex, designers face challenges in validating their designs. Simulation verifies the correctness of the design, reducing board testing and debugging time. The Altera® Quartus® II simulator is included in the Quartus II software to assist designers with design verification. The Quartus II simulator has a comprehensive set of features that are covered in the following sections: ■ “Simulation Flow” on page 1–2 ■ “Waveform Editor” on page 1–5 ■ “Simulator Settings” on page 1–13 ■ “Simulation Report” on page 1–16 ■ “Debugging with the Quartus II Simulator” on page 1–19 ■ “Scripting Support” on page 1–21 The Quartus II simulator supports the following device families: ■ ACEX® 1K ■ APEX™ 20KC, APEX 20KE, APEX II ■ Arria® GX ■ Cyclone® III, Cyclone II, Cyclone ■ FLEX® 10K, FLEX 10KA, FLEX 10KE, FLEX 6000 ■ HardCopy® II, HardCopy ■ MAX® II, MAX 3000A, MAX 7000AE, MAX 7000B, MAX 7000S ■ Stratix® III, Stratix II, Stratix, Stratix GX, Stratix II GX 1 The Quartus II simulator does not support newer devices introduced after Stratix III and Quartus II software version 8.1 and onwards. Use the ModelSim-Altera Edition to run simulations on designs targeting device introductions after Stratix III. For more information about the ModelSim-Altera Edition simulator, refer to the Mentor Graphics ModelSim Support chapter in volume 3 of the Quartus II Handbook. In the Quartus II software version 10.0 and onwards, the Quartus II simulator and Waveform Editor is removed.
    [Show full text]
  • VHDL Verification of FPGA Based ESF-CCS for Nuclear Power Plant I&C
    VHDL Verification of FPGA based ESF-CCS for Nuclear Power Plant I&C System Restu MAERANI1, and Jae Cheon JUNG2 1. Department of NPP Engineering, KINGS, Ulsan, 45014, Indonesia ([email protected]) 2. Department of NPP Engineering, KINGS, Ulsan, 45014, Republic of Korea ([email protected]) Abstract: Verification becomes the focus of activities during the integration phase of design life cycle in the development of the system. Verification methods that will not take much cost and time should be properly selected, accordance with the Measurement of Effectiveness (MOEs) need. Verification is one phase that must be done after completing the implementation process. Since Instrumentation & Control (I&C) system has a role as a very crucial to the control protection system in Nuclear Power Plant (NPP), then software verification is very essential and shall to be achieved for safety critical issue in system level. According to IEEE 1076-2008 standard, VHDL is a language that is easy to read by machines and humans; and make it easier for process development, verification, synthesis and testing for hardware reliability in the design. Because this design uses VHDL code for Field Programmable Gate Array (FPGA) based Engineered Safety features – Component Control System (ESF-CCS) and by referring to the NUREG/CR-7006 during VHDL verification on behavioral simulation process, it should be equivalent with the post layout simulation. Furthermore, Vivado will be used as the VHDL verifier, where the VHDL code itself is created, in order to simplify the process of verification with this design life cycle phase on re-engineering process.
    [Show full text]
  • Verification Methodology Minimum • Most Companies Can Justify at Most 1 Re-Spin for New Design Ming-Hwa Wang, Ph.D
    • Success on the first tapeout or reduce the number of re-spin to very Verification Methodology minimum • Most companies can justify at most 1 re-spin for new design Ming-Hwa Wang, Ph.D. (time-to-market/cost: 2 tapeouts) COEN 207 SoC (System-on-Chip) Verification • Most integrations of proven IPs can be done at the first tapeout Department of Computer Engineering • Shorten time to market Santa Clara University Responsibilities Topics Responsibilities and cooperation among 3 teams • Vision and goals • Architecture team responsibility • Strategy • has to make sure the model is a correct executable specification and • Verification environment covers all functionalities of the design • Verification plan and execution strategy • RTL design team responsibility • Automation • Doing RTL implementation according the model or the specifications • Resources • Verification team responsibility • Verify the RTL is the same as the model Vision and Goals model The definition of verification design verification • Verify the RTL implementation is the same as the intention (which is not the same as the golden model or the specifications) Level of Verification • Block-level verification, integration verification, chip-level verification, intension and system-level verification equivalent ? Design vs. Verification implementation • Separation of Design and Verification • Designers do verification on their own design tend to neglect/miss • Verification = infrastructure/methodology + product understanding + non-working cases, or misinterpret the specs monitoring
    [Show full text]
  • Xilinx Development Systems: Product Descriptions, Data Book
    1 Development Systems: Product Descriptions November 25, 1997 (Version 2.0) 12* Development Systems Descriptions It’s simple to order a Xilinx Development System. Just choose a Foundation or Alliance Series and a few options. Give your local Xilinx Sales Office a call for information about our evaluation kits. Foundation Series • Foundation Base System (PC) • Foundation Base-Express System (PC) • Foundation Standard System (PC) • Foundation Express System (PC) Alliance Series • Alliance Base (PC or Workstation) • Alliance Standard (PC or Workstation) Alliance Series Options • VIEWlogic Workview Office Standard Development System Options (PC) November 25, 1997 (Version 2.0) 2-3 Development Systems: Product Descriptions Foundation Series: Foundation Base System (PC) Overview Package Features - Foundation Base The Foundation Series provides a complete, ready-to-use System design system for the design of Xilinx programmable logic FND FND FND FND devices. The Foundation Base System provides design Feature BAS STD BSX EXP entry (schematic and Abel HDL), simulation, and device √√√√ implementation tools for a broad array of FPGA and CPLD CPLD Devices √1 √√1 √ devices targeted for low density and high volume applica- FPGA Devices tions. Libraries and Interface √√√√ Schematic Editor √√√√ System Features HDL Editor √√√√ • Project manager Graphical State Editor √√√√ • Schematic editor ABEL 6 Entry / Synthesis √√√√ • Integrated HDL editor with support for the Abel 6 HDL VHDL Entry / Synthesis √√ • Functional and timing simulator √√ • EDIF, VHDL (VITAL compliant), and Verilog / SDF Verilog Entry / Synthesis √√√√ design interfaces Schematic-centric Synthesis • Device implementation software for Xilinx CPLDs and HDL-centric Synthesis √ FPGAs Simulator √√√√ • Comprehensive on-line help, on-line documentation, Device Implementation √√√√ and software tutorials Maintenance2 √√√√ • Software maintenance, including hotline support and 11/12/97 software updates Notes: 1.
    [Show full text]
  • Open On-Chip Debugger: Openocd User's Guide
    Open On-Chip Debugger: OpenOCD User's Guide for release 0.11.0 7 March 2021 This User's Guide documents release 0.11.0, dated 7 March 2021, of the Open On-Chip Debugger (OpenOCD). • Copyright c 2008 The OpenOCD Project • Copyright c 2007-2008 Spencer Oliver [email protected] • Copyright c 2008-2010 Oyvind Harboe [email protected] • Copyright c 2008 Duane Ellis [email protected] • Copyright c 2009-2010 David Brownell Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled \GNU Free Documentation License". i Short Contents About :::::::::::::::::::::::::::::::::::::::::::::::::: 1 1 OpenOCD Developer Resources :::::::::::::::::::::::::: 3 2 Debug Adapter Hardware ::::::::::::::::::::::::::::::: 5 3 About Jim-Tcl ::::::::::::::::::::::::::::::::::::::: 11 4 Running :::::::::::::::::::::::::::::::::::::::::::: 12 5 OpenOCD Project Setup :::::::::::::::::::::::::::::: 14 6 Config File Guidelines ::::::::::::::::::::::::::::::::: 21 7 Server Configuration :::::::::::::::::::::::::::::::::: 32 8 Debug Adapter Configuration::::::::::::::::::::::::::: 36 9 Reset Configuration::::::::::::::::::::::::::::::::::: 54 10 TAP Declaration ::::::::::::::::::::::::::::::::::::: 59 11 CPU Configuration ::::::::::::::::::::::::::::::::::: 67 12 Flash Commands :::::::::::::::::::::::::::::::::::::
    [Show full text]