Quick viewing(Text Mode)

E 4 Innovationandservice.Pdf

E 4 Innovationandservice.Pdf

2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 37

Focus 2 Innovation and Service

Pioneers in Innovations

Innovation is the driving force behind TSMC's continuous growth. As Innovation Management the leading dedicated IC foundry, the Company attends to feedback from Sustainable Products customers, focuses on research and development, provides the highest standard of information security, and serves customers with leading-edge technology Product Quality and solutions to help them gain a competitive edge in the market through Customer Service high quality, low-power, next generation sustainable products.

5,100 & 8,800 93% >10 billion (NT$) Number of global patent grants exceeded Customer satisfaction rate reached 93%, surpassing 43,005 suggestions proposed by employees and 2,196 5,100, and registered trade secrets over 90% for five consecutive years, demonstrating proposed continual improvement cases, creating a 8,800 TSMC's good relationships with customers benefit of over NT$10 billion 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 38

Innovation Management

2018 Strategies Long-term 2019 Targets Goals Achievements

th Technology Leadership ● 5nm process technology in volume ● Volume ramp-up of industry-leading 7nm process ● Risk production of 5nm, the 5 production technology, the 4th generation technology to make use generation FinFET CMOS platform ☉ of 3D FinFET technology for SoC ● Continuous investment and efforts on Target Year: 2020 leading-edge technology development to ☉ Target: 7nm process technology in risk production maintain TSMC's technology leadership in the industry

Intellectual Property ● Maintain a 5% increase in the number of ● Approved global patent applications >5,100 ● Approved global patent Protection global patent filings each year ☉ Target: >5,100 applications >5,100 ☉ Target Year: 2020 ● Patent protection : TSMC continuously ● updates the Company's patent portfolio to fully Exceed 45,000 in approved global patent protect its R&D achievement through expanding applications its portfolio ☉ Target Year: 2025

● Trade secret protection : TSMC strengthens ● Maintain a 10% increase in the number of ● Registered trade secrets >8,800 ● Registered trade secrets >10,000 the Company's operations and intellectual registered trade secrets ☉ Target: >8,800 property innovation through the registration and ☉ Target Year: 2020 management of trade secrets, which involves ● Exceed 55,000 in registered trade secrets recording and integrating applications for trade ☉ Target Year: 2025 secrets that are competitive advantages for the Company 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 39

Developing a Culture of Innovation Innovative Values to Maintain Corporate Vitality Innovation has been one of TSMC's core values for over 30 years since its establishment, and the Company has actively built a culture of innovation and a work 創新的價值觀 environment encouraging more innovation that is finely tuned to the ever-changing characteristics of the semiconductor industry. In addition to unceasingly develop leading-edge technologies to maintain TSMC's Encouraging Innovative technology leadership in the semiconductor industry, Innovation Approaches TSMC also designed an internal reward mechanism, TSMC hosts an annual Technology Leadership encouraging employees to practice in their work for a Idea Forum competition Intellectual Property Protection wide range of innovation to continuously strengthen covering topics from Intelligent Precision the organization's vitality. Meanwhile, TSMC also Operations, R&D, Quality Manufacturing Innovative assists customers, industry and academic institutions and Reliability, Corporate Green Innovation Cases Collaboration to drive cross-platforms innovation, including Planning Organization, and ● Converting Ammonia product innovations in collaboration with customers, Finance; with suggestions Nitrogen Wastewater into from grassroots, Continual Valuable Industrial-Grade technology talent innovation with academic Improvement Team (CIT) Materials institutions, and "green innovation" with our suppliers. , Total Quality Excellence ● Copper Wastewater Fully and Innovation Conference, Utilized as a Resource Technology Leadership and Tool Energy Saving ● Project Big Green Workshop. ● Utilize Zeolite Rotor TSMC University Collaboration Concentrators Programs TSMC continued to expand its research and ● Most LEED Certified ● TSMC University Research Semiconductor Industry development scale in 2018, and spent 8% of its Center Program Architecture Area in the total annual revenue on research and development, ● TSMC University Shuttle World reaching US$2.85 billion, a 7% increase from 2017. Open Innovation Platform® Program ● Intelligent Chilled Water Collaboration with world-class R&D institutesnote ● IC Layout Course Now the Company has 6,216 employees working on System R&D, a slight increase of 1% from the previous year. Such investment scale in R&D is on par with top tech companies worldwide and even surpasses some of the Company's leading counterparts.

Note TSMC is a core partner of SRC (Semiconductor Research Corporation) and IMEC (Interuniversity Microelectronics Center), the U.S. and Europe's leading semiconductor technology R&D centers, and continues to sponsor the world's top universities in nanotechnology research to drive innovation and advancement in the field of nanoelectronics 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 40

Continued Investment in Research & Development (R&D) Specialty Technologies / Integrated Interconnect & Packaging

● High-volume production of Gen-3 Integrated Fan-Out Package on Package (InFO- 2,479 2,881 3,392 3,901 4,367 4,766 5,123 5,423 6,145 6,216 PoP Gen-3) for mobile application processor packaging 2,850 Advanced Fan-Out ● Successful qualification of the fourth generation InFO-PoP advanced packaging 2,651 Packaging technology (InFO-PoP Gen-4) for mobile applications and Integrated Fan-Out on 2,211 2,067 Substrate (InFO-oS) for HPC applications 1,875 1,621 1,366 1,152 4,860 943 ● Developed unique 90nm BCD (Bipolar-CMOS-DMOS) technology offering leading- 657 Power IC / BCD edge 5-16V power devices and dense logic integration with competitive cost, as Technology the next generation mobile Power Management IC (PMIC) solution

2009 2010 2011 2012 2013 2014 2015 2016 2017 2018

R&D Headcount Embedded Flash ● Stable yield and reliability demonstration of 28nm node eFlash for high R&D Expense (US$ million) Technology performance mobile computing

● Mass production launch of new generation CMOS image sensors with sub-micron CMOS Image Sensor Despite the increasingly complex and difficult the fifth generation technology platform to make use pixel for mobile applications and development of Ge-on-Si sensor for three Technology challenge to continue extending Moore's Law, of 3D FinFET transistors, is on track for risk production dimensional range sensing applications with superior performance TSMC has focused its R&D efforts on enabling the in 2019. TSMC's 3nm technology has entered full Company to continually offer its customers first- development stage, and the definition and intensive to-market, leading-edge technologies and design early development efforts have been progressing for solutions that contribute to their product success. In nodes beyond 3nm. 2018, following the volume ramp-up of the industry In 2018, TSMC maintained strong partnerships with world-class research institutions, including SRC in the U.S. and leading 7nm (N7) technology, the R&D organization In addition to CMOS logic, TSMC conducts R&D on a IMEC in Belgium. TSMC also continued to expand research collaborations with leading universities around the world completed the transfer to manufacturing of 7nm+ wide range of other semiconductor technologies that for two grand purposes: the advancement of semiconductor technologies and the incubation of future talent. (N7+) technology, an enhanced version of N7. At the provide the functionality required by customers for same time, the R&D organization continues to fuel mobile SoC and other applications. the pipeline of technological innovation needed to maintain industry leadership. TSMC's 5nm technology, 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 41

Applications

Leading Technologies and Innovational Achievements First foundry to provide 16nm FinFET Radio Frequency (RF) volume production of sub-6Ghz RF chips for 5G mobile communications

First foundry to begin 22nm Ultra Low Power RF (22nm ULP RF) risk production of ● ● ● Led the industry in Completed the transfer to Completed the transfer 5G millimeter wave (mmWave) RF chips ● Led the industry in volume volume manufacturing of manufacturing of industry- to manufacturing of the manufacturing of 16nm 16FF+ technology, which leading 10nm technology, industry leading 7nm ● N7+ technology entered risk technology, the first operated 40% faster than the 3rd generation of technology, the 4th production, the industry's first integrated technology planar 20nm technology or technology platform to generation of technology commercially available EUV Successfully delivered the world's first CMOS- CMOS Logic platform to make use of 3D consumed 50% less power make use of 3D FinFET to make use of 3D FinFET (extreme ultraviolet) process MEMS (Micro-electromechanical Systems) Technologies FinFET transistors. at the same speed. transistors. transistors. technology. monolithic capacitive barometer to customer. This barometer featured sensitivity to altitude 2014 2015 2016 2017 2018 changes as small as 5cm and fitted in a package of slightly less than 1mm2.

Specialty Technologies / ● TSMC qualified for ● Successfully qualified ● Achieved the world's first ● The world's leading ● Foundry's first under panel Integrated Packaging manufacture a new TSV InFO PoP (Integrated Fan- high-volume production volume production of optical fingerprint sensor (Through-Silicon Via)- Out Package on Package) of InFO PoP for mobile InFO PoP Gen-2 for mobile technology in production InFO PoP technology, which integrated Technologies for based platform in 2014 advanced packaging application processor application processor ● Developed an industry's 7nm SoC (System on Chip) and DRAM for Conductors for fingerprint sensor technology, low cost packaging. packaging unique 90nm BCD technology advanced mobile device applications, began applications, an important solution for mobile ● 0.18μm second ● Launched 0.18μm third offering leading-edge 5-16V volume production in the second quarter. industrial milestone to customers. generation BCD technology generation BCD technology power devices and dense logic integrate TSV with active ● The third generation of resulting in the world's resulting in the leading integration with competitive devices. 0.18μm BCD technology highest performance performance quick charger cost, as the next generation CoWoS® (Chip on on Substrate) ● The first foundry adopted TSMC proprietary quick charger and wireless and wireless charger. mobile Power Management IC technology that heterogeneously integrated to implement GaN device structure which charger ● 40nm high-voltage (PMIC) solution. a 7nm SoC and the second generation high technology in a 6-inch fab boosted world leading phase-2 technology ● Mass production launch of bandwidth memory (HBM2) successfully performance higher. readiness for both LCD new generation CMOS image completed qualification and began ● The first and the only (Liquid-Crystal Display) sensors of sub-micron pixel production for high-performance computing company to offer both and OLED(Organic Light- for mobile applications and for Artificial Intelligence applications, etc. 100V and 650V GaN Emitting Diode) drivers development of Ge-on-Si foundry service in a 6-inch sensor for three dimensional fab range sensing applications with superior performance In addition to CoWoS®, InFO_oS (integrated ● High-volume production fan-out on substrate) technology integrating of InFO-PoP Gen-3 for multiple 16nm SoC chips began production. mobile application processor packaging 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 42

TSMC has long been dedicated to constructing its Intellectual Property Protection intellectual property portfolio. Internally, TSMC has established a diversified reward system to encourage its employees to file their inventions, and the Company Patent Profiling To strengthen TSMC's technology leadership and has also set up a robust patent and IP management ● IP Category Profiling ● protect our advanced and leading-edge technologies, system with a hierarchical review process to balance Patent Profiling by Tiers ● Worldwide Profiling TSMC has established a process to generate company the quantity and quality of patent applications. ● Patent Map Navigation value from intellectual property strategy by aligning Externally, TSMC has built close ties with both intellectual capital management strategy with business domestic and international patent offices through operation objectives and R&D resources. Intellectual technical exchanges, assisting patent examiners property rights protect the Company's freedom to in better understanding the technical content of operate, enhance competitive position, and provide TSMC and consequently accelerating the patent Patent Generation leverage to participate in many profit-generating examination process in order to obtain high quality ● Invention Mining activities. patent protection. In addition, TSMC has assisted the ● Comprehensive Protection government in building a sound and comprehensive ● JDA Patent Productivity ● Patent Protection intellectual property protection system, by providing Patent Strategic Acquisition TSMC's global strategic patent portfolio construction corporate experiences and suggestions on the patent include patent profiling, patent generating, portfolio system and review efficiency. constructing, and portfolio parading. With its review mechanism, reward system, education and training Portfolio Expansion programs, the Company is dedicated to protecting its ● Patent Prosecution research and development results and upholding its ● Patent Optimization industry-leading position. ● Patent Family ● Patent Customization

Objectives

Protect R&D Ensure Portfolio Management Strengthen Establish IP ● Portfolio Review Investment & Freedom Market Reputation in the ● Portfolio Trooping Technology of Business Competitiveness Industry ● Battle-tested Patents Leadership Operation ● Portfolio Enhancement 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 43

● Achievements and Honors Trade Secret Protection TSMC has synchronized the Trade Secret Registration Company conducts prompt investigations and takes System with other internal systems and included it in timely legal measures to protect its trade secrets and Equivalent emphasis on both quality and quantity is Trade secrets are vital to TSMC's competitive the Company's business value assessment to better competitive advantages. the core principle of TSMC's patent management. In advantages, including technology leadership, arrange and manage trade secrets. Management 2018, the number of global patents of the Company manufacturing excellence, and customer trust. The core measures include active monitoring of potential exceeded 34,000, including 19,336 US patent grants. strategy of the Company is to strengthen its corporate trade secret misappropriation or other violations of For more details, please refer to TSMC CSR website: In particular, the number of US patent grants keeps competitiveness rather than protecting specific A Record High of Over 8,800 Trade Secrets Registered law. When suspicious incidents are discovered, the increasing, and the Company has been one of the intellectual assets. In regards to this, TSMC built up the top 10 patent holders in the US for three consecutive Trade Secret Registration and Management System, a years. In Taiwan, the number of the Company's patent comprehensive trade secret management mechanism, grants has also ranked first for three straight years. In in 2013 to record and integrate trade secrets that are terms of patent quality, TSMC has received the highest essential to maintaining the Company's competitive patent approval rate among the top 10 patent holders advantages. in the US in 2018. These fruitful achievements have strengthened TSMC's technology leadership, built up TSMC encourages its employees to keep detailed Detailed online records of invention, Integration with other systems the Company's good reputation in IP protection, and records of inventions, technology innovations, and technology innovation or improvement for maximum synergy ensured TSMC's freedom to operate in the world. improvements in the Trade Secret Registration System, which is a high-standard control area for extremely confidential documents and is supervised by the Company's legal department. In addition, TSMC grants its annual Golden Trade Secret Awards to its employees to recognize and encourage their contributions to Advantages of the the Company. By the end of 2018, TSMC had given Trade Secret Registration and Total 1,063 awards to more than 3,300 employees who Management System had registered trade secrets. Since the establishment Enhancing Competitiveness of the Trade Secret Registration System, the number Enabling prompt collection of Strategic Management of IP + relevant evidence in cases of Portfolio 34,000 of registered trade secrets has been gradually growing misappropriation every year. In 2018 alone, a record-breaking 8,800 trade secrets were registered. 45% 55%

Non–US about US Monitoring and prevention of 15,700 19,300+ trade secret leakage 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 44

Intelligent Precision Manufacturing fabs (Fab Matching) and further strengthening TSMC's dispatching as an example, the production path competitiveness. of each product has been optimized based on the As the leader in the IC foundry industry, manufacturing complexity of its manufacturing environment. As excellence is the cornerstone for TSMC's competitive As of 2018, TSMC boasted a team of nearly 1,000 a result, run time and queue time in the wafer advantages. In 2000, TSMC was the first in the industry IT professionals and 300 machine learning experts. fabrication process have been minimized. For a fab to reach 100% automated manufacturing. In 2011, The team works together on the Company's machine with 4,000 tools and a monthly production capacity of with the increase in advanced technology complexity, learning platform to collect a colossal amount of 300,000 wafers, the cycle time has been shortened to the Company entered the intelligent manufacturing wafer fabrication data and develop innovative analysis 1-1.2 days per mask layer. stage by implementing artificial intelligence (AI) to its techniques to improve and expand the intelligent wafer manufacturing process to improve yield rates manufacturing system with the assistance of high- With its unwavering pursuit of manufacturing and stabilize production capacity. In addition, the performance computing and open source machine excellence, TSMC aims to inject innovation vitality and Company has built a smart manufacturing environment learning software. Currently, TSMC's intelligent momentum to global IC industries through intelligent with intelligent system analysis, which is capable of manufacturing techniques have been applied to smart precision manufacturing, and become a long-term, self-diagnosis and self-reacting. Together with machine scheduling, precision dispatching, people productivity trusted manufacturing technology and production learning and deep learning technologies, production enhancing, equipment productivity optimizing, and capacity provider for its customers. parameters are constantly improved. As a result, TSMC manufacturing process and tool control monitoring. Wafer manufacturing is performed through intelligent systems. constantly optimize cross-fab alignment technique, Engineers and operations focus on high-value monitoring and Taking TSMC's smart scheduling and precision reaching the goal of equivalent level of quality among analysis in the Manufacturing Command Center to ensure efficient and stable fab operation. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 45

Manufacturing Excellence

Enhanced ● Research pool consisting of 1,000 IT experts and 300 machine learning experts Intelligent Pool built 2018 ● Efforts to develop advanced technologies and potential applications through the intelligent system continued

Enhanced Scheduling People Equipment Process & Quality Intelligent System ● Machine learning development platform constructed to accelerate development and Productivity Productivity Tool Control Defense 2017 and expand scale of application Dispatching ● A program to cultivate 300 machine learning experts launched

Cross-field Talent ● Big Data Analytics for Semiconductor Manufacturing Contest held for three consecutive Development Machine Learning Development Platform years in collaboration with MoST and NTHU, with 300 teams from 50 universities 2013-2016 (Domain Knowledge+ Open Source Machine Learning Library) ● Big data courses collaborated and improved together with NTU, NTHU, and NCTU, providing both theoretical and practical teaching in class

Intelligent Manufacturing Intelligent Launched ● Wafer Big Data Infrastructure constructed Manufacturing 2011 Integrated Data Platform High Performance Computing ● Analysis results produced by artificial intelligence introduced to the manufacturing (2011–2018) system

Enhanced Wafer Big Data Infrastructure Automation ● Productivity of employees at mature manufacturing process foundries increased by 2010 10% to 15% annually

Automatic Material Automatic Equipment Automation Dispatching Automation Automation Handling System Launched Manufacturing ● First automated 12-inch GIGAFAB® facilities in the industry built 2000 (2000–2010) ● 100% automated wafer fabrication achieved 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 46

Open Innovation Platform® ® In addition to the announcement of new Cloud Open Innovation Platform® (OIP) TSMC's Open Innovation Platform (OIP) is a alliance solutions, TSMC and OIP ecosystem partners comprehensive design technology infrastructure that continue to provide innovative solutions to the design continuously drives innovation. It encompasses all enablement platforms for advanced technologies of critical IC implementation areas to effectively reduce Customer 5nm, 7nm, 7nm+, 22nm, as well as automotive and Production design barriers and improve customers' first-time silicon wafer level system integration technologies to meet Design success. OIP promotes innovative implementation customer's requirements at various design stages. amongst the semiconductor design community, TSMC's Through the integration of various R&D resources and ecosystem partners, TSMC's Intellectual Property (IP) The deliverables that are collaboration with OIP ecosystem partners, TSMC's , design implementation, process technology and completed with TSMC's validation customers can be more focused on developing backend packaging & testing services. OIP has brought to meet process and quality innovative products efficiently, and successfully roll out continuous innovations over the past ten years to meet requirements • Improve First-time their products with higher quality and lower energy ● the timely demands from the semiconductor industry EDA Tool Silicon Success consumption. ● IP • Shorten Time-to- since its inception in 2008. ● Design Service Market Cycle Time ● Cloud Solution In 2018, on top of the existing EDA Alliance, IP ● Turnkey Solution Alliance, Design Center Alliance (DCA) and Value Chain Aggregator (VCA) , TSMC announced the Cloud OIP Partners of Five Alliances Alliance as TSMC's 5th OIP alliance with inaugural members of Amazon Web Services (AWS) , Cadence, Microsoft Azure, and Synopsys to offer Cloud EDA IP DCA Cloud VCA computing service for semiconductor designs for the Alliance Alliance Alliance Alliance Alliance first time. With the jointly developed OIP Virtual Design Environment (OIP VDE) , it provides a complete system- Provide the most comprehensive on-chip (SoC) design infrastructure for customers to and advanced Information further enhance design productivity and shorten time- ● Process Requirement to-market cycle time by leveraging high performance ● Design Collateral computing power and flexibility within the Cloud services. TSMC Process Technology

TSMC Manufacturing Service For more details, please refer to TSMC CSR website: TSMC Celebrated OIP 10th Anniversary 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 47

TSMC University Programs University Research Center "TSMC established a research center in Elite Camp NTU, providing students with a high-quality TSMC has long been dedicated to cultivating industry- training environment and sucient grants. As academic partnership with universities in Taiwan. Each year, TSMC invites undergraduate students with presidential awards to its annual Elite Camp. The a result, those who are interested in material Since 2013, to further enhance such partnership, Camp has been held for eight consecutive years since 2011, in hopes that the Camp can give students sciences, components, physics, chemistry, or the Company has established four research centers a better understanding of the semiconductor industry and what TSMC Research Centers offer, thus mechanical engineering now have a better in National Chiao Tung University, National Taiwan motivating the students to participate in nanoelectronics research. The ultimate goal is to help these young chance for advanced study." University, National Cheng Kung University, and minds to grow into highly skilled talent sought after by the semiconductor industry. National Tsing Hua University respectively, investing Chee-Wee Liu research funds and encouraging university professors to Professor, Department of , National undertake advanced semiconductor research projects. About 75% of students participating in the Elite Camp showed Taiwan University It is the Company's goal to develop cutting-edge 75% interest in pursuing a PhD in . technologies in semiconductor components, materials, processes, and circuit design through these projects, "When student feels confused about his or her and at the same time incubate research postgraduates. school work and future career, the research In 2018, TSMC funded more than NT$100 million on center is always there to provide support. over 50 research projects, in collaboration with 50 plus faculty members in the fields of Electronic Engineering, I realized that even if I failed, the research Physics, Material Sciences, Chemistry, Chemical Funding for Exceptional Research center would give me a hand and help me Engineering, and Mechanical Engineering. By the end overcome obstacles; thus, I could keep on of 2018, around 160 professors and 2,300 students To foster an environment where students are able to focus on research projects in semiconductor pushing my limits." had joined the research centers, and more than 100 components, materials, processes, and IC design with financial aid, TSMC provides Research Shih-Hao Tsai Assistantship of up to NT$100,000 for undergraduates; NT$120,000 for master's student; and U.S. patent applications had been filed. Undergraduate Honors Program of Nano Science and NT$360,000 for doctoral students. In 2018, a total of NT$17.16 million was awarded to 151 students. Engineering, National Chiao Tung University In addition to Taiwan local universities, TSMC has In addition, to enrich students' and scholars' global exposure, the Company provided grants to 8 also conducted strategic research projects with top professors and students to participate in international conferences such as the International Electron universities around the world, such as Stanford Devices Meeting (IEDM) and Symposia on VLSI Technology and Circuits. University, Massachusetts Institute of Technology, and University of California, Berkeley, with research fields NT$17.16 million covering some of the most advanced and innovative Grants in total of NT$17.16 million awarded to 151 students. technologies in transistors, conductors, , 1,716 For further information, please refer to the official website simulations, and special processes. of TSMC: TSMC's "Elite Camp" Aims to Cultivate Top Talent for the Semiconductor Industry 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 48

University Shuttle Program Technology and Research Applications of University IC Layout Course TSMC has been running its University Shuttle Program Shuttle Program in 2018 In accordance with Moore's Law, integrated circuit manufacturing processes are becoming for over ten years to help cultivate talent "...in the increasingly complex, posing greater challenges to the layout of IC chips. Since 2016, TSMC semiconductor industry." The program provides Process Technologies for University Design Research Applications in Recent Years has worked together with National Taipei University of Technology (NTUT) to offer an 18- complimentary support to professors and students Non-volatile memory (NVM) Automotive Electronics week IC layout course: Design and Practice of Integrated Circuit Layout. As of the end of from top universities around the world, putting their IC 2018, this course has been running for three consecutive years, with 90 students enabled. Microelectromechanical 5G communication technology design on actual chips and validating the application system (MEMS) system performance of their design. By integrating The course combines the strengths of both NTUT and TSMC by integrating theories, research and textbook theories with real work, the Analog signal circuits IoT and energy efficiency technology teaching, and field experience. Engineers from the Layout Design Engineering Division of program nurtures technology talent to drive innovation Digital signal circuits Non-volatile memory - next generation TSMC give in-class lectures and demonstrate circuit design with case studies. In addition, development in the semiconductor industry. memory the Company provides key IC manufacturing processes, layout techniques, and patent resources to students where necessary. In 2018, TSMC supported the implementation of Mixed signal circuits Artificial Intelligence nearly 100 IC designs from our University Programs RF circuit design Biotechnology IC layout is the key step in the IC design process and is also the driving force behind with its fabrication services. A total of 24 top technology innovation. With the efforts of IC layout engineers, customers' designs can fully High-speed data transmission universities around the globe participated, including leverage the competitive advantages of power, performance, and area (PPA) on TSMC's University of California, Berkeley, Massachusetts advanced processes. Institute of Technology, Stanford University, University Featured Projects and Partners of California, Los Angeles, University of Michigan, In addition to the course, TSMC also provides summer internship opportunities and advance National University of Singapore, and four Taiwanese Projects Our Partners offers for talented students. Students with potential and enthusiasm about IC layout design universities where TSMC established collaborative get chances to apply their knowledge in real work. research centers. Fields of research covered topics, Dr. Anantha P. Chandrakasan Artificial Intelligence including 5G communication, Artificial Intelligence, Dean of the School of Engineering, MIT 3D IC integration technology, Internet of Things, Biomedical Applications, and many more. 64 research 5G communication Dr. M.C. Frank Chang papers have been generated through this program, technology, portable President of National Chiao Tung University / which are published in internationally renowned terahertz spectrometer Distinguished Professor of Electrical Engineering, e most distinguishing factor of this course from other electrical engineering journals and conferences, including the IEEE Journal for PM 2.5 gas detection UCLA courses is that the most cutting-edge and dicult manufacturing processes of Solid-State Circuits (JSSC) , International Solid- are taught. I learned to analyze IC designs from the perspectives of IC layout Dr. Ali M. Niknejad State Circuits Conference (ISSCC) , Symposia on VLSI Communications and processes. To be able to integrate in-class experiences with industry Professor of Electrical Engineering and technology and energy Technology and Circuits and Asian Solid-State Circuits Sciences, UC Berkeley / Faculty Director of the applications is a precious experience that you can't learn anywhere else. Conference (ASSCC) . efficiency technology Berkeley Wireless Research Center (BWRC) Chen Buoyao student of the 2nd Design and Practice of Integrated Circuit Layout course. 5G communication Dr. Behzad Razavi (Chen currently serves as a memory layout design engineer at TSMC) technology Professor of Electrical Engineering, UCLA

Note Names are listed in alphabetical order. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 49

Sustainable Products

2018 Strategies Long-term 2019 Targets Goals Achievements

Product Life Cycle ● Complete life cycle assessments on all ● Complete life cycle assessments on Management factory-wide products. all factory-wide products ☉ Target Year: 2019 Based on the Company's comprehensive strategy on product life cycle management, TSMC assesses the environmental and social impacts its products will bring at every state of the life cycle in order to provide customers with products that have low environmental, carbon, and water footprints.

Hazardous Substance ● 100% compliance with legal regulations ● 100% compliance with legal regulations and customer ● 100% compliance with legal Management and customer standards on hazardous- standards on hazardous-substance-free products regulations and customer standards substance-free products ☉ Target: 100% on hazardous-substance-free products Continue to execute projects on the ☉ Target Year: In progress ● 86% completion of substituting all PFOA-related ● 100% completion of substituting all substitution of hazardous raw materials used substancesNote PFOA-related substances. in the manufacturing process. ☉ Target: 100%

● Reduce the use of N-methylpyrrolidone ● 48% reduction in the use of NMPNote ● 70% reduction in the use of NMP. (NMP) by 95%, compared to 2016 ☉ Target: 70% ☉ Target Year: 2020

Note Since the substitute chemicals have been found to affect the yield of certain products, further adjustments and testing are needed. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 50

Striving for a Lower Environmental TSMC Product Life Cycle Environmental and Social Impact Management Footprint by Creating Sustainable TSMC Customers Consumers, Waste Management Products Raw Material Testing and TSMC Wafer Fabs and End Industrial Customers, and Recycling Suppliers Packaging Facilities At TSMC, product life cycle is taken into serious Customers and Other Users Vendors consideration in order to lower a product's environmental footprint, thereby reducing its environmental and social impacts, as well as its energy consumption. Close attention is also given to the assessment and selection of lower hazard substances such that products comply with hazardous- substance-free standards. A drive towards ever- ICT Product Waste advancing manufacturing processes means that TSMC Raw Material Wafer Testing and ICT Product Assembly Management Production Fabrication Packaging Use can assist customers in creating even higher energy- and Sales and Recycling efficient designs for sustainable products that are both innovative and environmentally friendly.

● Greenhouse gas reduction ● Hazardous substance ● Hazardous- ● Energy-efficient ● Pollution control management substance-free products ● Energy and water ● Waste reusability Product Life Cycle Management Environmental products conservation ● Environmental ● Product cycle Impact management systems ● Product life cycle applications that Managemen ● Waste minimization and ● Proper waste assessment of promote global management disposal carbon and water energy efficiency TSMC carefully considers environmental impacts at ● Pollution prevention footprints every stage of the product life cycle. This includes collaboration with customers on product development, the production and transportation of raw materials, ● Occupational safety and health ● Assist customers with ● Increased convenience- ● Occupational and product manufacturing, testing, and packaging. mobile computing of-living due to safety and health ● Labor rights and working hours The Company also strives to set high standards and wireless mobile computing ● Labor rights and communication and wireless throughout the manufacturing process in the areas working hours communication of hazardous substance management, pollution ● Assist customers to Social Impact ● prevention, energy-efficiency, and natural resource Management manufacture micro- Improvement on electrical-mechanical- human health and consumption. In order to lower the environmental, system (MEMS) chips, safety through carbon, and water footprints of semiconductor which are widely used enabling MEMS products throughout their life cycle, TSMC requires in the products to chip innovations improve human health and its application and assists suppliers to take proper steps towards and safety deployment environmental protection. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 51

In 2018, TSMC initiated a project to update product life cycle assessments, carbon footprint and water footprint across all company fabs. Assessments and third-party verification Carbon Footprint of Wafer Products were completed, and the Company received ISO14040, ISO14067 and ISO14046 certifications. Examinations of the product life cycle, carbon footprint, and water footprint of (12-inch wafers) wafer products have shown that more than 70% of major environmental impact comes from wafer fabrication. In the upstream supply chain, environmental impacts mainly stem from raw silicon wafers, chemicals, and gases. In efforts to reduce the environmental footprint of its products, TSMC is continuing to make progress in company-wide reductions of greenhouse gas emissions, energy and water conservation, waste minimization and reusability cycles, and pollution prevention. The Company also actively requires and assists its upstream and downstream supply chain partners to invest in similar initiatives. Chemicals Energy resources and gases 14.50% 63.28% (incl. combustion, Completed Wafer Life Cycle Assessment water, and electricity) (12-inch wafer as an example) Raw Material Manufacturing Transporation

10.21% Climate change Acidification PFC and other 20.04% 79.30% 0.66% 0.66% 25.82% 73.22% 0.95% diffusive 6.70% Unit: kg CO eq Unit: mol H+eq 2 emissions 4.79% Wafers Ozone depletion Terrestrial eutrophication Bulk gas 0.00% 26.14% 71.32% 2.54% Packaging materials 0.02% Unit: kg CFC-11 eq Unit: mol N eq Waste materials Water pollution 0.21% (incl. transport) 0.28% Evaluation of Human toxicity, Freshwater eutrophication cancer effects 0.00% 21.68% 78.22% 0.66% 0.10% Unit: kg P eq Unit: CTUh Water Footprint of Wafer Products Evaluation of Human toxicity, Marine eutrophication (12-inch wafers) non-cancer effects 100% 26.23% 71.72% 2.05% Unit: kg N eq Unit: CTUh Raw material extraction and Particulate matter Freshwater ecotoxicity production 25.68% 73.61% 0.66% 0.70% 29.14% 69.75% 1.11% 27% Unit: kg PM2.5 eq Unit: CTUe

Ionizing radiation HH Land use 42% In-fab direct 20.61% 79.11% 0.66% 0.28% 29.32% 65.74% 4.94% water use Unit: kBq U235 eq Unit: kg C deficit

Ionizing radiation E (interim) Water resource depletion 25.00% 75.00% 81.84% 18.13% 0.03% Unit: CTUe Unit: m3 water eq 31% Photochemical ozone Mineral, fossil & In-fab energy formation 26.12% 71.43% 2.45% ren resource depletion 80.68% 15.91% 3.41% consumption Unit: kg NMVOC eq Unit: kg Sb eq 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 52

Hazardous Substance Hazardous Substance Management Phases Management Research and Production Phase Supplier Management TSMC gives serious consideration to social impacts Development Phase throughout the product life cycle. The Company practices quality occupational health and safety New equipment, new chemical review Incoming material Change management Product hazardous substances management to create a safe and healthy work ● Material Safety Data Sheet (MSDS) quality control ● Material Safety Data Sheet management system environment, and also adheres to standards set by the ● Green procurement specifications ● Acceptance criteria (MSDS) Responsible Business Alliance's (RBA) Code of Conduct ● ● Green procurement ● Environmental, health and safety (EHS) guidelines Product hazardous Third-party inspection report of when interacting with customers and suppliers in order substance testing in specifications product hazardous substances ● Environmental, health and to improve workplace health and safety performance in chemistry laboratories safety (EHS) guidelines the supply chain. In the usage stage of semiconductor Annual inspection of product hazardous products, TSMC is driven to make everyday living more substances convenient and to advance capabilities in the areas of health, safety, and more. Product Hazardous Substance Management is Compliant with or Surpasses International Regulations TSMC has established a management system for hazardous substances in products by promoting and International Regulations / Customer Requirements Description of Legal Compliance receiving QC 080000 Hazardous Substances Process Management (HSPM) certification. Throughout the ● TSMC provides lead-free bumps to customers. A few customers still need trace lead contained bump which is European Union Restriction of Hazardous Substance (EU manufacturing process, design, and development exempted by EU RoHS RoHS) stages, TSMC follows the principles of green design, ● Other EU RoHS restricted substances are not used in TSMC process avoids the use of raw materials containing hazardous substances in manufacturing, and ensures that all Product Halogen Free Requirements ● All TSMC products are compliant products comply with domestic and international regulations, as well as customer standards on Perfluorooctanesulfonic Acid (PFOS), Perfluorooctanoic Acid ● TSMC has totally phased out using PFOS and PFOA, and all products also do not contain these two the restriction of products containing hazardous (PFOA) Restriction in Process substances substances. In the stage of raw materials procurement, EU Registration, Evaluation, Authorization and Restriction TSMC has established a green procurement process. ● All TSMC products are compliant of Chemicals (REACH) Annex XVII This process involves strict reviewing and management over any new raw materials planned to use in the EU REACH Substances of Very High Concern (SVHC) ● All TSMC products are compliant phases of research and development, production, and engineering change. Source inspection from beginning EU Waste Electrical and Electronic Equipment (WEEE) means that all raw materials are in compliance with ● TSMC's products are not final products and this law is not directly applicable Directive TSMC's specifications for restricted hazardous substances. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 53

It is possible that the use of certain raw materials such substances is unavoidable in the manufacturing, by proposing relevant laws and regulations. Prior to TSMC continues to monitor the impact of these containing hazardous substances will be restricted or research, and development processes, a respective 2016, TSMC had already initiated projects to substitute substances on humans and environment, as well as banned in the future. In response, the Company has unit must draft a rigorous EHS protection plan. The PFOA precursors, derivatives, and other related any laws and regulations instituted by other countries. proactively taken measures to assess manufacturing plan then undergoes a stringent review process by the substances. However, evaluations of new substitutes Any countermeasures will be taken as early as possible and chemical substitutes, which are to be gradually internal board to ensure EHS-related risks are as low as have often found that these substances may negatively when needed. TSMC also puts a lot of efforts to reduce implemented via designated multi-year projects. possible. Usage permission is given when the plan has affect product yield during testing. This consequently its use of NMP, a substance commonly used in the been approved by VP-level executives. requires adjustments to processing parameters and industry that has since been recognized to be toxic for TSMC has always upheld its stance towards repeated testing until the issues are resolved. As of reproduction. As of 2018, company-wide use of NMP avoiding or restricting the use substances that are In recent years, perfluoroalkyl substances (PFASs) have the end of 2018, 86% of PFOA related substances has dropped by 48% compared to 2016. carcinogenic, mutagenic, or toxic for production received worldwide attention due to its potentially substitutes have been implemented, with the use of (CMR substances) in its management of substances adverse effects on humans and the environment. all PFOA-related substances expected to be completely used in the manufacturing process. When the use of An increasing number of countries have responded phased out in 2019. In regards to short-chain PFASs,

PFASs Laws and Regulations & TSMC's Responsive Actions

PFOS PFOA PFOA-related substances Short-chain PFASs Stockholm Convention on Persistent Listed by the European Chemicals Listed by the ECHA as a SVHC The EU and the Environmental Organic Pollutants Agency (ECHA) as a substance of very Starting 2015, any products Protection Agency (EPA) of high concern (SVHC) the United States have begun International Laws and Usage restricted in various countries imported into EU that are found to starting 2010 Starting 2013, any products imported contain PFOA-related substances assessing whether the substances Regulations into EU that are found to contain must be disclosed pose any harm PFOA must be disclosed

2010 2013 2014 2015 2016 2017 2018 2019

TSMC's Responsive Action

PFOS PFOA Short-chain PFAS PFOA-related substances 2010 - Completed implementation of 2015 - Completed Starting 2017, monitor 2019 - Expected completion substitutes implementation of substitutes assessments conducted by of substitute implementation, ahead of legal regulations the EU and EPA ahead of legal regulations Conduct research plans for Substitution complete Substitution in progress Substitution under study substitutes 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 54

Help Customers Create Global Simulation Model of Semiconductor Industry R&D and Industrial Electric Energy Consumption Energy-saving Sustainable Products Scenario 1 ISTI Simulation Modeling Analysis If the semiconductor The constant improvement of semiconductor industry halts 1,900 Industrial Electric Energy Consumption=(Industrial Sector manufacturing technology continues to boost the development of R&D and in Taiwan's Scenario 2 If the semiconductor industry develops regularly application 13% advancement of all products. Semiconductor- +Energy Sector Operations) (100 million kWh) industrial electric according to the cumulative rate of R&D and application Scenario 2 energy savings over the past five years and is compared with Scenario 1 containing products are becoming increasingly energy- If the semiconductor 1,700 industry develops (where R&D and application are halted), then by 2025, efficient, and their various smart applications in areas regularly according to the it will potentially lead to industrial electric energy savings cumulative rate of R&D including smart construction, smart manufacturing, and application 10% in Taiwan's of 13% in Taiwan. and smart grids are allowing users to save energy Scenario 3 industrial electric 1,500 Scenario 3 If the semiconductor industry increases the development If the semiconductor energy savings while improving work efficiency. industry increases the on the cumulative rate of R&D and application over development on the the past five years and is compared with Scenario 2, by cumulative rate of R&D and application 2025, it will potentially lead to an additional 10% in 1,300 From 2015 up through 2018, TSMC has continually Taiwan's industrial electric energy savings. 2011 2012 2013 2014 2015 2016 2017 2018 202020192021 2022 2023 collaborated with the Industry, Science and Technology Source : ISTI International Strategy Center (ISTI) of the Industrial Technology Research Institute (ITRI) to research avenues where the advancement and application of Case semiconductor products and techniques can improve Study the energy-efficiency of , communication, Reduce use of NMP and Lower EHS Risks data centers, power plants, and ultimately the whole of Taiwan. Since 2018, TSMC has continuously reduced its use of the harmful substance, also follows best practices on protection to prevent human exposure to the NMP . It has already completed key testing on the manufacturing process of chemicals. The use of NMP is avoided whenever possible during reviews of newly Studies by ISTI have shown that for every 1.0% substitutes and begun implementing these substances at each fab. Because NMP processed chemicals. TSMC will continue to carry out plans to reduce its use of is a substance toxic for reproduction, TSMC ensures that its work environmental NMP. It is expected that by 2020, NMP use will be reduced by 95%. increase in cumulative investments towards research assesment result is far below the limit stipulated in legal restrictions. The Company and development, there is a 0.27- 0.30% decrease in electric energy consumption. An analysis of the total NMP Reduction Milestones amount of national research and development or semiconductor research and development reveals that Recycling and reuse Green Initiate raw material Continue with raw material of waste procurement substitution projects substitution projects ISTI's advancements in product and manufacturing efficacy have contributed to reducing electric energy 1993 2015 2016 2017 2018 2019 2020 consumption in the industrial sector. In 2017, the total value of domestic semiconductor R&D was NT$ 239.9 billion... TSMC's research and development Pioneered to install an independent Listed NMP and other Created and implemented a new liquid Company-wide use Company-wide use of Company-wide use NMP waste liquid collection system. CMR substances as photoresist in the photoresist stripping and of NMP reduced by NMP reduced by of NMP reduced by expenditures accounted for 33.3% - a 6.2% increase Collaborated with suppliers to distill controlled substances cleaning process. 48% 70% 95% since 2016 - thus marking the Company as a key and purify NMP waste liquid into to avoid in the Substituted NMP with ultrapure water production of new spender towards Taiwan's domestic semiconductor industrial grade raw materials for combined with carbon dioxide, effectively general industrial use. materials. reducing the use of NMP. R&D. The diffusion of benefits associated with TSMC's R&D and products has been conducive to 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 55

both increasing the country's energy efficiency and Chip Die Size Cross-Technology Comparison decreasing its consumption of electric energy. Die size is shrinking as line width shrinks

Source : TSMC More Advanced and More Energy- efficient Electronic Products 1 0.48 0.25 0.11 0.063 0.047 0.035 New-generation IC manufacturing technologies make circuit line widths shrink, leading to a smaller IC chip and lower product power consumption. TSMC is consistently first among dedicated foundries to provide next-generation, leading-edge technologies. The Company also provides comprehensive specialty technologies and excellent frontend and backend integration capabilities. These help customers produce 55 nm 40 nm 28 nm 16 / 12FFC 10 nm 7 nm 5 nm more advanced, energy-saving and environmentally friendly products to minimize the environmental impact Note The logic chip / SRAM / IO (Input / Output) ratio, which affects die size and power consumption, was re-aligned. of technology progress. With TSMC's manufacturing Broadcom's industry-leading Tomahawk® 3 Ethernet Switch Family, using TSMC's industry-leading 16nm technologies, customers can unleash their design technology, enables massive scale-out cloud data centers to keep up with the exponential growth in data innovations in a wide range of applications including traffic in the 5G era. (Photo courtesy of Broadcom) Chip Total Power Consumption Cross-Technology computer, communications, consumer, industrial and Comparison other electronic products, significantly contributing to More power is saved as line width shrinks the progress in our modern society. it significantly reduces cost per 100GbE port by 75% and power-per-100GbE port by 40% Source : TSMC compared to existing solutions. It supports high-density and configurable interconnect, One remarkable example is that Broadcom achieved including 32x400GbE, 64x200GbE, and 128x100GbE, for massive scale-out cloud data 1 0.6 0.3 0.07 0.056 0.034 0.022 mass production of its industry-leading 12.8 Tbps centers. It is a key catalyst for next generation cloud networks enabling data center ® Tomahawk 3 Ethernet Switch Family in 2018, using operators to upgrade and scale their network infrastructure in order to keep up with the TSMC's industry-leading and reliable 16nm process exponential growth in data traffic due to booming of IoT devices, content streaming, and technology. artificial intelligence applications in the 5G era.

The Tomahawk® 3 series is the world's highest performing single-chip Ethernet switch family, while

N55LP N40LP N28HPM N16 / 12FFC 10 nm 7 nm 5 nm (1.2V) (1.1V) (0.9V) (0.8V) (0.75V) (0.75V) (0.75V)

Note The logic chip / SRAM / IO (Input / Output) ratio, which affects die size and power consumption, was re-aligned. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 56

Unleash Customers' Chip Unleash Customer's Innovations to Innovations that Enhance Mobility Improve Human Health and Safety and Convenience TSMC continues to enhance or develop innovative CIS (CMOS The rapid growth of smartphones and tablets in recent image sensor) and MEMS (micro electro mechanical systems) years reflects people's strong demand for mobile devices technologies, which are expanding from traditional sensing and high expectation for a convenient life. TSMC is to machine sensing. By combining advantages of traditional committed to unleashing customers' mobile and wireless sensing and machine sensing, new products using TSMC CIS chip innovations and has already made significant and MEMS technologies can be made smaller and faster, contributions. while consuming less power, and bringing people a more convenient, healthy, and safe lifestyle.

Latest Latest Achievement Social Contribution by Achievement TSMC Foundry Services TSMC's industry-leading 7nm FinFET technology entered In 2018, TSMC successfully delivered the world's first CMOS- volume production in the second quarter of 2018. This MEMS (micro-electromechanical systems) monolithic technology supports customers in a wide spectrum of capacitive barometer, which features sensitivity to change applications including mobile devices, game consoles, in altitude as small as 5cm and fits in a package of slightly artificial intelligence, central processing units, graphic less than 1mm2, for various system applications, including processing units and networking devices. In addition, personal activity tracking and indoor navigation. 7nm FinFET technology also set a new company record in terms of production ramp-up speed.

Major Major Breakthorughs Breakthorughs

● New TSMC process technology helps chips achieve ● Extend traditional sensing to machine sensing, such as NIR faster computing speeds in a smaller die area, leading to (near infrared), ultrasound, and micro-actuators, etc. smaller form factors for electronic devices. ● Adopted for consumer electronics, smartphones and other ● TSMC SoC technology integrates more functions into one electronic devices make our lives more convenient chip, reducing the total number of chips in electronic ● Adopted for advanced medical treatments and preventative devices, resulting in a smaller system form factor. health care applications improve human health ● New TSMC process technology helps chips consume less ● Adopted for automotive electronics to improve car safety energy. People can therefore use mobile devices for a systems longer period of time. ● TSMC helps unleash more convenient wireless connectivity such as 3G / 4G and WLAN / Bluetooth, meaning people can communicate more efficiently and "work anytime and anywhere," significantly improving the mobility of modern society. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 57

Product Quality

2018 Strategies Long-term 2019 Targets Goals Achievements

● 43,005 suggestions from grassroots level Quality Culture ● Create an annual benefit of NT$10 billion ☉ Target:41,228 suggestions ● 41,367 suggestions from grassroots level Enhancement from the enhancement programs ● 2,196 continuous improvement cases ● 1,996 continuous improvement cases Promote continuous improvement programs to ☉ Target Year:2025 ☉ Target:1,957 cases ● Attained NT$10.25 billion in combined enhance the internal quality culture ● Encourage 100% local supplier participation ● Attained NT$10.25 billion in combined benefits benefits from CIT and suggestion Encourage local suppliers to participate in the in Taiwan Continuous Improvement from CIT and suggestion programs programs Taiwan Continuous Improvement Competition Competition and 60% advancing to the ☉ Target:NT$10 billion ● 100% of local suppliers participate Note to create a culture of quality and improve the finals ● Local supplier participation reached 94% in Taiwan Continuous Improvement competitiveness of local supply chains ☉ Target Year:2025 ☉ Target:80% Competition

● Increase the monthly productivity of each ● The monthly productivity of each 12-inch wafer ● Increase the monthly productivity of Quality Capability 12-inch wafer visual inspector to 6,125 visual inspector reached 4,928 pieces each 12-inch wafer visual inspector to Improvement pieces per month ☉ Target:4,860 pieces. 5,250 pieces ☉ Target Year:2025 ● Developed the ability to analyze 62% of CMR ● Develop the ability to analyze 77% of Leverage machine learning to construct an outgoing ● Develop the ability to analyze 100% of CMR substances CMR substances visual defect inspection and classification system for substances ☉ Target:49% 12-inch wafers to increase employee productivity ☉ Target Year:2020 Develop hazardous substance analysis capabilities in chemical laboratories to ensure occupational health and safety (OHS)

Quality Application ● Complete reliability qualification and ● Complete reliability qualification for N7+ technology and ● According to research and development Realization process window characterization for the specialty technologies function targets, complete reliability Based on the Company's technology roadmap, most advanced technologies ● Completed integrated Fan-Out (InFO) packaging technology and qualification for 5nm process technology complete reliability qualification for advanced ☉ Target Year:2025 reliability qualification for third generation application processors and specialty technologies and special technologies in design and and integrated passive components development stage ● Completed process development reliability qualification for the second generation Diffractive Optical Element (DOE) , which supports mobile phone 3D induction and facial recognition ☉ Target: Complete reliability qualification for N7+ technology Note The original goal for 2020 of 100% and specialty technologies participation is expected to be reached in 2019. Therefore, the goal was updated with the percentage of finals participation as part of the goal 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 58

Quality is the Key to TSMC's industry quality standards. The Company has cross-functional team carefully reviews the process to Sustainable Operation leveraged information technology to build a rigorous ensure that any changes are risk-free. Failure analyses management and quality control systems for the are also conducted to find effective solutions. In Semiconductors are the soul of electronic products. As processes of design services, technology development, addition to pursuing excellence, TSMC also promotes the world's largest dedicated IC foundry, TSMC leads mask making, wafer fabrication, and back-end services. quality management requirements to its suppliers and the advancement in semiconductor process technology Quality tools used in the automotive industry are subcontractors, leading partners to make continuous and is committed to the improvement of wafer quality applied to carry out primary product quality planning improvement and improve organizational health. and reliability. and quality control in the manufacturing stage, and the 8Ds method is used for continual improvement In order to provide customers with the highest quality to ensure product quality and customer satisfaction. products and services, TSMC has built an IATF 16949 When changes are needed in the operation process, a quality management system in line with automotive

TSMC's Quality Management System

Design Service Tech. Mask Making Wafer Backend Service Development Manufacturing

● IP / Library ● SPICE Model ● Remote Mask DB Check ● Incoming Quality Control ● Subcontractor Quality Development Quality Management ● iTapeOut ● Advanced Process Control Management Assurance ● Process Technology ● eJobView ● EQ Real Time Monitor ● Package Reliability ● Design Kits Deliverables Development ● Mask Defect Inspection ● Process Reliability Monitor Monitor Management Management ● Wafer Acceptance Test ● Outgoing Quality ● Build In Reliability Test ● Outgoing Quality Gating Gating Customer ● Process Release Standard Satisfaction

Quality Tools Potential Failure Statistical Process Measurement Continual Control Plan Application Mode & Effect Analysis Control System Analysis Improvement – 8Ds

Supplier / Subcontractor Quality Change Control Platform Failure Analysis Management 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 59

Continuous Improvement Team Suggestions and Continual Quality Culture Enhancement (CIT) Activities Suggestion Program Improvement Team Activity Benefits

Unit: cases Unit: thousand Unit: NT$ billion At TSMC, quality is the responsibility of each employee and also the principle that everyone should follow when doing his or her work or providing services. 1,906 1,846 1,996 2,020 2,196 46 46 43 42 43 15 11 14 10.1 10 In addition to improving product quality, TSMC also 1,957 38 38 1,844 1,850 38 38 41 aspires to continue increasing customer satisfaction. 1,590 1,617

To strengthen company culture, continuously improve product quality and production efficiency, reduce production costs, and to improve customer satisfaction, 10 10 10 10 10 TSMC promotes a grassroots-level suggestion program and Continuous Improvement Team (CIT) activities throughout the Company. Following the principle of "everyone participates", the Company sets a target for 2014 2015 2016 2017 2018 2014 2015 2016 2017 2018 2014 2015 2016 2017 2018 improvement cases raised by both the suggestion and CIT programs based on employee numbers. TSMC then Suggestion cases (actual) Benefits CIT cases (actual) holds a Total Quality Excellence (TQE) & Innovation Suggestion cases (goal) Goal CIT cases (goal) Conference, a company-wide event to present those improvement cases. The Company provides incentives, including cash bonuses and public recognition at Continuous Improvement Team Activities the conference to encourage employees to strive for excellence, drive cross-team observation and learning, and enhance their innovative and problem-solving ● Quality 52% abilities. These programs greatly contribute to achieving ● Others 5% a win-win situation of maintaining TSMC's competitive ● Services 1% leadership and achieving customer satisfaction. In ● Process Technology 1% ● Delivery Time 1% 2018, there were 43,005 suggestions generated ● Environmental, Health, from the grassroots level and 2,196 from Continuous and Safety (EHS) 3% Improvement Team activities. The benefits generated ● Cost 6% from these two improvement programs reached ● Productivity 31% NT$10.25 billion. Among them, 52% of the continuous improvement activities (1,143 cases) were related to product quality improvement. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 60

Highlight Cases in the 2018 Total Quality Excellence (TQE) & Innovation Conference

Category Case Benefit

Improve the manufacturing process of wiring parts, capacitance parts, and Reduce passive electronic components failure backside capacitances parts of InFO 99.2% rates by 99.2% packaging

Successfully mass produce the world's first 1.0 Improve the bonding process of Hybrid micron copper-copper hybrid-bonded CMOS TSMC participated in 2018 Taiwan Continuous Improvement Competition Bond CIS 91% Quality image sensor with a yield of 91% Improvement In addition to internal cross-function-team learning The Percentage of TSMC Suppliers Develop production technology for 7nm Reduce product-specific defects by 83%, and exchange, TSMC shares its experience with participating in the Taiwan Continuous positive photoresist copper bump 83% leading the technological industry other industries through the Taiwan Continuous Improvement Competition Improvement Competition. The Company hopes to : Apply AI, machine learning and big data Reduce the number of abnormal cases drive the development of other local industries through unit: % experience sharing, and observe the improvement technology to solve abnormal cases of in statistical process control within the 2 26 43 74 94 statistical process control 38.9% processing cycle by 38.9% methods of other industries to enhance the problem- solving and innovation ability of its employees. In 80 2018, TSMC's outstanding performance was awarded Improve 22nm ultra-low power process 60 technology of Random Telegraph Signal Reduce customer chip sizes by 50% with four Gold Awards, one Silver Award and two Best (RTS) in CMOS 50% Improvement and Innovation Awards. 40 Customer In addition to strengthening the culture of quality at Satisfaction Optimize Poly Rs correction algorithm for Enable customer successfully launch the TSMC, the Quality and Reliability function asked local 20 40nm low power process technology 1 world's first anti-noise Bluetooth chip raw material suppliers to participate in the Taiwan 0 Continuous Improvement Competition to continuously enhance performance, to promote a culture of quality 2014 2015 2016 2017 2018 and abilities among them, and to commit to a win- Actual Design and develop the Frame Auto Cleaner 14.6 Save 14.6 metric tons of chemical use annually win situation locally. In 2018, the proportion of TSMC's EHS Goal local raw material suppliers in the Taiwan Continuous Improvement Competition reached 94%, and a Please refer to the company website for relevant information on TSMC Suppliers whom have participated in the Taiwan supplier also won its first Gold Award. Continuous Improvement Competition 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 61

Participating TSMC Cases in 2018 Taiwan Continuous Improvement Competition With the development of semiconductor technology techniques, TSMC strengthens the employee's attitude and changes in product trends, customers keep on pursuingtechnical excellence and exemplary quality, increasing their quality requirements and expectations. and carrying out the belief of "Best Tech, Best Quality, Case Benefit Award The enhancement of employee's quality awareness and the Best for Customers." In 2019, the Quality has become crucial. In 2018, Quality and Reliability and Reliability function will regularly promote the Construct a machine maintenance ● Increased employee productivity by function cooperated with Fab Operation function concept of quality with cases, and add a "Stop & Fix" automatic scheduling system, global Note 49%, with a total benefit of NT$670 and HR function to hold Quality Excellence competition group to the Total Quality Excellence (TQE) scheduling system and an intelligent Gold Award million training courses for the employees at fabs in Taiwan, & Innovation Conference to enhance quality awareness information center Shanghai, and Nanjing. Through concept promotion, and develop skills for employee to prevent quality mindset establishment, cases study, and improvement abnormalities. Total benefit of NT$670 million

● 9 time increase in the production capacity of Note For details of the training course of Quality Excellence, please refer to Talent Development Develop 10nm wafer probe cards and needle implanting Gold Award automatic needle implanters ● 80% reduction of the production cycle of probe head and needle implanting Best Improvement and Innovation Award ● 47% reduction in the cost of each probe card, resulting in a total benefit of NT$389 million

Total benefit of NT$389 million ( ) Develop Near-InfraRed NIR ● 3 time improvement of sensor Gold Award sensor special structure process quantum efficiency; helped customers Best Improvement and technology win the annual new products award Innovation Award

Helped customers win the annual new products award

Improve old piping and ● Reduce the number of abnormal pipeline leakage management system to 0 per season, and reduce the cost of pipeline Gold Award maintenance downtime by NT$9.4 billion

Reduce the cost of pipeline maintenance downtime by NT$9.4 Billion

● 76% improvement of the Defect Wrong Label Rate Improve AI defect image ● 75% shorter defect learning time recognition systems Silver Award ● 46% defect detection time saved, with a total benefit of NT$121 million Total benefit of NT$121 million Automatic Needle Implanter 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 62

defect inspection and classification system for 12-inch in addition to requiring suppliers to declare whether Quality Capability Enhancement wafers was established to refine the consistency of the provided materials contain hazardous substances, visual inspections. In 2018, the productivity of each sampling tests are also carried out to ensure that their 12-inch wafer visual inspector had increased to 4,928 declarations are accurate. In 2018, the Quality and In the process of technology development, it is crucial per month. Reliability function further strengthened the ability of to establish effective measurement methods to reduce chemical laboratories to analyze suspicious substances. variation. In 2018, the Quality and Reliability function The Quality and Reliability function, in collaboration 62% of the substances have been analyzed for CMR collaborated with metrology experts in the R&D with the ESH Division, has classified the current suspect effects. function to propose Hybrid Metrology, an analysis materials by their risk and established a sampling plan strategy for complex three-dimensional nanostructures. for testing. To control and manage new materials, By using a variety of chemical analysis and physical measurement techniques, the demand for nano- and atomic-level feature analysis was fulfilled. Now the Hybrid Metrology analysis method has been applied towards the development of 5nm technology, and The Productivity of Each 12-inch will be extended to the research and development Wafer Visual Inspector Materials tested for CMR substances of 3nm and specialty technologies. The analytical capabilities of 2nm Ultra-thin Film crystal structure has Unit:Wafer Quantity Unit:% been completed, and the productivity of transmission electron microscopes has been enhanced by 15 percent 4,076 4,247 4,441 4,747 4,928 0 2 16 37 62 — enough to support the research and development of 5nm and 7nm technologies. 4,860 4,500 4,325 4,000 4,160 In addition, in order to continue reducing product defects, improve process control, detect anomalies early on, and prevent quality incidents from affecting 49 customers, the Quality and Reliability function and Fab 34 Operation function are collaborating in a joint effort to apply advanced statistical techniques and quality 16 2 tools towards the creation of an immediate defense 0 system. By utilizing machine learning methods and the 2014 2015 2016 2017 2018 2014 2015 2016 2017 2018 use of advanced spectral analysis, differences among processes and equipment were detected, immediately Actual Actual triggering improvement actions. An outgoing visual Goal Goal 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 63

Apart from meeting customer needs, pursuing Case customer satisfaction, and creating customer value, Quality Application Realization Study environmental sustainability should also be taken into consideration with product quality to ensure ecological stability and sustainable development. To conform To provide excellent and reliable product quality, timely First in the World-The Successful Framework Development delivery for customers, ensure the safety of consumers to EU regulations and customer requirements on of an Automatic Cleaning Machine green products, TSMC has adopted the Hazardous and product applications, and prevent mass product Substance Process Management System IECQ QC recalls, the Quality and Reliability function helps To encourage colleagues to continuously improve environmental sustainability, TSMC has added 080000, developed by the Quality Assessment customers to design high-quality products in the the ESH group to the in the Total Quality Excellence (TQE) & Innovation Conference so colleagues System for Electronic Components of International technology development and product design stage. may explore improvement opportunities in daily business and take initiative to propose and Electrotechnical Commission, and integrated it with TSMC has also implemented automotive quality implement countermeasures. the Automotive Quality Management System, IATF improvement projects to meet the requirements of In 2018, the Advanced Packaging Technology and Service function improved the frame cleaning 16949 to establish hazardous substance management low Defect Parts Per Million (DPPM) for automotive customers. operation, which requires the use of large amounts of chemicals, and successfully developed requirements in the stages of design and development, the Frame Auto Cleaner. The cleaner not only replaces chemical substances with pure water, but raw material procurement, supply chain management, also automates the entire process, effectively improving production capacity and yield rate. This In 2018, the Quality and Reliability function and processing control. In 2018, through third- improvement proposal is the first of its kind, and was awarded the TQE gold medal in 2018. party auditing and certification, TSMC ensured that cooperated with the R&D function to complete its hazardous substances management system and quality and reliability qualifications of advanced logic quality management system meet the requirements 7nm-enhanced technology (the third-generation Fin Field-effect ), the third-generation Manually remove the frame label Use a mechanical roller to of IECQ QC 080000 and IATF 16949. Moreover, the Before After application processor and InFO packaging technology with a cutter, clean residue and remove the frame label, tape products produced by TSMC are sampled and tested improvement improvement by a third-party external laboratory and comply with of Integrated Passive Components, and the second- dirt with an acid-base solvent or and dirt, and use pure water EU regulations and customers' requirements. generation Diffractive Optical Element (DOE) of 3D organic solvent for automatic cleaning sensor and facial recognition on mobile phones. Additionally, the Quality and Reliability function has worked with the Fab Operation function to further tighten process controls, wafer acceptance test, and maverick wafer handling of automotive products. With these measures, TSMC did not have any massive product recalls in 2018. Manually clean frames with a cutter Automatic cleaning machine for frames

Saves 14.6 metric tons of Improves productivity and 14.6 chemical use per year 77% yield rate by 77%

Please refer to Quality and Reliability in the TSMC annual report for more details 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 64

Customer Service

2018 Strategies Long-term 2019 Targets Goals Achievements

Precise Response ● Maintain customer satisfaction ratings of ● According to quarterly evaluations, customer service ● Maintain a customer satisfaction over 90%note1 satisfaction ratings reached 74% rating of over 90% Provide excellent customer service through ☉ Target Year: 2025 ☉ Target: >72% close collaboration with customers and customer meetings and surveys on a regular ● Customer satisfaction ratings reached 93% basis to understand and respond to their ☉ Target: >90% requirements and feedback

VirtualVirtual Fab Fab ● In line with TSMC's technology ● In line with TSMC's technology roadmap, TSMC- ● Provide customers with over 750 roadmap, provide customers with over Online provided customers with over 339 types of types of available manufacturing 1,000 types of available manufacturing manufacturing and process technologies and process technologies Provide comprehensive information in a timely technologynote2 ☉ Target: 330 manner to ensure the success of customer's ☉ Target Year: 2025 ● Pass customer product information final products; strengthen processes and ● Provided customers with 701 types of available audit with no major flaws systems to hold the highest standards to ● Pass customer product information manufacturing and process technologies protect customer product information audit with no major flaws ☉ Target: >700 ☉ Target Year: 2025 ● Passed customer product information audit with no major flaws ☉ Target: No flaw

Note 1 Since 2019, the range of customer service satisfaction has been extended from quarterly service satisfaction review and rating to the satisfaction with TSMC.

Note 2 Starting from 2019, types of available manufacturing and processing technology will also include technologies under development. 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 65

Providing Customers the Highest The Customer Service Strategy Pyramid Standard of Service TSMC aims to help customers achieve success and become their trusted business partner. To provide the best service to customers, TSMC has established a customer service team dedicated to both serving as a communication portal and providing world-class Customer trust service in every stage of production, including design, mask making, wafer manufacturing and processing, and testing. TSMC also commits to protecting customers' confidential with the highest standards. Listen and respond to Virtual Fab customer's Precise Response to needs Customer Needs

In order to assess and satisfy the needs of its customers, TSMC conducts quarterly business and Complete & Close technology reviews, as well as annual customer timely collaboration satisfaction surveys with its major customers. information Customer information with Customers can then utilize these channels to provide via protection customer feedback to TSMC on the performance of company TSMC-Online technology, quality, yield rate, design assistance, manufacturing, customer service, and additional expectations for the future. The quarterly review to the enhancement of procedural and information These initiatives have strengthened TSMC's image and consists of six areas: technology, quality, yield rate, transparency in 2018, quarterly reviews show that reputatation with quality excellence. Furthermore, design, manufacturing, and customer service. These 74% of customers were satisfied with TSMC's service in line with its technology roadmap, TSMC now reviews are conducted by both TSMC customer performance — a 3 percentage point increase from provides customers with over 700 types of available service teams and customers. The annual customer the previous year. According to the annual customer manufacturing and processing technology. In an satisfaction survey covers behavior, impression satisfaction survey, TSMC received a high score of ever-changing market, TSMC's close collaboration and execution, and is conducted by neutral third- 93%, thereby maintaining its high-quality ratings of and interaction with customers helps the Company party consulting firms through interviews or online 90% and above. In 2018, TSMC continued to strive greatly satisfy its customers with advanced technology, questionnaires. TSMC considers its customer feedback for product quality excellence by launching a series of manufacturing excellence, and high-quality service. and opinions as the cornerstone to developing a training programs to ensure all employees adhere to good customer relationship. Improvement plans TSMC's values of "Best Technology, Best Quality, and and schedules are reviewed on a regular basis. Due the Best for Customers." 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 66

● Customers' Virtual Fab Design Design Portal ● Reference Flow Collaboration Sets ● Technical Document TSMC- 9,000 Customer trust is one of TSMC's core values, which is of technical files and production design kits Online to assist in customer component design, and also the primary reason why customers choose TSMC improve the integration of product design and as their foundry service provider. The key factors to manufacturingprocess ● iTapeout build customer trust are instant communication and Engineering ● CyberShuttle® Customers information update, and thorough protection of Collaboration ● Engineering Data customer's confidential information. 400,000 People visited TSMC-Online For instant communication and information update, TSMC- Online, a system dedicated to providing design, ● Real-time WIP engineering and integrated logistical services, allows Logistics Downloads ● Order Management 100,000 Collaboration customers to have 24 / 7 access to critical information. ● Integrated Report of technical files and production design kits The system also provides customized statements based on each customer's management focus and needs in order to improve customers' wafer management efficiency. With TSMC-Online, customer has full Types of Technology for Customer Quarterly Business Review (QBR) access to monitor and manage its own product and for Manufacturing Use Customer Service Satisfaction Annual Customer Satisfaction manufacturing information. Based on the online Unit:% Unit:% system, TSMC provides customers with transparent and comprehensive wafer manufacturing and processing 701 services, and assists them to achieve their product 64 70 72 71 74 93 93 95 93 93 success. 638 575 510 To protect customer's confidential information, TSMC 443 promises to guarantee the interests of its customers. To serve as a customer's "virtual fab," TSMC holds 339 317 327 the highest standard to protect its customers by 303 314 implementing a special safety monitoring mechanism throughout the whole production process with annual audits of all control points. 2014 2015 2016 2017 2018 2014 2015 2016 2017 2018 2014 2015 2016 2017 2018 TSMC TSMC-Online 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 67

In response to customer requirements, TSMC TSMC firmly believes the key factors to maintian helped customers received ISO 15408 certification a long-lasting customer satisfaction are constant Case for different types of high-security chip products innovation and high-quality products and services. Study in 2018, which comprises of product certification Good customer satifaction allows TSMC to better and site certification. To avoid any redundancy in retain existing customers, attract new customers, All-in-One Wafer in Process Report the product certification process, TSMC applied and strengthen the customer relationships. That's In 2018, TSMC analyzed reports and information queries on customer's wafer processing for site certification for certain foundries in the also how to generate long-term profits for the through TSMC-Online. These analytical data was then compiled and then successfully launched same year. Foundry certification reprents the Company. In 2018, TSMC utilized 261 types of as the "All-in-One Wafer in Process Report." Compared to the previous process, this new report foundry service with high safety standards in both technology to produce 10,436 products for 481 provides with the completed wafer information from each stage of processing for customers to product and confidential information protection. customers, which contained a total of 10.8 million access, including new product trial run, order reports, and WIP status. In addition, the report is With this cerification, the service provider can 12-inch equivalent wafers. In the future, TSMC well customizable to match each customer's needs. All the useful information provided in this accept manufacturing orders for high-security will continue to be a trusted technology provider, innovative report can be accessed with 50% less clicks, and it takes only 5 minutes to generate products a safe IC prodcut manufacturer. TSMC manufacturer, and reliable business partner in the the customized report compared to several days in the past, which greatly increased overall aims to pass all annual inspections of customer global semiconductor industry. efficiency and convenience to satisfy customer's needs on every stage of production process. products and information protection, enhancing the trust and partnership between TSMC and its customers.

50% Easier Access to Information Days g5 Mins Less Time to Customize Reports

Original Process

Manual Information Collection Wafer Status Wafer WIP Status into (Engineering Goods) Process Reports

Order Sheet Status Tested Wafer Condition

Wafer Status (Mass Production) End Packaging WIP Status

Customer recognition of TSMC's excellence in customer service 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 68

People Management Machine Management Proprietary Information Information Control Protection 專屬資訊保護2018 Paper Document Management Proprietary Computer and Assets Management Information Protection 大管理做法 Proprietary Information Protection IT System and Application Management 民國 107 年 is TSMC's commitment to its Fab Management customers, shareholders and tactics employees. Proprietary information protection plays an important role in maintaining TSMC's leadership other third parties, while information breach risks have Company also continuously enhances its in the semiconductor industry. Strategy and Tactics been mitigated. Additionally, with security risk analysis proprietary information protection capability TSMC intellectual property, trade Proprietary Information Protection (PIP) is one of the by security experts, the potential risks and threats of through planning, execution, verification and secrets and nonpublic proprietary Company's important business strategies. The Company information leakage are identified; the appropriate actions. information are well protected for maintains a Proprietary Information Protection countermeasures and practices are developed to the best interest of employees, Division (PIPD) with a primary focus of defining achieve the goal of effectively protecting confidential In order to strengthen the concept and customers, vendors, shareholders, proprietary information protection and management information at TSMC. awareness of all employees on confidential and TSMC guidelines, including proprietary information labeling information protection, the Proprietary management, access privilege authorization, training Information Protection Division has systematically and compliance to protect TSMC information, TSMC Diverse PIP Training and Promotions assisted employees to understand the Company's subsidiary information and information used between Through continuous education, training and confidential information protection policy in TSMC and any other third parties. With resilient and communication on a regular basis, TSMC keeps 2018. agile improvements and constant communications, the increasing the recognition of confidential information awareness of Proprietary Information Protection has protection among employees and suppliers to ensure been greatly raised among employees, vendors and their compliance with the related regulations. The

continue on next page 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 69

continued from previous page

17 Regulations 9 PIP Micro-Films 95 Points 4 Customer Security Audits Revised 17 Proprietary Produced 9 PIP micro-films to address PIP major 95 points average score for employees PIP Assisted 4 customers to pass security audits Information Protection regulations concepts effectively engagement and achieve certification, ensuring product ● Conducted PIP engagement survey for all information protection during the whole employees: collected over 40,000 surveys with production process Employees PIP Posters over 85% response rate. Survey result shows 46,000 30 significant PIP engagement with 95 points Million Checks Over 46,000 employees have completed Produced 30 PIP promotion posters to raise PIP 3 Proprietary Information Protection annual refresh awareness Fab certified for ISO 15408 e-learning course.Course content: 3 million PIP checks conducted per month, including: ● 2 ● PIP policy and core concepts PIP contrabands ● PIP milestones and new regulations in 2018 F14A and 14B were successfully certified by the ● Fab premises access privilege compliance ● PIP violation case studies and reminders German Federal Office for Information Security ● Proprietary information use ● PIP information channels (BSI) for ISO15408 EAL6 site certification and ● E-mail use qualified to accept security IC orders for higher ● Vendor PIP compliances checks security product manufacturing. 100% 1.1% Over 2,100 newcomers completed Proprietary Information Protection training courses Employee PIP violation rate: 1.1% Cause: individual negligence of failure to comply with PIP procedures. Continuing corrective actions: ● Reinforce PIP promotions and training through 100% multiple channels ● Information access control for assigned Over 25,000 new vendors have completed employees Proprietary Information Protection training ● Document printing, storage and access courses 2018 management Proprietary Information Protection Enforcement Status Note 2018 Proprietary Information Protection applied to TSMC facilities in Taiwan, TSMC (China) and TSMC (Nanjing). 2018 Sustainable Our Focuses Ethical Innovation Responsible Green Inclusive Corporate Social Responsibility Our Business Governance and Progress Management and Service Supply Chain Manufacturing Workplace Common Good Appendix Report 70

TSMC Delivers Unrivalled Manufacturing Flexibility

10,8 million TSMC Europe TSMC Korea Total wafer shipments increased 2.9% from 2017 to reach WaferTech TSMC Japan 10.8 million 12-inch equivalent wafers. TSMC (China) TSMC North America TSMC (Nanjing)

Technologies Customers Products HQ & Fab 12 Fab 2 / 3 / 5 / 8 2016 2016 2016 Fab 15 249 449 9,275 Fab 6 2017 258 2017 465 2017 9,920 Fab 14 2018 261 2018 481 2018 10,436 GIGAFAB® GIGAFAB® GIGAFAB®

Fab 2 Fab 3 Fab 5 Fab 6 Fab 8 TSMC (China) WaferTech Fab 12 Fab 14 Fab 15 TSMC (Nanjing)

● 30 ● 70 ● 23 ● 59 ● 47 ● 45 ● 23 ● 60 ● 69 ● 15 ● 4 ● 77 ● 153 ● 46 ● 139 ● 177 ● 140 ● 31 ● 126 ● 196 ● 107 ● 5 ● 1,204 ● 1,377 ● 291 ● 901 ● 1,731 ● 942 ● 435 ● 1,034 ● 1,823 ● 941 ● 13