<<

ASML Holding NV (NASDAQ: ASML) Recommendation: Long I Current stock price: $651 I 5-year target price: $245 / $1,039 / $1,371 (Bear / Base / Bull) All financial and valuation information is presented in Euro Shradha Mani I sm4843 I [email protected] I April 22, 2021

ASML is a market leader (almost a monopoly) in lithography equipment, an advanced, precision technology which is essential to the manufacture of chips. In turn, power our phones, , automobiles and are basically the foundation of technology as we know it today. Thus, the (customers of ASML) is poised for strong secular growth. “We provide our customers with everything they need – hardware, software and services – to mass produce patterns on silicon, allowing them to increase the value and lower the cost of a chip.”

ASML’s essential position in the semiconductor ecosystem, and its product lines are described below1: . Lithography systems that print the tiny features that form the basis of a microchip with precision. These systems can be new or refurbished. o Extreme Ultraviolet Lithography Systems o Deep Ultraviolet Lithography Systems . Metrology and Inspection Systems measure the quality of patterns on chips and help locate and analyze chip defects . Computational Lithography algorithms optimize the manufacturing process to minimize defects . Customer Support and Service

What does ASML do i.e. how does it earn revenue and who are the company’s customers ?

Revenue disaggregation 2018 2019 2020 Extreme UV lithography systems in sales (in Euro) 1,880 23% 2,800 31% 4,464 43% Deep UV lithography systems in sales (in Euro) 6,040 73% 5,922 66% 5,502 53% Metrology and inspection systems in sales (in Euro) 339 4% 274 3% 350 3% Net system sales (in Euro) 8,259 8,996 10,316 EUV lithography systems in units 18 5% 26 8% 31 8% DUV lithography systems in units 206 61% 203 59% 227 57% Metrology and inspection systems in units 114 34% 115 33% 137 35% Net system sales in units 338 344 395 Asia (in Euro) 8,349 76% 9,523 81% 11,837 85% EMEA (in Euro) 633 6% 317 3% 485 3% USA (in Euro) 1,962 18% 1,980 17% 1,657 12% Total net sales (in Euro) 10,944 11,820 13,979 Net service and field option sales 2,685 2,824 3,662

 ASML earns the bulk of its revenue from the sale of lithography systems (97% of net system sales) to customers located in Asia  ASML manufactures lithography systems (extreme and deep (85% of net system sales). ultraviolet lithography machines), metrology and inspection  Key customers of ASML include , Samsung, and Taiwan systems, and computational lithography systems. Semiconductor Manufacturing Company. Revenue growth projections are, therefore, dependent on growth of end-markets. Key statistics2

Historical financial performance

1 https://www.asml.com/en/products 2 https://www.asml.com/en/investors/financial-calendar/past-events-and-presentations 2016A 2017A 2018A 2019A 2020A ASML has a market cap of Euro 220B / $262B and revenue in 2020 Total Revenue 6,875.1 8,962.7 10,944.0 11,820.0 13,978.5 Growth Over Prior Year 9.3% 30.4% 22.1% 8.0% 18.3% was ~ Euro 14B / $16.6B. ASML is headquartered in Veldhoven in the and was incorporated in 1984. The company has grown Gross Profit 3,145.3 4,020.2 5,029.2 5,279.8 6,797.2 Margin % 45.7% 44.9% 46.0% 44.7% 48.6% revenues at a median rate of ~ 18% over the last 5. The market cap

EBITDA 2,112.8 2,853.4 3,384.4 3,156.8 4,450.0 of ASML is larger than that of AG ($141B), SE ($79B) Margin % 30.7% 31.8% 30.9% 26.7% 31.8% and BMW AG ($57B) combined. The stock performance of ASML EBIT 1,758.5 2,439.7 2,965.3 2,790.8 4,056.9 over the last 5 years is as follows: Margin % 25.6% 27.2% 27.1% 23.6% 29.0%

Earnings from Cont. Ops. 1,557.8 2,066.7 2,591.6 2,592.3 3,553.7 Margin % 22.7% 23.1% 23.7% 21.9% 25.4%

Net Income 1,557.8 2,066.7 2,591.6 2,592.3 3,553.7 Margin % 22.7% 23.1% 23.7% 21.9% 25.4%

Diluted EPS 3.64 4.79 6.08 6.15 8.48 Growth Over Prior Year (2.7%) 31.6% 26.9% 1.2% 37.9%

Return on equity % 15.2% 19.0% 23.1% 21.4% 26.9% Total debt / equity 30.4% 29.7% 27.5% 26.3% 35.0% Total debt / EBITDA 1.59 1.10 0.93 1.05 1.09 EBIT / interest expense 46.3 42.4 70.9 76.3 93.7 PE Ratio 45.2 EV / EBITDA 35.0

Sources of sustainable competitive advantage i.e. investment thesis

1. ASML has a monopoly in an advanced, precision technology that is vital to the accurate and high-volume manufacture of integrated circuits (semiconductor chips). “ASML’s technology is the most difficult to replicate”3. a. There is strengthening of demand for advanced nodes (semiconductor manufacturing processes) across a wide variety of markets such as tele-working and tele-health, smartphones driven by 5G, artificial intelligence, cybersecurity, automotive (electric vehicles, autonomous vehicles, connected cars), solid state drives and servers, and industrial - worldwide semiconductor revenue grew 7.3% in 20204 5. However, currently, the world is facing a semiconductor shortage and … ASML manufactures the equipment necessary for the production of semiconductors. This was also a primary factor in ASML’s strong performance in 2020. Semiconductor market growth drivers6 7 are as follows:

b. Moore’s Law states that the power of computers will roughly double every two years i.e. technology will continue to get smaller, faster, more reliable, while the relative cost goes down, and this has become a golden rule for the electronics industry since 19758. Two options arise to continue pursuing Moore’s law – using the same number of on a chip at half the cost OR by doubling the number of transistors on a chip at the same cost. For this to be possible, chip manufacturers need EUV lithography systems (which uses lower wavelength light – 13.5nm - compared to traditional DUV lithography systems – 365nm, 248nm and 193nm) since the smaller the wavelength, the smaller the structures that can be printed9. ASML is currently the leading manufacturer of these next generation EUV lithography systems competitors like Carl Zeiss, Canon and do not have the scale and the resources to catch up. c. No-one else is making these systems because they are complicated10, expensive, and take years to develop11 i.e. barriers to entry. Further, a semiconductor fabrication plant can take 2+ years and billions of dollars to build. However, ASML’s

3 Quote attributed to New Street Research 4 https://www.asml.com/en/investors/financial-results/q4-2020 5 https://www.gartner.com/en/newsroom/press-releases/2021-01-14-gartner-says-worldwide-semiconductor-revenue-grew-7-percent-in-2020 6 https://www.asm.com/Downloads/2020_Q3_Investor_presentation.pdf 7 https://www.asml.com/en/investors/financial-calendar/investor-days 8 https://www.youtube.com/watch?v=lCbcIFAtB0A 9 ASML 2020 10-K 10 https://www.economist.com/business/2020/02/29/how-asml-became-chipmakings-biggest-monopoly How EUV systems work - Inside a cutting-edge EUV machine, molten tin falls through a chamber at 50,000 droplets per second. A pair of lasers zap every drop, creating a plasma that releases light of the desired wavelength. The mirrors guiding this light, made of sandwiched layers of silicon and molybdenum, are ground so precisely that, if scaled to the size of Germany, they would have no bumps bigger than a millimeter. Because EUV light is absorbed by almost anything, including air, the process must take place in a vacuum. 11 https://www.electronicdesign.com/technologies/embedded-revolution/article/21805342/at-asml-orders-pile-up-for-extreme-ultraviolet-lithography breakthrough innovation shows in the financial results - although EUV devices comprised 8% of sales in units (31 of 393 devices sold in 2020), they accounted for more than 40% of sales revenue. 2. Expanded addressable market, driven by EUV adoption a. The fabrication (fab) equipment market is expected to grow ~10% from 2021 to 202212. 85% of semiconductor capital equipment spend goes toward front-end wafer manufacturing where there are four main component categories - deposition, lithography, etch and clean, and process control13. b. ASML estimates demand for EUV systems to reach 10-20 systems/fab for logic chips and 2-10 systems/fab for memory chips based on the number of structural layers of a chip that can be constructed using EUV14. c. ASML has recently received large orders from key customers, implying increasing uptake and acceptance of EUV lithography technology – SK Hynix aims to spend $4.2B on EUV lithography scanners from ASML over the next 5 years15 and Intel intends to increase its use of EUV in a rearchitected, simplified process flow as it looks to expand and manufacture the majority of its products internally as opposed to outsourcing16. TSMC stated that it would spend $100 billion over the next three years to increase production capacity as demand for semiconductors surges. Further, TSMC ($12B) and Intel ($20B) have both pledged billions of dollars to build semiconductor fabs in Arizona17. d. Therefore, an expanding wafer fab equipment market combined with increasing uptake for EUV results in a potential increase of the serviceable addressable market for ASML.

3. Projected growth and symbiotic relationship with TSMC a. ASML has a history of strong revenue and earnings growth and is projected to continue on this trend, driven by demand from semiconductor manufacturers around the world, and especially TSMC. ASML generated 31% of its revenue from TSMC, which in turn generated 25% of its revenue from its largest customer, Apple. Further, TSMC holds more than half of the overall market for contract manufacturing of semiconductor chips and a contract with Intel is in the works. TSMC expects to spend ~ $25B in making advanced chips in 2021 alone18. b. ASML management estimates the EUV backlog to be valued at EUR 7.4B

Conclusion: The market is undervaluing this opportunity because it does not truly understand the potential of semiconductors and EUV technology. Further, the world is facing a global semiconductor chip shortage and as semiconductors are an essential component of any electronic device, semiconductor manufacturers are keen to ramp up production. As stated by management: Shrink is a key industry driver supporting innovation and providing long term industry growth; holistic lithography enables affordable shrink and therefore delivers compelling value for ASML customers. EUV will enable continuation of Moore’s Law and will drive long- term value for ASML well into this decade.

Who are ASML’s key stakeholders?

Competition – ASML has sustainable competitive advantage: The threat of new entrants in the lithography equipment manufacture market is low because of the capital, gestation period and customer relationship building required. ASML’s high R&D expense serves as a barrier to entry in the industry. ASML is one of two manufacturers of EUV lithography systems (the other company being Canon

12 https://www.asm.com/Downloads/2020_Q3_Investor_presentation.pdf 13 https://seekingalpha.com/article/4233606-overview-of-semiconductor-capital-equipment-industry 14 https://www.asml.com/en/investors/financial-calendar/investor-days 15 https://www.bloomberg.com/news/articles/2021-03-21/data-centers-doubling-is-next-driver-of-chip-demand-hynix-says 16 https://www.intc.com/news-events/press-releases/detail/1451/intel-ceo-pat-gelsinger-announces-idm-2-0-strategy 17 https://www.wsj.com/articles/tsmc-to-invest-100-billion-to-increase-semiconductor-output-11617281721?mod=article_inline 18 https://www.cnbc.com/2021/01/15/tsmcs-2021-capital-spending-plans-could-pressure-earnings-analyst-says.html Inc.) is the market leader, almost a monopoly, with 80%+ market share. This allows it to earn superior ROA, ROC and ROE, which have been steadily growing. Comparison with competition is as follows:

All figures in USD, MN SizeFinancials Valuation Company Market cap EV R&D SG&A Free cash D / Eq EV / Sales EV / EBITDA EV / EBIT P / E ASML NV 263,144 260,133 2,692 659 4,569 35.0x 15.7x 48.2x 52.8x 63.1x Canon Inc 24,555 27,357 2,639 9,491 5,111 2.9x 0.9x 7.4x 24.4x 32.5x Nikon Corp 3,547 1,727 592 1,049 (168) 26.2x 0.4x - - - Median 14,051 14,542 0.7x 7.4x 24.4x 32.5x

All figures in USD, MN Margins Growth Returns Company Gross EBITDA EBIT Net Sales EBITDA EBIT Net income ROA % ROC % ROE % ASML NV 49% 32% 29% 25% 18% 41% 45% 37% 10% 15% 27% Canon Inc 44% 11% 11% 3% -12% -17% -34% -33% 2% 2% 3% Nikon Corp 35% -2% -9% -8% -25% - - - -2% -4% -6% Median 44% 11% 11% 3% -12% 12% 6% 2% Customers – ASML has a long-term, strong, symbiotic relationship with customers: ASML has a small but powerful customer base. Until recently, the top 3 customers (Intel, Samsun and TSMC) held significant equity stakes in ASML to spur innovation through providing adequate capital19 – these stakes implied customers’ commitment to the development and scaling of EUV as a technology. Today, in 2021, EUV technology is ready for high-volume production of semiconductor devices20. Because of long-term contracts with customers (which include service and troubleshooting) and lack of alternatives and substitutes in the precision technology that ASML specializes in, the chances of defection of these customers are low due to the high switching cost. The large installed base also ensures ASML a steady income stream from servicing of equipment. With respect to suppliers, ASML maintains strong, strategic, and symbiotic alliances with key suppliers. For instance, Carl Zeiss is ASML’s sole supplier of optical columns for lithography systems and production and testing for optical columns is limited. Further, ASML is Carl Zeiss’ sole customer for optical columns with ~28% of cost of system sales purchased from Carl Zeiss. In all, ASML has 222 critical suppliers (of strategic importance) and ~4,500 non- critical suppliers.

Management – ASML’s management is committed to sound financial management and capital allocation: The Board of Management as well as the Supervisory Board are committed, long-standing, skilled professionals, having held prior top-level positions in related sectors, which complement their skill sets and current obligations at ASML.

Prior top-level Name Position Date of Joining Specialty position President, Chief Partner at Deloitte Executive Officer and Acocuntants focusing Peter T.F.M. Wennink 1999 Finance Chair of Board of Management industry President, Chief Martin A. van den Technology Officer Technology and 1984 Engineering at ASML Brink and Vice Chair of engineering Board of Management Executive Vice CEO of Deloitte Roger J.M. Dassen President and Chief 2018 Business and finance Holding Financial Officer Various board Executive Vice positions including on Technology and Frits J. van Hout President and Chief 1984 Stichting Continuiteit engineering Strategy Officer BE Semiconductor Industries board Worked for semiconductor Christophe D. Executive Vice Technology and 2008 companies like KLA Fouquet President EUV marketing Tencor and Executive Vice Frédéric J.M. Technology and President and Chief 2009 Alstom Schneider-Maunoury public administration Operations Officer

Remuneration: Management earns a fixed component of remuneration from base salary, a short-term incentive (performance- related cash incentive), long-term incentive (performance-related share-based incentive), share-based compensation, pension and expense reimbursement STI and LTI are determined on the bases of performance measures (set annually and evaluated over a 3- year period) such as financial performance metrics and shareholder return. The relative proportion of fixed vs variable is ~40/60%.

Management has consistently demonstrated strong fiduciary responsibility in capital returns and capital allocation: In 2012, the management created a customer co-investment initiative through which key customers – Intel, TSMC and Samsung – invested Euro ~4B to accelerate ASML’s development of EUV. ASML acquisitions and strategic alliances have included transactions with Cymer (light sources – critical to the EUV manufacturing process) and HMI (wafer inspection for identification of pattern defects). ASML continues to invest heavily in R&D (see Financial Analysis section) i.e. R&D and SG&A expenditure are projected to increase in

19 https://www.barrons.com/articles/intel-follows-samsung-slashes-stake-in-asml-1505476889 20 https://www.researchgate.net/publication/337230814_EUV_Lithography_Technology_for_High-volume_Production_of_Semiconductor_Devices forthcoming quarters, reflecting a commitment to invest in the future, organic growth of the company (Expected R&D and SG&A expenses for Q2 2021 are EUR 650M and EUR 175M respectively compared to EUR 623M and EUR 168M in Q1 2021)

2015A 2016A 2017A 2018A 2019A 2020A Total Asset Turnover 0.5x 0.4x 0.5x 0.6x 0.6x 0.6x Return on Assets % 8.4% 6.6% 8.3% 9.7% 8.2% 10.2% Return on Equity % 18.1% 15.2% 19.0% 23.1% 21.4% 26.9% Retention rate 77.5% 73.0% 75.9% 56.0% 64.0% 100.0% Reinvestment rate 14.1% 11.1% 14.4% 12.9% 13.7% 26.9% Further, ASML’s a timeline of ASML’s corporate history showcases M&A activity and new product launches over the years, demonstrating a history of effective capital management21.

Capital returns: ASML aims to distribute a dividend that will be growing over time and has consistently conducted share buybacks22. In Q1 2021, ASML purchased 3.5M shares under the 2020 through 2022 program for a total amount over EUR 1.6B. Consistent free cash flow generation enable prior and planned share buybacks. ASML does not appear to be returning cash to shareholders at the expense of profitable growth.

2015 2016 2017 2018 2019 2020 Dividends per Share 1.05 1.2 1.4 2.1 2.4 2.75 Payout Ratio % 18.7% 28.6% 25.0% 23.0% 51.1% 30.0% Dividends paid 302 446 517 597 1,326 1,066 Share repurchase 565 400 500 1,146 410 1,208 Total cash return to shareholders 867 846 1,017 1,743 1,736 2,274 Financial leverage and contingencies: The contractual debt obligations of ASML are spread out over the next 5 years and total debt / EBITDA is currently 1.09x

21 Bernstein research dated 19 June, 2018 22 ASML 2020 10-K Investors: ~55% of investors are investment managers. Further, there is an increasing interest in ASML stock by hedge funds23.

% of total shares % of total shares Type Holder (Investment Managers) outstanding outstanding Traditional Investment Managers 55.56 BlackRock, Inc. 8.18 Government Pension Sponsors 3.06 T. Rowe Price Group, Inc. 5.69 Hedge Fund Managers (<5% stake) 0.73 Baillie Gifford & Co. 4.41 Banks/Investment Banks 0.49 Capital Research and Management Company 3.33 Family Offices/Trusts 0.18 The Vanguard Group, Inc. 3.30 Educational/Cultural Endowments 0.04 Insurance Companies 0.01 REITs 0.01 VC/PE Firms (<5% stake) 0.00 Corporate Pension Sponsors 0.00 Unclassified 0.01 Total 60.09

Conclusion: ASML’s sustainable competitive advantage lies in the fact that is has scale and technological superiority over its few competitors, allowing it to earn excess returns on invested capital. The large amounts of capital investment, proprietary nature of technology, and the long gestation period for go-to-market serve as barriers to entry against new entrants and also allows ASML to engage in symbiotic relationships with key suppliers. Further, management has consistently demonstrated strong fiduciary responsibility in capital returns and capital allocation, investing in the future growth of the company as well as ensuring consistent returns to shareholders.

Financial analysis and Valuation (all figures in Euro, MN)

Revenue:

Upside / bull case Base case 1 - steady state growth Base case 2 - customer capex Downside / bear case Revenue disaggregation 2018A 2019A 2020A 2021E 2022E 2023E 2024E 2025E 2021E 2022E 2023E 2024E 2025E 2021E 2022E 2023E 2021E 2022E 2023E 2024E 2025E Extreme UV lithography systems in sales (in Euro) 1,880 2,800 4,464 5,803 6,863 8,116 9,598 11,351 5,322 6,346 7,566 9,021 10,756 4,464 3,928 4,085 4,249 4,419 Deep UV lithography systems in sales (in Euro) 6,040 5,922 5,502 7,153 8,459 10,004 11,831 13,991 6,153 6,880 7,694 8,603 9,620 5,502 4,842 5,036 5,237 5,447 Metrology and inspection systems in sales (in Euro) 339 274 350 455 538 637 753 890 417 497 592 705 840 350 308 320 333 347 Net system sales (in Euro) 8,259 8,996 10,316 13,411 15,860 18,756 22,182 26,232 11,892 13,723 15,852 18,329 21,216 10,316 9,078 9,442 9,819 10,212 EUV lithography systems in units 18 26 31 40 48 56 67 79 37 44 53 63 75 31 27 28 30 31 DUV lithography systems in units 206 203 227 295 349 413 488 577 254 284 317 355 397 227 200 208 216 225 Metrology and inspection systems in units 114 115 137 178 211 249 295 348 163 194 232 276 329 137 121 125 130 136 Net system sales in units 338 344 395 514 607 718 849 1,004 454 522 602 694 800 395 348 362 376 391 Asia (in Euro) 8,349 9,523 11,837 EMEA (in Euro) 633 317 485 USA (in Euro) 1,962 1,980 1,657 Net service and field option sales 2,685 2,824 3,662 4,029 4,764 5,634 6,663 7,880 4,275 4,990 5,825 6,799 7,936 3,662 3,223 3,352 3,486 3,625 Total net sales (in Euro) 10,944 11,820 13,979 17,440 20,624 24,391 28,845 34,112 16,167 18,713 21,676 25,129 29,153 16,227 18,027 19,033 13,979 12,301 12,793 13,305 13,838 Total net sales growth rate 8% 18% 25% 18% 18% 18% 18% 16% 16% 16% 16% 16% 16% 13% 6% 0% -12% 4% 4% 4% . Management forecast revenue to grow by 30% in 2021, driven by strengthening of demand for advanced nodes across industries, with Logic revenue poised to increase by 10%, Memory revenue by 20% and Installed Base revenue by 10%24. I have considered this as an upside / bull case. For 2022 and 2023, I assumed revenue would grow steadily at the median revenue growth rate of the last 3 and 4 years respectively. . For a base case, I assumed a steady state growth rate in volumes for EUV, DUV and Monitoring & Inspection systems, at constant prices (2020 prices). As an alternative base case, I examined the capex incurred by three leading semiconductor manufacturers, who are also key customers of ASML – Intel, Samsung and TSMC – and modelled ASML revenue growth to be in line with these customers’ capex in 2021 and in line with their consensus revenue growth projections for 2022 and 202325. This is because lithography tools comprise a significant portion of chip manufacturers’ capex and the price of an EUV system exceeds $100M26. The results of both base cases are similar. Last 4 yrs Consensus revenue growth rate Capex growth rate 2021E 2022E 2023E Intel 15% -6.31% -0.40% 3.37% Samsung 20% 11.30% 11.09% 5.58% TSMC 14% 14.44% 14.96% 17.27% Average 16% 11% 11% 6% . For a downside / bear case, I assumed that the risks listed above come to fruition and that a macroeconomic environment similar to 2020 would prevail, combined with the 2019 12% decline in the semiconductor industry27 and muted EUV growth, and these trends only begin to reverse in 2023. In the bear case scenario, expenses on R&D and SG&A too are muted.

23 https://www.yahoo.com/entertainment/asml-stock-buy-sell-144259771.html 24 End use manufacturing comprises Logic (72% of net system sales) and Memory (28% of net system sales). Logic - Integrated devices such as , microcontrollers, and GPUs. Also refers to companies that manufacture such devices. Memory - Microchips, such as NAND Flash and DRAM, that store information. Also refers to companies that manufacture such chips. 25 In October 2019, TSMC launched its 7nm+ technology, one of the first commercially available EUV lithography technology products, which will deliver customer 7nm products in high volume. TSMC is planning to dominate the global foundry market by starting the volume production of 3nm products in 2022. In February 2020, Samsung began mass production in its new semiconductor fabrication line in South Korea. The facility is the company's first production line for semiconductors that incorporates EUV lithography technology and produces chips using a process node of 7nm and below - https://www.marketwatch.com/press-release/extreme- ultraviolet-lithography-market-2020-size-share-growth-potential-major-application-industry-basis-of-region-to-2025-2021-02- 01#:~:text=The%20Extreme%20Ultraviolet%20(EUV)%20Lithography,period%20from%202020%20to%202025.&text=%2D%20In%202019%2C%20ASML%20Holding%20NV,known%20as%20High%2DNA%20technology. 26 Morningstar equity research report dated January 20, 2021 – ASML poised for strong growth in 2021 thanks to EUV momentum and memory recovery 27 https://www.gartner.com/en/newsroom/press-releases/2020-04-15-gartner-says-worldwide-semiconductor-revenue-declined-12-percent-in-2019 . Management guidance28: Net sales were EUR4.4B, primarily due to higher installed base (business from software upgrades to increase productivity of systems given high demand for semiconductors) and EUR1B from the sale of 7 EUV systems in Q1. For the systems shipped in Q4 2020 with a new configuration, ASML was able to complete site acceptance and recognized revenue in Q1 2021. Net system sales of EUR3.1 billion was again more weighted towards Logic at 78% with the remaining 22% from Memory. The strength in Logic drives both Deep UV and EUV revenue, the Memory business is mainly driven by DRAM. Gross margin was high driven by the software upgrade business.

Cost of sales and expenses: Cost of sales is projected to grow at 10% per annum i.e. at the same growth rate for 2020. R&D expenses, SG&A expenses and depreciation are projected to maintain the same ratio to revenue as the average for 2018-2020. This is also in line with management guidance.

R&D expenditure: I assumed R&D as a % of sales to stabilize post 2020 at 15% (being the median R&D as a % of sales) and then projected R&D expenditure five years forward. R&D expenditures are posed to increase as ASML continues to invest in lithography technology development (in particular, EUV) in order to ensure continuation of Moore’s Law.

2015A 2016A 2017A 2018A 2019A 2020A 2021E 2022E 2023E 2024E 2025E R & D Exp. 710.2 1,105.8 1,259.7 1,575.9 1,968.5 2,200.8 2,436.68 2,883.31 3,303.42 3,853.10 4,481.85 Growth in R&D exp 56% 14% 25% 25% 12% 11% 18% 15% 17% 16% R&D as a % of sales 11% 16% 14% 14% 17% 16% 15% 15% 15% 15% 15% Sources and uses of cash:

2015A 2016A 2017A 2018A 2019A 2020A Operating income 2,194.60 2,073.95 2,877.34 3,497.23 3,291.43 4,784.64 Add: D&A 421.28 417.86 487.91 494.28 431.65 463.62 Less: Capex 438.50 373.00 399.70 677.00 904.10 1,134.60 Less: Change in working cap 18.51 (465.75) (1,036.54) (56.83) 131.61 626.96 Add: M&A - 3,115.10 - - - 262.80 Free cash flow 2,158.87 5,699.65 4,002.10 3,371.34 2,687.37 3,749.50 Free cash flow margin 29% 70% 38% 26% 19% 23% Cash from operations 2,829.93 1,964.74 2,144.47 3,623.89 3,864.13 5,457.72 Valuation

The base case assumption forecasts a per share value of EUR 865 / USD 1,039 per share over the next 5 years whereas the bear case assumption forecasts EUR 1,142 / EUR 1,371 per share.

Upside / bull caseBase case 1 Downside / bear case 2018A 2019A 2020A 2021E 2022E 2023E 2024E 2025E 2021E 2022E 2023E 2024E 2025E 2021E 2022E 2023E 2024E 2025E Total net sales 10,944 11,820 13,979 17,440 20,624 24,391 28,845 34,112 16,167 18,713 21,676 25,129 29,153 13,979 12,301 13,286 14,349 15,498 Net sales growth 8% 18% 25% 18% 18% 18% 18% 16% 16% 16% 16% 16% 0% -12% 8% 8% 8% Cost of sales 5,915 6,540 7,181 7,885 8,658 9,507 10,439 11,462 7,885 8,658 9,507 10,439 11,462 7,885 8,658 9,507 10,439 11,462 Cost of sales growth 11% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% Gross profit 5,029 5,280 6,797 9,554 11,966 14,884 18,406 22,650 8,282 10,055 12,170 14,690 17,691 6,093 3,643 3,779 3,910 4,036 Gross profit margin 46% 45% 49% 55% 58% 61% 64% 66% 51% 54% 56% 58% 61% 44% 30% 28% 27% 26% Gross profit margin growth 5% 29% 41% 25% 24% 24% 23% 22% 21% 21% 21% 20% -10% -40% 4% 3% 3% R&D costs 1,576 1,969 2,201 2,720 3,217 3,805 4,500 5,321 2,522 2,919 3,381 3,920 4,548 1,090 959 1,036 1,119 1,209 R&D as a % of revenue 14% 17% 16% 16% 16% 16% SG&A costs 488 521 545 742 877 1,037 1,227 1,451 688 796 922 1,069 1,240 297 262 283 305 330 SG&A as a % of revenue 4% 4% 4% 4% 4% 4% EBIT 2,965 2,791 4,052 6,092 7,872 10,041 12,679 15,878 5,072 6,340 7,866 9,701 11,903 4,706 2,422 2,460 2,486 2,497 Depreciation and amortization 419 366 393 566 669 792 936 1,107 525 607 704 816 946 454 454 454 454 454 D&A as a % of revenue 4% 3% 3% 3% 3% 3% EBITDA 3,384 3,157 4,445 6,658 8,541 10,833 13,616 16,985 5,597 6,947 8,570 10,517 12,849 5,159 2,876 2,914 2,940 2,951 EV / EBITDA multiple 28 28 28 28 28 Enterprise value 237,442 472,186 193,129 357,213 82,038 Less: debt 3,059 3,099 4,655 4,655 4,655 4,655 4,655 4,655 Add: Cash 4,063 4,749 7,388 7,388 7,388 7,388 7,388 7,388 Market value of equity 240,175 474,919 195,862 359,947 84,772 No. of shares outstanding 416 416 416 416 416 Value per share 577 1,142 471 865 204 The market value of equity is calculated to be EUR 240,175M / USD 288,286M by 2022 in a bull case scenario. The current market cap of ASML is USD 268B.

Asset Value: ASML’s critical assets comprise its proprietary technology, skilled workforce, and intangible assets like brands, intellectual property, developed technology, customer relationships.

28 Q1-2021 Earnings Call Transcript Asset value calculation In Euro, MN Book value of equity 13,865 As per the balance sheet Reproduction value of PPE is assumed to be original cost. Therefore, the adjustment would be the difference between the original cost and the current Add: adjustments to PPE 2,628 net PPE value Goodwill in the balance sheet of ASML is as a result of two main acquisitions – of Cymer and HMI, as well as that of Berliner Glas. Operations of HMI Less: goodwill 462 and Berliner Glas are to be fully integrated with those of ASML since these acquisitions help ASML get technical capabilities that are critical to secure the future roadmap for ASML’s DUV and EUV products. Therefore, only the goodwill pertaining to Cymer is represented here. Brand value ~ Euro 3,446 (as per independent agencies) Product portfolio ~ Euro 6,602 being the R&D expense of 2020 of Euro 2,200M * 3 years (assumed to be the life of a lithography machine) Add: intangibles 21,438 Customer relationships ~ Euro 10,790 being the SG&A expense of 2020 of Euro 540M * 20 years (the current top 3 customers of ASML have been associated with ASML for the past 10 years - this long term relationship is assumed to continue indefinitely given the lack of competition) Workforce ~ cost to reproduce workforce estimated to be ~ Euro 600M which is 20% of current personnel expenses of ~ Euro 3B Asset value 37,470 Earnings Power Value:

Revenue 13,978.50 Operating margin 0.27 Operating income 3,777.05 Adjustments: Over/under depreciation 158.69 Growth expenses: Marketing (SG&A) 687.68 Product (R&D) 2,521.94 Workforce 7,885.24 Adjusted income 15,030.61 Taxes % 0.12 Sustainable NOPAT 13,252.26 WACC % 0.08 EPV Operating Business 165,653.30 Non operational cash 7,388.20 Debt 4,654.70 EPV Equity 168,386.80 No. of shares outstanding 416.00 Value per share 404.78 Asset value + earnings power value = EUR 205,856M / USD 247,196M. Actual market capitalization as on April 21, 2021 = USD 268.69B

Risks and headwinds

1. The rise of 3D NAND and other emerging technologies29 a. 3D NAND technology also fulfils Moore’s Law (by doubling the number of transistors on a chip at the same cost) by adding vertical structures to add density, lower cost and expand capacity. Other technologies that involve the optimization of circuit or system design through new materials or structures make chips smaller, faster and more efficient. These are as opposed to lithography which is about shrinking transistors horizontally to improve density and speed, and lower cost and power consumption. These technologies do not require more advanced lithography equipment. 2. 5000 suppliers + global supply chains: ASML has ~5,000 suppliers and a sophisticated supply chain for the various parts that go into its machines. Should these suppliers default, ASML could be impacted negatively from these dependencies. The risk of this happening is low. 3. Macroeconomic conditions that hamper the manufacture of semiconductors and the operation of fabs30: In recent years, chip production has been hampered by a plant fire in Japan, freezing weather in southern USA. that shuttered production lines, a drought in Taiwan (a major chip-making hub; large amounts of water are used in the manufacturing process), US- China trade tensions that restrict the supply of chips or chip manufacturing technology to China31. 4. Foreign exchange fluctuations 5. Weaker than expected equipment market

29 Bernstein equity research report dated June 19, 2018 30 https://www.wsj.com/articles/why-the-chip-shortage-is-so-hard-to-overcome-11618844905 31 https://www.reuters.com/article/us-asml-holding-usa-china-insight/trump-administration-pressed-dutch-hard-to-cancel-china-chip-equipment-sale-sources-idUSKBN1Z50HN