<<

Index

Bold font indicates main entry T indicates table

100 , 40, 251 aluminum, 110 silicon, 40, 249 etching, 137, 427 111 silicon, 40, 250 gate, 329 113 silicon, 317 MEMS, 196, 370, 371T 130 nm, CMOS, 340T metallization, 393, 394, 396, 452 1:3:8 etch, 136, 393 polishing, 184 1D, one-dimensional simulation, 30 properties, 61T, 83 2D, two-dimensional simulation, 31 aluminum nitride, 4, 25, 49, 53, 91, 197, 412, 475 2D, two-dimensional growth, 78 aluminum oxide, 4, 49, 53, 375, 475 3D, three-dimensional growth, 78 ammonia-peroxide clean, 145T 3D, three-dimensional simulation, 32 amorphization, 177, 322 4PP, four-point probe, 19, 174, 494 amorphous state, 4, 69, 338, 476 5N (99.999 % purity), 143, 445 silicon, 62, 168, 325, 340 65 nm, CMOS, 340T polymers, 205 7N, (99.99999% purity), 143, 445 anisotropic etching, 130 anisotropic wet etching, 130, 237 Aalto, Alvar, 301 , 325 ablation, 302 contact improvement, 83, 327 absorption, 37T CVD films, 199, 325 abrasive, 182 equipment, 421 accelerometer, 192, 248, 369 forming gas, 332 activation energy, 5, 131, 167, 168, 433 implant damage, 177 adatoms, 78 laser, 303, 421 adhesion, 80, 84, 216, 230, 322, 412 millisecond, 421 adhesion promotion, 103 post-deposition, 77 adhesive bonding, 196, 219 post-oxidation, 157, 420 AES, Auger electron spectroscopy, 23, 80, 85 RTA, 88, 421 AFM, atomic force microscope,COPYRIGHTED17, 187, 238, 305, 395 silicide, MATERIAL 88 ALD, atomic layer deposition, 4, 53, 217, 361, 375, stress tailoring, 325 434, 472, 475 thermal budget, 326 alignment, 104, 319, 389 anodic bonding, 194, 225, 231, 393 bonding 198 APCVD, atmospheric pressure CVD, 433 critical, 319 antireflection coating, 120, 316, 374 design rules, 319 APM, ammonia-peroxide mixture, 145T double side, 390 ARC, antireflection coating, 120, 315 marks, 11, 104, 108 ARDE, aspect ratio dependent etching, 266 alpha-tool, 416 Arrhenius equation, 5, 50, 130, 435

Introduction to , Second Edition Sami Franssila © 2010 John Wiley & Sons, Ltd 506 Index

, AsH3, 179, 446T Bosch process, 258, 266 ashing, same as resist stripping, 111 bottom gate TFT, 340 aspect ratio, 7, 208, 255, 358, 365 bottom coverage, 57, 90 aspect ratio dependent etching, ARDE, 266 boundary layer, 51, 128, 435 atomic clock, 234 bow, 274 atomic force microscope, AFM, 17, 187, 238, 305, 395 BOX, buried oxide in SOI, 276 atomic layer deposition, ALD, 4, 53, 217, 361, 375, BPSG -phosphorous doped silica , 52 434, 472, 475 Braille, 220 Auger electron spectroscopy, AES, 23 breakdown field, 154, 453 autodoping, 73, 80, 85 brush scrubbing, 145 back-end of the line, BEOL, 6, 315, 330 buffered HF, 131 bake, 104, 119, 143, 208 bulk microdefects, BMD, 272 bamboo structure, 453 bulk micromachining, 45, 237, 400 buried layer, 347 BARC, bottom antireflection coating, 120 buried oxide, BOX, 347 barrel reactor, 438 barrier, 84, 361 CA, contact angle, 149 base (of a bipolar transistor), 347 cantilever, 238, 373, 378, 396 batch processing, 409 capacitance, 63, 255, 334, 337, 364, 372, 474 BCB, benzocyclobtadiene, 64T, 205 capacitor, 192, 323, 328, 354, 384 BCP, block co-polymer, 284 capillary electrophoresis, CE, 232 BEOL, back-end of the line, 315, 330 capillary forces, 376 BESOI, Bond-etchback SOI, 275 capping, 402, 404 beta-tool, 416 CAR, chemically amplified resist, 118 BHF, buffered HF, 131T carbon nanotube, 53, 343 BiCMOS, 352 cavity, 279, 400 BioMEMS, 488 CD, compact disc, 211, 303 bipolar transistors, 30, 172, 347, 349T, 490 CD, critical dimension, 17, 125, 138, 479 binary mask, 121 CDI, collector diffusion isolation, 354 bird’s beak, 158 CE, capillary electrophoresis, 232 blanket , 26 channeling, 176 block co-polymer, 284 chemically amplified resist, CAR, 118 BMD, bulk microdefects, 272 chemical mechanical polishing, 183, 360, 364, 383 BOE, buffered oxide etchant, 131T chemical vapor deposition, CVD, 50, 64T, 87, 434 bolometer, 140, 244, 384 chemisorption, 77 bond alignment, 198 chip, 11, 450, 459 bonding, 191, 200T, 399, 402 chip yield, 450, 459 adhesive, 196, 219 chrome, 98 anodic, 194, 231, 393 chromium, 61T, 84, 159, 227 eutectic, 196 cleaning, 143, 185, 324, 419, 439, 473 fusion, 193, 276, 399 cleanroom, 10, 441 glass frit, 200 cluster tool, 412 glass, 230 CMOS, 10, 330 localized, 218 as substrate, 383, 492 metallic, 195 fabrication, 329, 470 polymer, 217 MEMS integration, 398, 490 solder, 246, 401 scaling, 471 solvent, 218 wafer selection, 336 thermal, 217 CMP, chemical mechanical polishing, 183, 360 thermocompression, 195, 394 cMUT, capacitive micromachined ultrasonic transducer, bond strength, 133, 199 399 bonding pad, 61, 326 CNT, carbon nanotube, 53, 343 boron etch stop, 240 cobalt silicide, 83, 88, 472 boron nitride, 82T COC, cyclic olefin copolymer, 205 , 226T coefficient of thermal expansion, CTE, Index 507

anodic bonding, 195 polysilicon, 62, 373 polymers, 206T plasma enhanced, 52 silicon, 37T rate, 434 stresses, 59 reactors, 435 thin films, 61T, 64T, 65 tungsten, 52, 87, 469 cold wall reactor, 419 cycle time, 461 collar, 319 CYTOP, amorphous fluoropolymer, 205 collector, 347 Czochralski silicon, CZ, 36, 317 collimated , 80 comb-drive, 256, 376, 382 combustor, 235 damage, 177 contact angle, 149 damascene, 361 contact, 60, 326, 332, 452 dangling bonds, 157 contact hole, 57, 66, 320, 332, 359 dark field, 98, 110 contact resistance, 339, 452 dark field microscopy, 15 contact lithography, 103, 109 DCS, dichlorosilane SiH2Cl2, 72, 436 contamination, 143, 324, 441 Deal-Grove oxidation model, 154 contrast, 118 deembossing, 215 CoO, cost of ownership, 416 deep trench isolation, DTI, 351 COP, crystal originated particle, 272 deep UV, λ<300 nm, 117 COP, cycloolefic polymer, same as COC, 205 defect copper, crystalline, 44 deposition, 49, 54, 56 density, 450 etching, 135 etching, 131 interfaces, 83 oxide, 454 MEMS, 371, 373, 380, 493 deflection, equation, 198, 392 metallization, 360, 471, 489 demolding, 215 oxidation, 325 denuded zone, DZ, 273 polishing, 184 depth of focus, DOF, 117 resistivity, 61T, 365 design rules, 318 corner effects, 159, 243, 320 desorption, 425 , 427 development (of resist), 106 CoSi2, 83, 88, 471 DF, dark field (mask), 98, 110 cost of ownership, CoO, 416 DHF, dilute HF, 131T critical alignment, 389 diamond, 52, 280, 295, 409 critical dimension, CD, 17, 125, 138, 479 diamond-like carbon, DLC, 53, 80, 280 critical length, 376 diaphragm (membrane), 245, 248, 391 critical lithography, 318 diazonapthoquinine, DNQ, 106, 208 critical point drying, 378 diborane, 62, 86, 438, 446T crucible, 49, 271 die, 11, 455 cryocooler, 233 dichlorosilane SiH2Cl2, 72, 436 cryogenic etching, 258, 428 dicing, 11, 303, 307 crystal originated particle, COP, 272 dielectrics, 48, 63T, 64T, 364 crystal pulling, 36, 272 die yield, 455 , 39, 237 diffusion barrier, 84 c-SOI, cavity SOI, 400 diffusion, 165, 314 CTE, coefficient of thermal expansion, 37T, 59, 61T, diffusivity, thermal, 302, 424 64T, 65, 195, 206T Dill parameters, 118 curl switch, 9 dip pen, 306 CVD, chemical vapor deposition, 50 direct bonding, 191 equipment, 433 direct writing, 93, 299, 301 mechanism, 53 dishing, 185 MEMS, 370 , 44 nitride, 62, 64T display, 375, 463, 488 oxide, 62, 64T disposable mold, 294 508 Index

DIW, de-ionized water, 10, 445 electrospray, 219, 399 DLC, diamond-like carbon, 53, 80 ellipsometry, 17, 64 DNA chip, 233, 234, 322, 485, 487 ELO, epitaxial lateral overgrowth, 76, 473 DNQ, diazonapthoquinine, 107 EM, electromigration, 452 DOF, depth of focus, 117 embossing, 212, 228 dogbone, 319 emissivity, 422 , 38, 165 emitter, bipolar transistor, 347 profile, 22, 168, 171 emitter push, 170 double poly (bipolar), 351 EMPA, electron microprobe analysis, 24 double side processing, 314, 389 end point, 135 double side polished wafers, DSP, 45, 313, 389 energy dispersive X-ray analysis, EDX, 23 down force, 182 energy loss, 174T down-time, 415 EOR, end of range damage, 177 drain, 10, 176, 332, 337 EOT, equivalent oxide thickness, 337 DRAM, dynamic random access memory, 451, epitaxial lateral overgrowth, ELO, 76, 473 474, 479 epitaxial wafers, 275 DRIE, deep reactive etching, 230, 255, , 69, 275, 347, 393, 437, 473 268T, 365, 388T, 493 epoxy, 205 drilling, 308 EPW, ethylene diamine pyrocathecol water, 238, 240T drive-in, 166 equipment, 409 dry cleaning, 146 equipment industry, 460 dry etching, 128, 317 equivalent oxide thickness, EOT, 337 dry oxidation, 153 erosion, 185 drying, 146, 378 ERR, etch rate ratio, same, 127 DSP, double side polished wafers, 45, 313, 389 ESCA, electron spectroscopy for chemical analysis, DTI, deep trench isolation, 351 24 dual damascene, 363 ESH, Environment, safety & health, 179, 445 DUV, deep ultra violet, λ<300 nm, 117 ESI, electrospray ionization, 219, 399 DVD, 211, 476 etchback, 135 DZ, denuded zone, 273 etch gases, 133T EBL, electron beam lithography, 95, 478 mask, 134, 239, 259, 261 EBR, edge bead removal, 107 ECD, electrochemical deposition, 54 mechanism, 133 ECM, electrochemical machining, 309 profile, 128 edge bead removal, EBR, 107 rate, 138, 255 edge exclusion, 11 rate ratio, 127 edge rounding, 43, 276 reactors, 428 EDM, electro discharge machining, 308 residues, 134, 267 EDP, ethylene diamine pyrocathecol, 238, 240T selectivity, 127 EDX, energy dispersive X-ray analysis, 23 stop, 240, 275, 392 EG, extrinsic gettering, 273 etching EGS, electronic grade polysilicon, 35 anisotropic plasma, 130 EPW, ethylene diamine pyrocathecol water, 238, 240T anisotropic wet, 130 ELA, excimer laser annealing, 303 DRIE, 255 electrochemical deposition, ECD, 54 dry, 128 electrochemical etching, 241, 291 isotropic, 130 electrochemical etch stop, 240, 493 plasma, 127 electroless deposition, 55 RIE, 128 electromigration, 452 wet, 127, 227 electron beam lithography, EBL, 95 eutectic bonding, 196 electron projection lithography, EPL, 478 EUVL, extreme ultra violet lithography, 477 electroplating, 54, 380 evaporation, 49, 289, 291 electropolishing, 292 exposure, 96, 105, 108 electronic stopping, 174 exposure field, 116, 477 Index 509

F, feature size, 476, 479 galvanic deposition, 54 FA, furnace annealing, 421 gap fill, 186 fab (IC fabrication facility), 460 GaAs, gallium arsenide, 69, 134 fabless company, 460, 471 gas phase transport coefficient, 434 Fabry-Perot interferometer, 375 gas sensor, 494 failure analysis, 26 gate, 329, 337, 473 fatal defects, 451 gate oxide, 325, 331, 337, 420, 480 FBAR, film bulk acoustic resonator, 87 Gaussian beam profile, 302 F/E, focus/exposure matrix, 117 generation (CMOS node), 12, 479 FEB, focused electron beam, 301 germanium, 70 Fed. standard, 442 getter, 403 FEOL, front-end of the line, 6, 315, 329 gettering, 272 FET, field effect transistor, 10, 31, 32, 334, 329, 473, giant magnetoresistance GMR, 90, 466 478, 490 GLAD, glancing angle deposition, 291 FGA, forming gas anneal, 332 glass, 51, 98, 225, 340 FIB, focussed , 299 glass frit bonding, 200, 404 Fick’s law, 168 temperature, Tg, 106, 206, 210, 218, 226 field oxide, FOX, 331 glass wafers, 227T fingerprint sensor, 493 g-line, λ = 436 nm, 117 flame ionization, 7 global planarization, 186 flash anneal, 421 GMR, giant magnetoresistance, 90, 466 flash memory, 156, 466, 475 gold, 61T, 195, 197, 246, 370, 374, 394, 494 flat (wafer flat), 11, 41, 191, Appendix B grain boundary, 4, 167, 453 flat panel display, FPD, 463 grain size, 79 flatness, 191, 274 grinding, 181, 308 flexible electronics, 341, 491 GST, germanium telluride, 303, 476 float zone silicon, FZ, 39, 317 guard ring, 347 flow/reflow, 326 gyroscope, 258 fluidic, 486 channels, 197, 210, 211, 219, 220, 316, 379 h-line, λ = 405 nm, 108 connector, 399 handle wafer, 276 diode, 221 hard mask, 134 sieve, 204, 316 haze, 44 valve, 209 HBT, heterojunction bipolar transistor, 72, 352 fluoropolymers, 56, 150, 205, 215 HCI, high current implantation, 177 focal plane deviation, FPD, 274 HDD, hard disk drive, 466 focus depth, 116 HDP, high density plasma, 428 focussed ion beam, FIB, 299 HEI, high energy implantation, 177 footprint, 415 HEPA, High Effciency Particulate Air filter, 444 forming gas (N2/H2), 332 hermeticity, 201, 327, 403 , 234 heteroepitaxy, 69 foundry, 460, 471 HfO2, 53, 91, 337, 472, 475 four-point probe, 4PP, 19, 174, 494 Hg-lamp, 105 FOX, field oxide, 331 high-current implanter, HCI, 177 FPD, focal plane deviation, 274 high density plasma, HDP, 428 FPD, flat panel display, 463 high index planes, 40, 238 front-end, 6, 315, 329 high-k dielectric materials, 337 front-side micromachining, 492 high vacuum, 49, 426 FTIR, Fourier-transform infrared spectroscopy, hillock, 455 74, 82 hinged structures, 381 fuel cell, 487 HIPOX, high pressure oxidation, 155 furnace, 154, 410, 419 HKMG, high k, metal gate CMOS, 473 fused silica, 97, 226 HMDS, hexamethyl disilazane, 103 fusion bonding, 193, 276 Hoerni, Jean, 469 FZ, float zone silicon, 39, 317 homoepitaxy, 72 510 Index

horizontal furnace, 154, 420 island growth, 78 hot embossing, 212 ISO standard, 443 hot lot, 461 isopropyl alcohol, IPA, 146, 238, 243 hot plate, 104, 208 isotropy, 130 hot wall reactor, 419 ITO, indium tin oxide, 302, 341, 463 HPM, hydrochloric acid-peroxide mixture, 145T ITOX, internal oxidation, 278 HRTEM, high resolution transmission electron ITRS, International Technology Roadmap for microscope, 15 , 340, 482 HTO, high temperature oxide, 51 HV, high vacuum, 49, 426 junction, 169, 338, 472 hydrochloric acid, 131, 145T hydrofluoric acid, 131T, 145T, 371 hydrogen implantation, 278, 281 Kapton, polyimide, 204 hydrophilic, 143, 149, 193, 400 keV, kiloelectron volt, 173 hydrophobic, 143, 149, 277, 400 Kilby, Jack, 469 killer defect (fatal defect), 451 Knudsen number, 425 IBE, ion beam etching, 138, 289 KOH, potassium hydroxide, 237, 240T, 268T, 293, 388 IC, integrated circuits, 329, 343, 458, 470 ICECREM simulator, 30, 75, 161, 179 ICP, inductively coupled plasma, 428 laminar flow, 441 IDHL, immediately dangerous to health and life, 446 lapping, 41 IG, internal gettering, 273 laser pattern generation, 97 I/I, ion implantation, 173, 277 laser processing, 218, 301, 464 i-line, λ = 365 nm, 117 latex sphere equivalent, LSE, 147 imprinting, 201, 213 lattice constant, 37, 69 impingement rate, 425 layer transfer, 196 in situ monitoring, 413 layout rules, 319 infinite source diffusion, 168 LDD, lightly doped drain, 337 infrared, 82, 208, 399 LER, line edge roughness, 125, 480 ingot, 38 lift-off, 232, 288 injection molding, 211 LIGA, 55, 216 ink jet, 268, 396 light field, 98, 110 ink jetting, 306 lightly doped drain, LDD, 337 indium tin oxide, ITO, 302, 341, 463 limited source diffusion, 169 InP, indium phosphide, 280 line edge roughness, LER, 125, 480 integrated circuits, 329, 343, 458, 470 linewidth, 12T, 18, 21, 106, 109, 116, 117T, 138, 479 integrated passives, 323 liner oxide, 336 integrated processing, 412 Linhard solution, 173 interconnect, 326, 332, 364 lithography, 103, 115, 318, 476 interfaces, 3, 83 block copolymer, 284 interfacial oxide, 83 colloidal, 286 interference, 118, 284 contact, 109 interferometer, 19, 375 direct write, 93 intermetal dielectrics, 85, 357 double sided, 389 International Technology Roadmap for Semiconductors, electron beam, 95 ITRS, 340, 482 electron projection, 478 interstitial diffusion, 167 EUV, 477 interstitialcy diffusion, 167 holographic, 284 ion beam etching, IBE, 138, 289 interferometric, 284 ion cut, 277 ion beam, 299, 478 ion implantation, 173, 277 microcontact printing, 287 ion milling, 138, 289 nanoimprint, 213, 478 ion projection lithography, IPL, 478 optical, 103 IPA, isopropyl alcohol, -propanol, 146, 238, 243 projection, 115 IR, infra red, 82, 208, 399 proximity, 109 Index 511

stereo, 284 microhotplate, 247, 494 UV, 103 microlens, 210, 228 X-ray, 478 microloading effect, 266 load lock, 427 micromirror, 196, 256, 257, 285, 382, 383, 384, 394, loading effect, 265 486 LOCOS, local oxidation of silicon, 157, 330 micron, same as micrometer LOR, lift-off resist, 289 microneedle, 8, 261, 264, 397 lot, 461 microphone, 246, 384, 394, 401 low-k dielectric materials, 363, 364T micropump, 401, 489 low-temperature bonding, 194 microreactor, 191, 233, 250 LPCVD, low-pressure CVD, 52, 62, 371, 433, 436 microrocket, 131 LSE, latex sphere equivalent particle size, 147 microsystems, 1, 387, 462, 486 LTO, low temperature oxide, 51 microturbine, 10 microvalve, 209 magnetic recording, 80, 466 microvoid, same as COP, 272 magnetron sputtering, 428 Miller index, 40 mask, photomask, 97, 123, 318 MIM, metal-insulator-metal capacitor, 354 alignment, 104, 390 MIMIC, micromolding in capillaries, 211 applications, 104, 321 mini-environment, 447 cost, 97, 458 minifab, 461 count, 354, 485 misalignment, 320, 391 defects, 99 miscut, 41, 72, 317 repair, 98, 301 mix-and-match lithography, 318 virtual, 101, 495 ML, monolayer, 53, 426 mask, etch mask, 134, 239, 262, 314 MLM, multilevel metallization, 359 mass spectrometry, 495 mobility, 19, 37T, 168, 340 mass transport limited, 131, 433 MOCVD, Metal Organic CVD, 436 master, 203, 215 modulated photoreflectance, 175 MBE, molecular beam epitaxy, 71, 411 MOEMS, microoptoelectromechanical systems, 1, 256, MC, Monte Carlo simulation, 90, 179 257, 261, 370, 374, 375 MCI, medium current implanter, 177 molding, 203, 209 MCZ, magnetic Czochralski silicon, 39 glass, 228 mean free path, 49, 425 medium-current implanters MCI, 177 injection molding, 211 megasonic cleaning, 145 lost mold, 294 membrane, same as diaphragm, 245, 391 micromolding, 211 memory, 466, 474, 479 replica molding, 209 MEMS, microelectromechanical systems, 1, 387, 462, MOSFET, Metal Oxide Field Effect 486 Transistor metal contamination, 149, 337, 454 devices, 10, 31, 32, 334 metal gate, 473 fabrication, 329, 473 metal micromechanics, 371 MEMS integration, 490 metallic bonding, 195 scaling, 333, 478 metallic thin films, 60 MOVPE, Metal Organic Vapor Phase Epitaxy, 436 metallization, 326, 332, 364 molecular flow, 425 metal-semiconductor contacts, 326, 339 molybdenum, 48T, 51T, 61T, 91 MFP, mean free path, 425 monocrystalline, 4, 35 MGS, metallurgical grade silicon, 36, 465 monolayer, ML, 53, 425 microbridges, 387 Monte Carlo simulation, 179 microchannels, 210, 211, 219, 220, 379 Moore, Gordon, 478 microcontact printing, μCP, 287 Moore’s law, 12, 469 microcrystalline, 5 MRAM, magnetic RAM memory, 476 microelectromechanical systems, MEMS, 1, 387, 462, MTBA, mean time between assists, 415 486 MTBC, mean time between cleans, 415 microfluidics, 486 MTTF, mean time to failure, 415, 453 512 Index

multicrystalline, 5, 465 overetch, 138 Murphy’s yield model, 450 overlay, 108 MW, molecular weight, 203 overplating, 55 overpolishing, 183 NA, numerical aperture, 115, 117 OTS, octadecane trichlorosilane, 56, 307 nanocrystalline, 5 ovonic memory, 476 nanoimprint (lithography) NIL, 213, 478 oxidation, 153, 160 nanolaminate, 91 oxidation enhanced diffusion, OED, 170 nanowire, 159 oxidation sharpening, 160 native oxide, 4, 138, 143, 438 oxide, 160 NEMS, nanoelectromechanical systems, 485 breakdown, 154, 453 negative resist, 93, 106, 109 defects, 157, 454 nested mask, 239, 262 stress, 158 neutron transmutation doping, NTD, 165 thin films, 51, 62, 64T nickel, 55, 61T, 371, 380 oxidized nitrided oxide, ONO, 157, 337 nickel silicide, 88, 472 oxygen precipitate, 272 NiCr, 320, 470 oxynitride, 52, 86, 325, 332 NiFe, 54 ozone, 112, 146, 148 NIL, nanoimprint lithography, 213, 478 NiSi, 88, 472 PAB, post apply bake, prebake, 104 NIST, National Institute of Standards and Technology, PAC, photoactive compound, 106 26 packaging, 200, 327, 401, 452 nitride thin films, 62, 64T, 239, 371, 387 PACVD, plasma assisted CVD, same as PECVD, 52 NO, nitrided oxide, 157, 337 pad, bonding pad, 61, 326 node, CMOS technology generation, 12, 479 pad, polishing pad, 182 non-conformal step coverage, 57 PAG, photoacid generator, 118 non-critical lithography, 318 palladium, 250, 493 non-uniformity, 25 PANI, polyaniline, 206, 342 non-volatile memory, NVM, 475 parabolic growth, 155 novolak resist, 106, 208 particle contamination, 146, 147T, 196, 279, 442 Noyce, Robert, 469 parylene, 65, 205, 206T, 371T, 379, 398 nozzle, 261, 263 passivation, 63, 66, 327, 332 NSOM, near-field scanning optical microscope, 15 pattern density effects, 183, 265 nuclear stopping, 173 pattern generation, 93, 284 nucleation, 78 PC, polycarbonate, 204 numerical aperture, NA, 115, 117 PCB, printed circuit board, 283 NVM, non-volatile memory, 156, 475 PCM, phase change memory, 476 PCR, polymerase chain reaction, 233, 322, 487 OAI, off-axis illumination, 122 PDA, post deposition anneal, 77 O2P, oxygen plasma, 112T, 161, 371, 378 PDMS, poly(dimethyl)siloxane O2P, oxygen precipitate, 272 bonding, 192, 218 oblique angle evaporation, 291 devices, 210, 211, 217, 220, 322, 398 OED, oxidation enhanced diffusion, 170 material, 150, 204, 206T OES, optical emission spectroscopy, 414 molding, 209, 215, 295 ohmic contact, 326 PEB, post exposure bake, 105 ONO, oxidized nitrided oxide, 157 PECVD, Plasma Enhanced CVD, 52,63 OPC, optical proximity correction, 123, 477 , 62, 81 optical emission spectroscopy, OES, 414 boron nitride, 82T optical MEMS, 256, 257, 261, 370, 374, 375 oxide, 64T optical microscopy, 15 nitride, 64T optical proximity correction, OPC, 123, 477 step coverage, 57 optofluidics, 488 peeling mask, 239, 262 organic contamination, 148 pellicle, 117 Ormocer, 196, 204 pentacene, 341 oven, 104, 208 Permalloy, 54 Index 513

permeability, 201, 220 POCl3, 52, 169 PET, polyethylene terephthalate, 205, 220, 342 point defect, 44, 170 phase diagram, 83 Poisson ratio, 37T, 373 phase shift mask, PSM, 121, 477 Poisson yield model, 450 phosphine, 86, 178, 438, 446T polarity (of photomask), 318 phosphoric acid, 131T, 137 polishing, 48, 181 doped silica glass, PSG, 51, 165, 327, 371T polycrystalline, 4, 69, 73, 78, 338 photoacid generator, PAG, 118 polysilicon, 17, 53, 62 photodiode, 166 crystal structure, 79 , see also lithography, 103, 115, 318, emitter, 350 476 epipoly, 371 photomask, 97, 123, 318 gate, 329 photonic crystal, 187 LPCVD, 62 photoresist, 106, 117, 318, 389 oxidation, 156 dry film, 108 MEMS, 370, 371, 373, 396, 400 e-beam, 96 resistivity, 62, 168, 320, 322 negative, 93, 106 trench filling, 351 novolak, 106, 208 polycarbonate, PC, 205 positive, 93, 106 polycide, 136 profile, 109 polydimethyl siloxane, PDMS, 192, 205, 206T 209, removal, 111 210, 211, 215, 217, 218, 220, 398 requirements, 112T polyimide, 205, 342, 360, 363, 396, 493 spin coating, 107 polymer, 64, 203 (see also separate entries for parylene, spray coating, 108 polyimide, PDMS, SU-8) stripping, 111, 112T bonding, 217 submicron, 117 chemical structure, 205 SU-8, 192, 196, 205, 208, 219, 371, 488 devices, 217 thick, 207 properties, 206T trimming, 123 sacrificial layer, 371, 375 photostructurable glass, 234 structural layer, 371, 378, 398 physical cleaning, 145, 185 transistors, 307, 341 physical vapor deposition, PVD, 48,90 , 207 piezoelectric, 400 porous silicon, 291 piezoresistance, 369, 392 post-oxidation anneal, POA, 157, 420 PIII, plasma immersion ion implantation, 178 positive resist, 106 pinhole, 65, 99 post exposure bake, PEB, 105 PIP, polysilicon-insulator-polysilicon capacitor, 475 powder blasting, 288 PIS, polysilicon-insulator-silicon capacitor, 475 PowerMEMS, 486 Piranha, sulphuric acid peroxide mixture, 145T power devices, 9, 169, 344 pitch, 109 ppb, parts per billion, 21 pitting, 83 ppm, parts per million, 21 planarization, 186, 359 ppma, parts per million atoms, 21, 38, 271 plasma, ppt, parts per trillion, 21 cleaning, 150 precipitate, 44, 170, 272 CVD (PECVD), 52, 81 precursor, 53 equipment, 427, 430 predeposition, 166 etching, 132, 255 pressure sensor, 198, 246, 392 oxidation, 161 Preston model, 183 stripping, 112 prime wafers, 449 plating, 54 priming, 103 platinum, 25, 61T, 85, 321, 374, 487 process equipment, 409 plug, 60, 66, 359 process integration, 313 PMMA, polymethyl methacrylate, 96T, 205 process latitude, 109, 117 POA, post oxidation anneal, 157, 420 process simulation, 30 514 Index

profile, resistivity, 19 depth, 22 diffused layers, 168 diffusion, 168 DI-water, 445 etch, 128, 138 metals, 61T, 320 resist, 109 polysilicon, 62, 168, 320 profilometer, 17 silicon, 36, 168 projected range, 173 silane, 438 projection lithography, 115 resistors, 320, 322, 323, 333, 354, 369, 397, 493 proximity correction, 121 resolution, 97, 109, 117 proximity effect, 96 resonance frequency, 372 proximity lithography, 109 resonator, 19, 87, 200, 370, 400 PSG, phosphorous doped silica glass, 51, 165, 327, RET, resolution enhancement techniques, 121, 477 371T reticle, 116 PSi, porous silicon, 291 retrograde profile, 109, 215, 257 PSL, polystyrene latex sphere, 147 reverse engineering, 26 PSM, phase shift mask, 121, 477 rework, 127 PTFE, polytetrafluoroethylene, 205, 215 RF-MEMS, 402, 486 pull-in voltage, 375 RF-switch, 9, 372, 373, 404 pumping speed, 426 RGA, residual gas analyzer, 494 PV, photovoltaics, 464 RIE, reactive ion, 128, 132, 230, 255, 427 PVD, physical vapor deposition, 48, 78, 90 RIE lag, 266 Pyrex glass, 195, 225, 231, 393, 395, 403 rinsing, 146 RMS, root mean square (roughness), 43, 187, 191 QCM, quartz crystal microbalance, 414 rotating structures, 10, 379 quartz, (fused silica), 97, 226 roughness, 43, 80, 187, 191, 227, 265, 389 RT, room temperature radius of curvature, 17, 60, 395 RTA, rapid thermal annealing, 88, 421 range, 174 RTO, rapid thermal oxidation, 423 raster scan, 94 RTP, rapid thermal processing, 421 rapid thermal annealing, RTA, 88, 339, 421 rapid thermal processing, RTP, 421 SACE, spark-assisted chemical engraving, 309 rate limiting step, 54, 131, 433 sacrificial etching, 293, 369, 371, 376 RBS, Rutherford backscattering spectrometry, 22, 80 sacrificial layer, 369, 371 RCA clean, 145T, 331, 473 salicide, self-aligned silicide, 228, 339 RC-delay, 365 SAM, self-assembled monolayer, 56, 151, 307, 401 RCL-chip, 323 SAM, scanning acoustic microscopy, 199 reactive ion etching, RIE, 128, 132, 255 SAMPLE simulator, 124 reclaim wafers, 461 SBC, standard buried collector (bipolar transistor), 347 reflective notching, 121 SC, standard clean, 145T reflectometry, 18 scaling, 479 reflow, 326, 332 CMOS, 334, 340 refractive index, 18, 37T, 47, 64T, 82, 87, 206T metallization, 364 refractory metals, 137 Scanning Electron Microscope, SEM, 15 relay, 377 scatterometry, 18, 43, 147 release etch, 369, 376 sccm, standard cubic centimeters per minute release (from mold), 215 scCO2, supercritical carbon dioxide, 378 reliability, 449 Schottky contact, 326 remote plasma, 428 screen printing, 290 replacement gate, 473 scribeline, 11 replication, 203 scrubber, 436 residence time, 431 SCS, single crystal silicon, 3, 35, 271, 329 residual gas analyzer, RGA, 494 S/D, source/drain, 10, 176, 332, 337 resist, see also photoresist, 93, 95, 106, 117, 207, 318, sealing, 397, 402 389 secondary ion mass spectroscopy, SIMS, 22, 275, 354 resist removal, 112T seed layer, 55, 360, 380 Index 515

Seeds yield model, 450 PECVD, 52 SEG, selective epitaxial growth, 75, 474, 490 properties, 64T segregation, in crystal growth, 39T , SOI segregation, in oxidation, 160 CMOS applications, 339, 490, 492, 494 selective deposition, 86 fabrication, 193, 275 selective epitaxial growth, SEG, 75, 474 MEMS applications, 245, 261, 369, 395, 400, 492, selectivity, 138 494 self-alignment, 228 silicon on sapphire, SOS, 71 bipolar, 350 siloxane, 204, 358 MOS gate, 176, 337 siloxane bond, 194 phase shift mask, 121 silsesquioxane, 363 rotor, 381 SIMOX, 278 silicide (salicide), 339 SIMS, secondary ion mass spectrometer, 22, 179, 275, TFT, 228 354 self-assembled monolayer, SAM, 56, 151, 307, 401 simulation, 29 self-interstitial, 167 deposition, 33, 89 self-limiting depth, 237, 249 diffusion, 170 self-limiting growth, 53, 56 epitaxy, 74 SEM, scanning electron microscope, 15 equipment, 415 shadow mask, 290, 391 front end, 334 shallow trench isolation, STI, 336 ion implantation, 179 sheet resistance, 19, 168 lithography, 124 shelf-life, 111, 364 Monte Carlo, 90, 179 shrink version, 479 oxidation, 160 SiC, 52, 135, 360 single-wafer processing, 409 SiCr, 323 SiOC:H, 362 sidewall spacer, 139, 338, 351 slip, 271, 317 SiGe, single crystalline, 69, 438, 472 SLM, spatial light modulator, 101, 496 SiGe, polycrystalline, 86, 493 slpm, standard liters per minute Si:Ge:B, 275, 393 slurry, 182 silane, 36, 51, 72, 438, 446T SM, stress migration, 455 silicide, 83, 87, 136, 227, 339, 472 silicon, 35 Smart-cut, 277 bulk wafers, 3 SMIF, Standard Mechanical InterFace, 447 crystal growth, 36 SOD, silicon-on-diamond, 280 economics, 457 SOD, spin-on dielectric, 56 epitaxy, 71, 275 soda lime glass, 97, 225 plasma etching, 133, 136, 255 soft bake, 104 properties, 37T soft lithography, 287 wafers, 41, 43T, 273, 274T, 281, 313, 317, 317T, SOG, spin-on-glass, 56, 358 336T SOI, silicon on insulator, 193, 245, 261, 275, 339, 369, wet etching, 130, 136, 237 395, 400, 490, 492, 494 silicon carbide, SiC, 52, 135, 360 solar cells, 9, 304, 305, 315, 464 , SiO2, 51, 153 solubility, 166 buried, 276, 369, 396 solvent bonding, 218 CVD, 51, 62, 337 SOS, silicon on sapphire, 71 etching, 131T, 136, 371 source/drain, 10, 176, 332, 337 properties, 64T, 154 spacer, 139, 338, 351 reliability, 156, 453 spark assisted machining, 309 thermal, 153, 331, 337 SPC, statistical process control, 318 silicon nitride, Si3N4,SiNx, 51 spectrometer, 399 device applications, 246, 247, 249, 323, 371, 374, spin coating, 56, 103, 107 394, 396, 399, 493 spin-on glass, SOG, 56, 358 etch mask, 239 spin processor, 146 LPCVD, 52, 436 SPM, scanning probe microscope, 16 516 Index

SPM, sulphuric acid peroxide mixture, 145T surface micromachining, 369 spray coating, 108 surface preparation, 5, 150, 325 spray tool, 132 surface processes, 51, 78, 128 spreading resistance profiling, SRP, 20 surface reaction limited, 51, 131, 433 spring constant, 372 surface roughness, 43, 187, 191, 193, 227, 265, 389 sputtering, 50, 428 Sylgard 184, 205 bias sputtering, 429 collimated sputtering, 80 tantalum, 23, 48, 50, 61T, 362 equipment, 413, 428 tantalum nitride, 48, 360 etching, 429 Ta2O5, 86, 135, 160, 325, 342, 475 reactive, 50 TAR, top antireflection (coating), 120 step coverage, 57 target, 50, 430 yield, 50, 86 TCAD, technology CAD, 29 SRAF, subresolution assist feature, 123 TCE, temperature coefficient of expansion, 37T, 61T, SRAM, static random access memory, 450, 476 64T, 195, 206T SRP, spreading resistance profiling, 20, 74 TCO, transparent conducting oxide, 302, 305, 463 SSP, single side polished wafer, 45, 281, 313, 389 TCR, temperature coefficient of resistivity, 79, 321 stacking fault, 44 TDS, thermal desorption spectroscopy, 25 stamp, 204, 215 TED, transient enhanced diffusion, 339 standard buried collector bipolar transistor, SBC, 347 Teflon, 47, 65, 132, 205, 215 standing waves, 119 TEM, transmission electron microscope, 7, 15, 42, 71, steam oxidation, 153 338, 480 steel, 36, 283, 295, 340, 344, 379 temperature coefficient of resistivity, TCR, 79, 321 Stefan equation, 213 temperature programmed desorption, 25 Stefan-Boltzman law, 422 TEOS, tetraethoxysilane Si(OC2H5)4, 51, 371 stencil mask, 290, 391 test structures, 21, 26, 106, 318 step-and-scan, 116 texture, 79 step-and-repeat, 116 TFH, thin film head, 90, 466 step-and-stamp, 215 TFT, thin film transistor, 228, 307, 340, 463 step coverage, 33, 57 Tg, glass transition temperature, 106, 207, 226 stepper, 116 Tf, flow transition temperature, 212 stereolithography, 284 thermal actuator, 377, 488 STI, shallow trench isolation, 336 thermal bonding, 191, 218 sticking probability, 425 thermal budget, 326 stiction, 376 thermal conductivity, 37T, 61T, 226T, 280 stoichiometry, 5, 52 thermal desorption spectroscopy, TDS, 25 Stoney formula, 60 thermal isolation, 493 STO, strontium titanate, 49, 475 thermal oxidation, 153 STP, standard temperature and pressure, 427 thermal waves, 175 straggle, 137 thermocompression bonding, TCB, 195 Stranski-Krastanov growth mode, 78 thermopile, 246, 399 stress, 58, 158, 230, 325, 392, 431, 474 thermoplast, 204 stress migration, 455 thermoset, 204 Stribeck diagram, 183 thick resist, 100, 207 stripping, 111 thin films, 3, 47, 77 structural layer, 369 characterization, 80T, 82T SU-8 epoxy resist, 192, 196, 205, 208, 219, 371, 488 deposition, 48, 78 submicron, <1 μm dielectrics, 63T substitutional diffusion, 167 metallic, 61T substrates, 2, 283, 317, 340 polymeric, 64 sulphuric acid peroxide clean, 145T stresses, 58 superlattice, 70 structure, 77 SUPREM simulator, 30 thin film head, TFH, 467 surface analysis, 22 thin film in resist, 118 surface energy, 193 thin film solar cell, 305 Index 517

thin film transistor, TFT, 340 up-time, 415, 416T thinning, 193, 399 UPW, ultrapure water, 10, 445 thiol, 197, 287 USG, undoped silica glass, 51 threshold limit value, TLV, 446T utilization, 415, 431, 438 threshold voltage, 331, 334, 481 UV-NIL, ultraviolet nanoimprint lithography, 214, throughput, 415, 416T 478 through silicon via, TSV, 257, 490 UV-lithography, 103, 115 TiN, titanium nitride, 60, 65, 80T, 91, 360 UV-photodiode, 167 tip, 160, 238, 395 TIR, total indicator reading, 274 vacancy, 44, 167 titanium, 61T, 65, 359, 403 vacancy cluster, 272 titanium silicide, TiSi2,88 vacuum, 411, 425 TiW, 85, 87, 357 vacuum pumps, 427 TLV, threshold limit value, 446T vector scan, 94 TMAH, tetramethyl ammonium hydroxide, 237, 240T, vertical furnace, 410 389 vertical transistor, 473, 475 top antireflection (coating) TAR, 120 via hole, 358 top gate (TFT), 340 viscosity, 107, 207, 226, 435 top surface imaging, TSI, 120 void, 78 total indicator reading, TIR, 274 volatility, 133 total thickness variation, TTV, 44, 227, 389 volume change, 88, 153, 170 transfer bonding, 196, 489 transient enhanced diffusion, TED, 339 wafer, 3, 11, 12, 43 transition width, 74 bonded, 193, 275 transmission electron microscope, TEM, 7, 15, 42, 71, bulk, 3 338, 480 DSP, 45, 281, 313 transparent conducting oxides, TCO, 302, 463 cost, 45, 457 transport limited reaction, 131, 433 edge rounding, 43 trench isolation, 336, 351 epitaxial, 275T trichlorosilane, 72, 438 glass, 227T TSI, top surface imaging, 120 selection, 313, 317 TSV, through silicon via, 257, 490 size, 12, 35, 336, 457 TTV, total thickness variation, 44, 227, 389 SOI, 193, 275 tub, same as CMOS well, 330, 335 SSP, 45 tungsten, 47, 50, 52, 61T, 87, 301, 359, 378 specifications, 43T, 336T tungsten lamp, 421 wafer fab, 12, 460 turning, 308 wafering, 41, 226 twin-well, 335 wafer starts per month, WPM, 460 TXRF, total reflection X-ray fluorescence, 25, Wallace, Bob, 470 149 warp, 274 waveguide, 4, 87, 257 UHV, ultrahigh vacuum, 49, 426 Weir, 316 ULK, ultra-low k dielectric, 363 well, 330, 335 ULPA, Ultra Low Penetration Air filter, 444 wet cleaning, 144, 473 ultrahigh vacuum, UHV, 49, 426 wet etching, 130, 131T, 229 ultrahydrophobic, 149 wet oxidation, 153 ultrasonic cleaning, 145 WIWNU, within-wafer non-uniformity, 25 ultrasonic transducer, 400 WPH, wafers per hour, 409, 416T UMG, upgraded metallurgical grade silicon, WPM, wafer starts per month, 460 465 WSi2, 136, 160 undercutting, 129, 244, 369 WTWNU, wafer-to-wafer non-uniformity, 25 uniformity, 25 unidirectional flow, 441 xj, junction depth, 169, 338, 472 unintentional processes, 314 XeF2, 128, 133, 134 unlimited source diffusion, 168 XPS, X-ray photoelectron spectroscopy, 24 518 Index

XRD, x-ray diffraction, 24, 48, yield strength, 36 474 yield stress, 199 XRF, x-ray fluorescence, 25 Young’s modulus, 35, 58, 62, 61T, 64T, 184, 199, XRL, x-ray lithography, 109, 478 206T, 226, 279, 372 XRR, X-ray reflectivity, 18 yttrium oxide, 71, 341 X-Si, crystalline silicon, 35 zero anneal, 421 yield, 11, 449, 470, 480 zero level alignment mark, 318 yield loss, 455 zero level package, 403 yield models, 450 zeta potential, 147 yield cost, 416, 459 zone melting, 39 yield ramping, 450 zone model, 79 yield (sputtering), 50, 86 ZrO2, 337, 475