Index Bold font indicates main entry T indicates table 100 silicon, 40, 251 aluminum, 110 silicon, 40, 249 etching, 137, 427 111 silicon, 40, 250 gate, 329 113 silicon, 317 MEMS, 196, 370, 371T 130 nm, CMOS, 340T metallization, 393, 394, 396, 452 1:3:8 etch, 136, 393 polishing, 184 1D, one-dimensional simulation, 30 properties, 61T, 83 2D, two-dimensional simulation, 31 aluminum nitride, 4, 25, 49, 53, 91, 197, 412, 475 2D, two-dimensional growth, 78 aluminum oxide, 4, 49, 53, 375, 475 3D, three-dimensional growth, 78 ammonia-peroxide clean, 145T 3D, three-dimensional simulation, 32 amorphization, 177, 322 4PP, four-point probe, 19, 174, 494 amorphous state, 4, 69, 338, 476 5N (99.999 % purity), 143, 445 silicon, 62, 168, 325, 340 65 nm, CMOS, 340T polymers, 205 7N, (99.99999% purity), 143, 445 anisotropic plasma etching, 130 anisotropic wet etching, 130, 237 Aalto, Alvar, 301 annealing, 325 ablation, 302 contact improvement, 83, 327 absorption, 37T CVD films, 199, 325 abrasive, 182 equipment, 421 accelerometer, 192, 248, 369 forming gas, 332 activation energy, 5, 131, 167, 168, 433 implant damage, 177 adatoms, 78 laser, 303, 421 adhesion, 80, 84, 216, 230, 322, 412 millisecond, 421 adhesion promotion, 103 post-deposition, 77 adhesive bonding, 196, 219 post-oxidation, 157, 420 AES, Auger electron spectroscopy, 23, 80, 85 RTA, 88, 421 AFM, atomic force microscope,COPYRIGHTED17, 187, 238, 305, 395 silicide, MATERIAL 88 ALD, atomic layer deposition, 4, 53, 217, 361, 375, stress tailoring, 325 434, 472, 475 thermal budget, 326 alignment, 104, 319, 389 anodic bonding, 194, 225, 231, 393 bonding 198 APCVD, atmospheric pressure CVD, 433 critical, 319 antireflection coating, 120, 316, 374 design rules, 319 APM, ammonia-peroxide mixture, 145T double side, 390 ARC, antireflection coating, 120, 315 marks, 11, 104, 108 ARDE, aspect ratio dependent etching, 266 alpha-tool, 416 Arrhenius equation, 5, 50, 130, 435 Introduction to Microfabrication, Second Edition Sami Franssila © 2010 John Wiley & Sons, Ltd 506 Index arsine, AsH3, 179, 446T Bosch process, 258, 266 ashing, same as resist stripping, 111 bottom gate TFT, 340 aspect ratio, 7, 208, 255, 358, 365 bottom coverage, 57, 90 aspect ratio dependent etching, ARDE, 266 boundary layer, 51, 128, 435 atomic clock, 234 bow, 274 atomic force microscope, AFM, 17, 187, 238, 305, 395 BOX, buried oxide in SOI, 276 atomic layer deposition, ALD, 4, 53, 217, 361, 375, BPSG boron-phosphorous doped silica glass, 52 434, 472, 475 Braille, 220 Auger electron spectroscopy, AES, 23 breakdown field, 154, 453 autodoping, 73, 80, 85 brush scrubbing, 145 back-end of the line, BEOL, 6, 315, 330 buffered HF, 131 bake, 104, 119, 143, 208 bulk microdefects, BMD, 272 bamboo structure, 453 bulk micromachining, 45, 237, 400 buried layer, 347 BARC, bottom antireflection coating, 120 buried oxide, BOX, 347 barrel reactor, 438 barrier, 84, 361 CA, contact angle, 149 base (of a bipolar transistor), 347 cantilever, 238, 373, 378, 396 batch processing, 409 capacitance, 63, 255, 334, 337, 364, 372, 474 BCB, benzocyclobtadiene, 64T, 205 capacitor, 192, 323, 328, 354, 384 BCP, block co-polymer, 284 capillary electrophoresis, CE, 232 BEOL, back-end of the line, 315, 330 capillary forces, 376 BESOI, Bond-etchback SOI, 275 capping, 402, 404 beta-tool, 416 CAR, chemically amplified resist, 118 BHF, buffered HF, 131T carbon nanotube, 53, 343 BiCMOS, 352 cavity, 279, 400 BioMEMS, 488 CD, compact disc, 211, 303 bipolar transistors, 30, 172, 347, 349T, 490 CD, critical dimension, 17, 125, 138, 479 binary mask, 121 CDI, collector diffusion isolation, 354 bird’s beak, 158 CE, capillary electrophoresis, 232 blanket wafer, 26 channeling, 176 block co-polymer, 284 chemically amplified resist, CAR, 118 BMD, bulk microdefects, 272 chemical mechanical polishing, 183, 360, 364, 383 BOE, buffered oxide etchant, 131T chemical vapor deposition, CVD, 50, 64T, 87, 434 bolometer, 140, 244, 384 chemisorption, 77 bond alignment, 198 chip, 11, 450, 459 bonding, 191, 200T, 399, 402 chip yield, 450, 459 adhesive, 196, 219 chrome, 98 anodic, 194, 231, 393 chromium, 61T, 84, 159, 227 eutectic, 196 cleaning, 143, 185, 324, 419, 439, 473 fusion, 193, 276, 399 cleanroom, 10, 441 glass frit, 200 cluster tool, 412 glass, 230 CMOS, 10, 330 localized, 218 as substrate, 383, 492 metallic, 195 fabrication, 329, 470 polymer, 217 MEMS integration, 398, 490 solder, 246, 401 scaling, 471 solvent, 218 wafer selection, 336 thermal, 217 CMP, chemical mechanical polishing, 183, 360 thermocompression, 195, 394 cMUT, capacitive micromachined ultrasonic transducer, bond strength, 133, 199 399 bonding pad, 61, 326 CNT, carbon nanotube, 53, 343 boron etch stop, 240 cobalt silicide, 83, 88, 472 boron nitride, 82T COC, cyclic olefin copolymer, 205 borosilicate glass, 226T coefficient of thermal expansion, CTE, Index 507 anodic bonding, 195 polysilicon, 62, 373 polymers, 206T plasma enhanced, 52 silicon, 37T rate, 434 stresses, 59 reactors, 435 thin films, 61T, 64T, 65 tungsten, 52, 87, 469 cold wall reactor, 419 cycle time, 461 collar, 319 CYTOP, amorphous fluoropolymer, 205 collector, 347 Czochralski silicon, CZ, 36, 317 collimated sputtering, 80 comb-drive, 256, 376, 382 combustor, 235 damage, 177 contact angle, 149 damascene, 361 contact, 60, 326, 332, 452 dangling bonds, 157 contact hole, 57, 66, 320, 332, 359 dark field, 98, 110 contact resistance, 339, 452 dark field microscopy, 15 contact lithography, 103, 109 DCS, dichlorosilane SiH2Cl2, 72, 436 contamination, 143, 324, 441 Deal-Grove oxidation model, 154 contrast, 118 deembossing, 215 CoO, cost of ownership, 416 deep trench isolation, DTI, 351 COP, crystal originated particle, 272 deep UV, λ<300 nm, 117 COP, cycloolefic polymer, same as COC, 205 defect copper, crystalline, 44 deposition, 49, 54, 56 density, 450 etching, 135 etching, 131 interfaces, 83 oxide, 454 MEMS, 371, 373, 380, 493 deflection, equation, 198, 392 metallization, 360, 471, 489 demolding, 215 oxidation, 325 denuded zone, DZ, 273 polishing, 184 depth of focus, DOF, 117 resistivity, 61T, 365 design rules, 318 corner effects, 159, 243, 320 desorption, 425 corrosion, 427 development (of resist), 106 CoSi2, 83, 88, 471 DF, dark field (mask), 98, 110 cost of ownership, CoO, 416 DHF, dilute HF, 131T critical alignment, 389 diamond, 52, 280, 295, 409 critical dimension, CD, 17, 125, 138, 479 diamond-like carbon, DLC, 53, 80, 280 critical length, 376 diaphragm (membrane), 245, 248, 391 critical lithography, 318 diazonapthoquinine, DNQ, 106, 208 critical point drying, 378 diborane, 62, 86, 438, 446T crucible, 49, 271 die, 11, 455 cryocooler, 233 dichlorosilane SiH2Cl2, 72, 436 cryogenic etching, 258, 428 dicing, 11, 303, 307 crystal originated particle, COP, 272 dielectrics, 48, 63T, 64T, 364 crystal pulling, 36, 272 die yield, 455 crystal structure, 39, 237 diffusion barrier, 84 c-SOI, cavity SOI, 400 diffusion, 165, 314 CTE, coefficient of thermal expansion, 37T, 59, 61T, diffusivity, thermal, 302, 424 64T, 65, 195, 206T Dill parameters, 118 curl switch, 9 dip pen, 306 CVD, chemical vapor deposition, 50 direct bonding, 191 equipment, 433 direct writing, 93, 299, 301 mechanism, 53 dishing, 185 MEMS, 370 dislocation, 44 nitride, 62, 64T display, 375, 463, 488 oxide, 62, 64T disposable mold, 294 508 Index DIW, de-ionized water, 10, 445 electrospray, 219, 399 DLC, diamond-like carbon, 53, 80 ellipsometry, 17, 64 DNA chip, 233, 234, 322, 485, 487 ELO, epitaxial lateral overgrowth, 76, 473 DNQ, diazonapthoquinine, 107 EM, electromigration, 452 DOF, depth of focus, 117 embossing, 212, 228 dogbone, 319 emissivity, 422 dopant, 38, 165 emitter, bipolar transistor, 347 doping profile, 22, 168, 171 emitter push, 170 double poly (bipolar), 351 EMPA, electron microprobe analysis, 24 double side processing, 314, 389 end point, 135 double side polished wafers, DSP, 45, 313, 389 energy dispersive X-ray analysis, EDX, 23 down force, 182 energy loss, 174T down-time, 415 EOR, end of range damage, 177 drain, 10, 176, 332, 337 EOT, equivalent oxide thickness, 337 DRAM, dynamic random access memory, 451, epitaxial lateral overgrowth, ELO, 76, 473 474, 479 epitaxial wafers, 275 DRIE, deep reactive ion etching, 230, 255, epitaxy, 69, 275, 347, 393, 437, 473 268T, 365, 388T, 493 epoxy, 205 drilling, 308 EPW, ethylene diamine pyrocathecol water, 238, 240T drive-in, 166 equipment, 409 dry cleaning, 146 equipment industry, 460 dry etching, 128, 317 equivalent oxide thickness, EOT, 337 dry oxidation, 153 erosion, 185 drying, 146, 378 ERR, etch rate ratio, same, 127 DSP, double side polished wafers, 45, 313, 389 ESCA, electron spectroscopy for chemical analysis, DTI, deep trench isolation, 351 24 dual damascene, 363 ESH, Environment, safety & health, 179, 445 DUV, deep ultra violet, λ<300 nm, 117 ESI, electrospray ionization, 219, 399 DVD, 211, 476 etchback, 135 DZ, denuded zone, 273 etch gases, 133T EBL, electron beam lithography, 95, 478 mask, 134, 239, 259, 261 EBR, edge bead removal, 107 ECD, electrochemical deposition, 54 mechanism, 133 ECM, electrochemical machining, 309 profile, 128 edge bead removal, EBR, 107 rate, 138, 255 edge exclusion, 11 rate ratio, 127 edge rounding, 43, 276 reactors, 428 EDM, electro discharge machining, 308 residues, 134, 267 EDP, ethylene diamine pyrocathecol, 238, 240T selectivity, 127 EDX, energy dispersive X-ray analysis, 23 stop, 240, 275, 392 EG, extrinsic gettering, 273 etching EGS, electronic grade polysilicon, 35 anisotropic plasma, 130 EPW, ethylene diamine pyrocathecol water, 238, 240T anisotropic wet, 130 ELA, excimer laser annealing, 303 DRIE, 255 electrochemical
Details
-
File Typepdf
-
Upload Time-
-
Content LanguagesEnglish
-
Upload UserAnonymous/Not logged-in
-
File Pages14 Page
-
File Size-