Photomask 2010 2010.04.05

Poster Presentations Session Program Date Session Title Presentation Title Presenting Author Time No Nagashima, Yuji (Shibaura Mechatronics corporation, 5a-1 Two-fluid technology for advanced photomask cleaning Japan) 5a-2 Evaluation of Easily Removable Pellicle Adhesive Zhou, Nancy (IBM, USA)

5a-3 Dry etching technologies for EUV mask Iino, Yoshinori (Shibaura Mechatronics corporation, Japan)

Foca, Eugen (Advanced Mask Technology Center, 5a-4 New type of haze formation on masks fabricated with Mo-Si blanks Material and Germany) Process 5a-5 Pinhole Defect Study and Process Optimization Chae, Won-Hee (PKL, Korea) Evolution of etch profile and CDs in maskmaking: simulations using 5a-6 Babin, Sergey (Abeam Technologies, Inc., USA) TRAVIT software Liu, Qian ( Center for Nanoscience and 5a-7 Laser direct writing of M-TMO grayscale photomasks 16:10 Technology, China) Titanium mask fabricated by laser direct writing and its dry etching Liu, Qian (National Center for Nanoscience and 13 - 5a-8 18:30 property Technology, China) 5b-1 Performance and stability of mask process correction for EBM-7000 Yasuko,Saito (Brion Technologies KK, Japan)

5b-2 W-CMOS Blanking Device for Projection Multi-Beam Lithography Jurisch, Michael (IMS Chips, Germany) Evaluation of Throughput Improvement and Character Projection In Yamada, Akio (Mask Writing Equipment Technology 5b-3 Multi-column-cell E-beam Exposure System Research Laboratory, Japan) Pattern Monitor for outer circumstance Technology for Mask EB Writing Hoshi, Hiromichi (Association of Super-Advanced Generation 5b-4 System Electronics Technolog, Japan) Practical Resist Model Calibration for E-Beam Direct Write 5b-5 Schulz, Martin (Synopsys GmbH, Germany) Processes Requirements of e-beam size and position accuracy for photomask 5b-6 Choi, Jin (Samsung Electronics Co., Ltd, Korea) of sub-32 nm HP device

1/4 Photomask Japan 2010 2010.04.05

Session Program Date Session Title Presentation Title Presenting Author Time No The Large Contour Data Generation from Divided Image of 5c-1 Murakawa, Tsutomu (Advantest Corporation, Japan) Photomask Pattern of 32 nm and Beyond

Automatic extraction of contours and linewidth out of SEM images 5c-2 Babin, Sergey (Abeam Technologies, Inc., USA) based on SEM model Examination about evaluation of the identical position of a mask and Matsuoka, Ryoichi ( High-Technologies Corp, 5c-3 silicon performance Japan) 5c-4 Compensating for Image Placement Errors for the HP 3X nm node Park, Euisang (Hynix Semiconductor Inc., Korea) Photomask Defect Detection and Inspection: Aerial Imaging and 5c-5 Ben Yishai, Michael (Applied Materials, Israel) Metrology High Resolution Inspection Strategies and Increased productivity of Repair Verification by Offline Analysis of 5c-6 Villa, Ernesto (DNP Photomask Europe S.p.A., Italy) Inspection Aerial Images. Mask inspection system with variable sensitivity and printability Inoue, Takafumi (Association of Super-Advanced 16:10 5c-7 13 - verification function. Electronics Technolog, Japan) Amemiya, Mitsuaki (Semiconductor Leading Edge 18:30 5c-8 Study of counting error in particle Inspection Technologies, Inc., Japan) Assessing Equipment and Process Related ESD Risks to Reticles 5c-9 Tu, Richard (Benchmark Technologies, USA) with E-Reticle System Large Scale Flash Memory System (LSFMS) for Photomask Defect 5c-10 Yamamoto, Satoshi (Agile Patch Solutions Inc., Japan) Inspection Machine Computational Lithography / Inspection and its Applications in 5c-11 Pang, Linyong (Luminescent Technologies, Inc., USA) Advanced Technology Nodes

5d-1 Nanomachining of Non-Orthogonal Mask Patterns Robinson, Tod (RAVE LLC, USA) Amano, Tsuyoshi (MIRAI-Semiconductor Leading Edge 5d-2 FIB-CVD technology for EUV mask repair Repair Technologies, Inc.(Selete), Japan)

5d-3 Future Application of E-beam Repair Tool Beyond 3Xnm Generation Kanamitsu, Shingo ( Corporation, Japan)

2/4 Photomask Japan 2010 2010.04.05

Session Program Date Session Title Presentation Title Presenting Author Time No

Statistical Framework to Co-Optimize Lithography process and 5e-1 Pyo, Yu-Jin (Samsung Electronics Co., Ltd, Korea) Electrical performance In Double Patterning Technique Era

A Novel Pattern Error Detecting Algorithm for SEM Images of Mask 5e-2 Oh, Yoonna (Samsung Electronics Co., Ltd, Korea) Monitoring Patterns

5e-3 CMP dummy pattern based on VSB writer load Sakata, Wakahiko ( Co., Ltd., Japan)

Evaluation of Mask Manufacturing Efficiency using Mask Data Rank Kato, Kokoro (Association of Super-Advanced Electronics 5e-4 EDA, DFM Information Technolog, Japan) and MDP 5e-5 Efficient OASIS.MASK reader Morales, Domingo (Synopsys Chile R&D Center, Chile)

5e-6 Efficiently Writing Circular Contacts on Production Reticles Fujimura, Aki (D2S, Inc., USA) 16:10 Maskshop Data Preparation and Quality Control: From Mask 13 - 5e-7 Deng, Erwin (United Microelectronics Corp., Taiwan) Supplier Management Viewpoint 18:30 Machine Specific Fracture Optimization for JEOL E-Beam Mask 5e-8 Johnny Yeap (Synopsys, Inc., USA) Writer. Fast Application of Design Based Metrology via Model Based 5e-9 Hur, Duck-Hyung (Samsung Electronics Co., Korea) Verification and Weak Point Management System Improvement of intra-field CD uniformity using a fine gridded mask 5f-1 Takimoto, Michiya (Toshiba Corporation, Japan) CD map 5f-2 Contact Mask LER Impact on Lithographic Performance. Nagatomo, Tatsuya ( Printing Co., Ltd., Japan) Mask-related E-beam writing time improvement for Inverse Lithography 5f-3 Xiao, Guangming (Luminescent Technologies, Inc., USA) Lithography Technology mask for full chip Aberration-aware Robust Mask Design with Level-set-based Inverse 5f-4 Shen, Yijiang (The University of Hong Kong, Hong Kong) Lithography Simulation of nondiffracting patterns for applications in lithographic 5f-5 Aray, Aida (Maleke Ashtar University of Technology, Iran) methods

3/4 Photomask Japan 2010 2010.04.05

Session Program Date Session Title Presentation Title Presenting Author Time No 5g-1 The Optical CD Metrology For EUV Mask Jin Back, Park (Samsung Electronics Co., Ltd, Korea)

"A narrow bridge": prospects and limitations of EUV mask inspection 5g-2 Sagiv, Amir (Applied Materials, Israel) by DUV inspection system Comparative studies on EUV masks printability by mask and wafer 5g-3 Mangan, Shmoolik (Applied Materials, Israel) inspections 5g-4 Short-range electron backscattering from EUV masks Tanabe, Hiroyoshi (Intel K.K., Japan)

5g-5 Effective-exposure-dose monitoring technique in EUV lithography Nakajima, Yumi (Toshiba Corporation, Japan)

Fine pixel SEM image for EUV mask pattern 3D quality assurance 5g-6 Yamanaka, Eiji (Toshiba Corporation, Japan) based on lithography simulation 16:10 NGL Masks Flatness measurement and control accuracy for reducing EUV 13 - and 5g-7 Park, Seh-Jin (Intel Corp., USA) pattern placement error 18:30 Applications Challenges in Flare Correction in EUV Lithography for half pitch 22- Uno, Taiga (Semiconductor Leading Edge Technologies, 5g-8 nm generation Inc., Japan) 5g-9 EUV Mask Inspection with 193 nm Inspector for 32 and 22 nm HP Wack, Dan (KLA-Tencor, USA)

Challenges for quality 15nm groove patterning with ZEP520A for a 5g-10 Iyama, Hiromasa (, R&D Center, Japan) master fabrication for tp50nm full-surface DTR-Media A new baking technique for CAR contrast and resolution Hamamoto, Kazuhiro (HOYA Corporation, R&D Center, 5g-11 enhancement for sub-20nm Japan) Evaluation of transfer of particles from Dual-Pod base-plate to EUV Yonekawa, Masami (MIRAI-Semiconductor Leading Edge 5g-12 mask Technologies, Inc.(Selete), Japan) Characterization of line-width roughness about 22-32nm node EUV 5g-13 Yuichi,Inazuki (Dai Nippon Printing Co., Ltd., Japan) mask

4/4