BAE Systems Radiation Hardened Product Guide Datasheet (Pdf)

Total Page:16

File Type:pdf, Size:1020Kb

BAE Systems Radiation Hardened Product Guide Datasheet (Pdf) Full-service, independent repair center -~ ARTISAN® with experienced engineers and technicians on staff. TECHNOLOGY GROUP ~I We buy your excess, underutilized, and idle equipment along with credit for buybacks and trade-ins. Custom engineering Your definitive source so your equipment works exactly as you specify. for quality pre-owned • Critical and expedited services • Leasing / Rentals/ Demos equipment. • In stock/ Ready-to-ship • !TAR-certified secure asset solutions Expert team I Trust guarantee I 100% satisfaction Artisan Technology Group (217) 352-9330 | [email protected] | artisantg.com All trademarks, brand names, and brands appearing herein are the property o f their respective owners. Find the BAE Systems RAD750 at our website: Click HERE 2013–2014 SHORT–FORM PRODUCT GUIDE RADIATION-HARDENED ELECTRONICS ELECTRONIC SYSTEMS Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com TABLE OF Contents TECHNOLOGY CENTER FOR HIGH RELIABILITY SEMICONDUCTOR TECHNOLOGY CENTER 1 OVERVIEW Testing Manufacturer List (QML) for production of STANDARD COMPONENTS avionics and radiation-hardened space parts. MEMORY 4 BAE Systems is a global defense, security, The STC test facility encompasses five The wafer and assembly fabrication is under and aerospace company, delivering a full logic test systems and four memory test MICROPROCESSORS 6 statistical process control and uses in-line range of products and services for air, land, systems. It performs production testing physical and electrical data to assure product FPGAs 6 and naval forces, as well as advanced and engineering evaluation on wafers and quality and reliability in real time, rather than electronics, information technology packaged devices over the full military INTERFACE COMPONENTS 8 waiting for screening and qualification at the solutions, and customer support services. temperature range. The facility has fully end of the line. SINGLE-BOARD COMPUTER PRODUCTS equipped VLSI characterization, failure The company develops and produces a wide analysis, and reliability laboratories that Qualification under the DOD’s QML program RAD750® SINGLE-BOARD COMPUTERS 12 array of radiation hardened space products, perform routine and diagnostic testing and involves a rigorous validation process from standard components and single-board RAD750 6U EXTENDED FLEXIBLE ARCHITECTURE 14 evaluation. Failure analysis instruments by a panel of government agencies and computers, to complete system payloads. include photo-emission and scanning customers. Such qualification means INTERFACE, MICROCONTROLLER, AND EVALUATION BOARDS 14 BAE Systems specializes in a broad domain electron microscopes and a focused- the manufacturer understands user of radiation-hardened electronics, including SOFTWARE TOOLS 15 ion-beam system that supports process requirements and technical processes application-specific integrated circuits diagnostics and semiconductor repair. to produce — and constantly improve — ASIC TECHNOLOGIES (ASICs), microprocessors, memories, ASIC TECHNOLOGY 18 FPGAs, and single-board computers. With more than 600 computers in space, ASIC DESIGN KIT 20 including the 16-bit GVSC1750, 32-bit ASIC DESIGN FLOW 20 RAD6000®, and the RAD750® family of ASIC ENTRY POINTS/TECHNOLOGY ACCESS 21 products, BAE Systems space computers have logged over 5,700 years in orbit. ASIC DESIGN TOOLS 21 The BAE Systems Semiconductor IP CORES 22 Technology Center (STC) in Manassas, SERVICES Virginia, has been providing products and system-level solutions to the commercial, SERVICES 26 military, and space communities since QUALITY AND PERFORMANCE EXCELLENCE 26 the early 1980s. A leading manufacturer of radiation-hardened, space-qualified semiconductor devices, the STC offers a Front cover photo courtesy of Northrop Grumman complete design, fabrication, packaging, and test facility for very-large-scale integration, or VLSI, wafer and module development and production. The facility features the capabilities to handle procurement of materials through fully screened and qualified mil-spec packaged components. WAFER FABRICATION BAE Systems leverages both an in-house high-quality, reliable integrated circuits wafer fabrication facility and external On site for total-dose testing are and modules. QML V-level devices with foundry partners to produce advanced J.L. Shepherd Cobalt-60 Gamma source technology conformance inspection are semiconductor microcircuits from the models 109 and 494R, which can be qualified replacements for Qualified Parts 800nm to the 45nm technology nodes. configured for MIL-STD and low-dose List Class S devices. BAE Systems’ space rate testing, and an ARACOR 4100 X-ray product portfolio is certified and qualified PACKAGING irradiator. BAE Systems also has access to DLA/DSCC performance specifications to, and extensive experience with, linear MIL-PRF-38535 and MIL-STD-883, including BAE Systems offers a wide range of accelerator, flash X-ray, heavy-ion, proton, and support for legacy requirements. wirebond and flip chip hermetic packages neutron test facilities. to support space, military, avionics, and The facility is accredited as a DOD Category commercial ASICs and memories. These 1A Trusted Integrated Circuit Supplier, include families of ceramic quad flat packs, QUALITY covering design, foundry, test, packaging, ceramic column grid arrays, plastic ball grid arrays with glob-top, stacked and unstacked The Manassas STC and foundry partner and assembly services. The accreditation die-in multichip modules. fabrication facilities are included in the expresses the Defense Department’s Defense Logistics Agency (DLA)/Defense confidence in BAE Systems’ ability to deliver Supply Center Columbus (DSCC) Qualified trusted foundry microelectronics equipment and services to U.S. government end users. BAE Systems Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com 2013-2104 Short-form product guide 1 STANDARD COMPONENTS R ELIABLE, RADIATION HARDENED, SPACE QUALIFIED, HERMETICALLY SEALED, MIL-SCREENED... 2 BAE Systems Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com 2013-2104 Short-form product guide 3 memory Memory Part number (PN) Description Configuration Voltage (V) Typical Total-dose (rad[Si]) Single-event upset Latchup-immune Package Qualified SMD No. Access/clock (ns) (upsets/bit-day) 90% geo SRAM 251A172 MILLENNIUM 512K x 32 2.5/3.3 12 >100K <1E-10 Yes 84-lead FP Internally N/A qualified SRAM 251A137 MILLENNIUM 512K x 40 2.5/3.3 12 >100K <1E-10 Yes 84-lead FP Internally N/A qualified SRAM 8394325 MAGNUM 512K x 8 3.3 18 >500K <1E-10 Yes 40-lead FP V 5962G07210 SRAM 8427352 INDEPENDENCE 512K x 32 or 2M x 8 1.5/3.3 13 >1M <1E-12 Yes 86-lead FP Internally qualified Pending SRAM 8464575 TITAN 2M x 32 1.2/1.8/2.5 13 >100K <1E-11 Yes 86-lead FP Pending Pending SRAM 8485896 TITAN-STACK 8M x 40 1.2/1.8/2.5 ~15 >100K <1E-11 Yes 120-lead FP Pending Pending SSRAM 8401349 L2 Cache 128K x 72 1.8/3.3 Read: 4.5 >1M <1E-10 Yes 340-pin CCGA Internally qualified Pending Clock cycle: 7.6 PROM 238A790 32K x 8 3.3 TTL 60 >500K immune Yes 28-lead FP V 5962G02502 PROM 197A807 32K x 8 5.0 CMOS/TTL 27 >200K immune Yes 28-lead FP V 5962R96891 C-RAM 8406746 256K x 8 3.3 Read: 70 >500K <1E-11 Yes 40-lead FP Q 5962H08240 Write: 1000 C-RAM 8406746 512K x 8 3.3 Read: 70 >500K <1E-11 Yes 40-lead FP Q 5962H08241 Write: 1000 C-RAM 8466169 512K x 40 3.3 Read: 70 >500K <1E-11 Yes 100-lead FP Pending Pending Write: 1000 FIFO 8407971 1K x 36 3.3 Read: 13 >1M <1E-9 Yes 132-lead CQFP V 5962G08208 Write: 13 4 BAE Systems Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com 2013-2104 Short-form product guide 5 MICROPROCESSORS Microprocessors P/N Speed Voltage (V) Total-dose Single-event upset Latchup- Package Qualified SMD No. (rad[Si]) (errors/bit-day immune (CCGA) (90% W. C. geo) RAD750 251A161 132 MHz 2.5/3.3 >200K <1.6 E-10 Yes 360-pin Q 5962R08229 RAD750 8447257 200 MHz 1.8/3.3 >1M <1.6 E-10 Yes 360-pin Q; V-pending 5962H12229 FPGAS FPGAs P/N Voltage (V) Logic cells Total-dose Single-event upset Single-event upset Latchup- Package Qualified SMD No. (rad[Si]) Effective LET Effective LET Immune (CQFP) 90% geo (C-latch) 90% geo (S-latch) RH1020B 197A805 5 2000 >150K 13 18.8 Yes 84-lead V 5962R90965 RH1280B 197A806 5 8000 >100K 17 4 Yes 172-lead Q; V-pending 5962R92156 6 BAE Systems Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com 2013-2104 Short-form product guide 7 INTERFACE COMPONENTS Interface P/N Voltage (V) Total-dose Single-event Interfaces Data rates Embedded Embedded Latchup- Package Qualified SMD No. components (rad[Si]) upset (upsets/ microcontroller memory immune bit-day) (MIPs) Enhanced 8395188 2.5/3.3 200K <1E-10 PCI 2.2, UART, IEEE 1149.1, PCI peak bandwidth (32bit, 33MHz): 4 128Kb Yes 624 CCGA Q 5962R08A04 PowerPCI memory (PROM, EEPROM, SRAM, SDRAM), 132MB/s write, 126MB/s read RAD750/PowerPC, programmable discretes Golden Gate 8451457 1.5/3.3 1M <1E-9 Dual PCI 2.2 32 & 64 bit, UART, PCI peak bandwidth (64bit, 66MHz): 20 1.2Mb Yes 1144 CCGA Pending Pending IEEE 1149.1, memory (PROM, EEPROM, C-RAM, 264MB/s write, 252MB/s read SRAM, SSRAM, SDRAM), RAD750/PowerPC, SpaceWire bandwidth: 256Mb/s at SpaceWire (4-ports with router, 320MHz RMAP), 1553, programmable discretes, external FIFO SpaceWire 8396844 2.5/3.3 200K < 1E-9 Dual PCI 2.2, UART, IEEE 1149.1, memory PCI peak bandwidth (32bit,
Recommended publications
  • Power Architecture® ISA 2.06 Stride N Prefetch Engines to Boost Application's Performance
    Power Architecture® ISA 2.06 Stride N prefetch Engines to boost Application's performance History of IBM POWER architecture: POWER stands for Performance Optimization with Enhanced RISC. Power architecture is synonymous with performance. Introduced by IBM in 1991, POWER1 was a superscalar design that implemented register renaming andout-of-order execution. In Power2, additional FP unit and caches were added to boost performance. In 1996 IBM released successor of the POWER2 called P2SC (POWER2 Super chip), which is a single chip implementation of POWER2. P2SC is used to power the 30-node IBM Deep Blue supercomputer that beat world Chess Champion Garry Kasparov at chess in 1997. Power3, first 64 bit SMP, featured a data prefetch engine, non-blocking interleaved data cache, dual floating point execution units, and many other goodies. Power3 also unified the PowerPC and POWER Instruction set and was used in IBM's RS/6000 servers. The POWER3-II reimplemented POWER3 using copper interconnects, delivering double the performance at about the same price. Power4 was the first Gigahertz dual core processor launched in 2001 which was awarded the MicroProcessor Technology Award in recognition of its innovations and technology exploitation. Power5 came in with symmetric multi threading (SMT) feature to further increase application's performance. In 2004, IBM with 15 other companies founded Power.org. Power.org released the Power ISA v2.03 in September 2006, Power ISA v.2.04 in June 2007 and Power ISA v.2.05 with many advanced features such as VMX, virtualization, variable length encoding, hyper visor functionality, logical partitioning, virtual page handling, Decimal Floating point and so on which further boosted the architecture leadership in the market place and POWER5+, Cell, POWER6, PA6T, Titan are various compliant cores.
    [Show full text]
  • Foundation Overview February 2014
    OpenPOWER Overview May 2015 Keith Brown Director, IBM Systems Technical Strategy & Product Security [email protected] http://openpowerfoundation.org/ © 2015 OpenPOWER Foundation What is the OpenPOWER Ecosystem? Cloud Software Existing ISV community of 800+ Standard Operating Open Environment Source All major Linux distros (System Mgmt) Software Communities Operating Open sourced Power8 System / KVM firmware stack New OSS Firmware OpenPOWER Resources for porting and Firmware Community optimizing on Hardware OpenPOWER OpenPOWERFoundation.org Technology 2 © 2015 OpenPOWER Foundation A Fast Start for OpenPOWER! The year • Collaborative solutions, standards, and reference designs available • Independent members solutions and systems ahead • Sector growth in technical computing and cloud • Global growth with increasing depth in all layers • Broad adoption across hardware, software, and end users 3 © 2015 OpenPOWER Foundation Fueling an Open Development Community 4 © 2015 OpenPOWER Foundation Critical workloads run on Linux on Power Web, Java Apps and Infrastructure Analytics & Research HPC applications for Life Sciences • Highly threaded • Compute intensive • Throughput oriented • High memory bandwidth • Scale out capable • Floating point • High quality of service • High I/O rates Business Applications Database • High quality of service • Handle peak workloads • Scalability • Scalability • Flexible infrastructure • High quality of service • Large memory footprint • Resiliency and security 5 © 2015 OpenPOWER Foundation IBM, Mellanox, and NVIDIA
    [Show full text]
  • Computer Architectures an Overview
    Computer Architectures An Overview PDF generated using the open source mwlib toolkit. See http://code.pediapress.com/ for more information. PDF generated at: Sat, 25 Feb 2012 22:35:32 UTC Contents Articles Microarchitecture 1 x86 7 PowerPC 23 IBM POWER 33 MIPS architecture 39 SPARC 57 ARM architecture 65 DEC Alpha 80 AlphaStation 92 AlphaServer 95 Very long instruction word 103 Instruction-level parallelism 107 Explicitly parallel instruction computing 108 References Article Sources and Contributors 111 Image Sources, Licenses and Contributors 113 Article Licenses License 114 Microarchitecture 1 Microarchitecture In computer engineering, microarchitecture (sometimes abbreviated to µarch or uarch), also called computer organization, is the way a given instruction set architecture (ISA) is implemented on a processor. A given ISA may be implemented with different microarchitectures.[1] Implementations might vary due to different goals of a given design or due to shifts in technology.[2] Computer architecture is the combination of microarchitecture and instruction set design. Relation to instruction set architecture The ISA is roughly the same as the programming model of a processor as seen by an assembly language programmer or compiler writer. The ISA includes the execution model, processor registers, address and data formats among other things. The Intel Core microarchitecture microarchitecture includes the constituent parts of the processor and how these interconnect and interoperate to implement the ISA. The microarchitecture of a machine is usually represented as (more or less detailed) diagrams that describe the interconnections of the various microarchitectural elements of the machine, which may be everything from single gates and registers, to complete arithmetic logic units (ALU)s and even larger elements.
    [Show full text]
  • Compactpci and Avancedtca Systems
    ® VOLUME 11 • NUMBER 9 DECEMBER 2007 CompactPCI www.compactpci-systems.com ® www.advancedtca-systems.com and AdvancedTCA Systems The Magazine for Developers of Open Communication, Industrial, and Rugged Systems COLUMNS PRODUCTS 8 Editor’s Foreword AdvancedTCA Summit 2007 19 AdvancedMCs, PrAMCs, Carriers By Joe Pavlat Sponsored by: Adax,® Inc. 41 Storage FEATURES 43 Blades/AdvancedTCA CompactPCI Sponsored by: Emerson Network Power; Sun Microsystems HIGH AVAILABILITY ® 10 Achieving high availability and management 50 Power andwith the latest standard COTS technologies By Dr. Asif Naseem, GoAhead Software 35 Connectors AdvancedTCA Sponsored by:Systems Harting Technology Group; CONEC FABRICS 18 Comparing Ethernet and RapidIO 55 Test & Development By Tom Roberts, Mercury Computer Systems 27 Enclosures/Packaging AdvancedTCA Sponsored by: Carlo Gavazzi Computing Solutions; Schroff 30 The critical importance of shelf management 73 VoIP in AdvancedTCA By Frank Fitzgerald, Carlo Gavazzi Computing Solutions 59 Integrated Systems Sponsored by: Alliance Systems; Kontron MicroTCA 67 MicroTCA 38 MicroTCA power module input connectors Sponsored by: CorEdge Networks; Motorola Inc. By Juergen Hahn-Barth, CONEC Corporation 23 Networking/Communications INTERVIEW 62 Speaking of middleware 71 PMCs, PrPMCs, Carriers Sponsored by: Xembedded, Inc. An interview with Jim Lawrence and Chris Lanfear, Enea 47 Switches WEB RESOURCES 51 Single Board Computers Subscribe to the magazine or E-letter at: Sponsored by: Aitech Defense Systems, Inc.; General Dynamics www.opensystems-publishing.com/subscriptions Industry news: COVER (Clockwise): Read: www.compactpci-systems.com/news The HDCIII is a high density SS7/ATM controller from ADAX (www.adax.com). The HDCIII provides Submit: www.opensystems-publishing.com/news/submit 8 E1/T1 trunks, simultaneous support for 248 MTP2 LSLs, HSLs, and SS7 ATM AAL5, and offers AMC, PMC, PCI-X and PCIe versions from a single driver.
    [Show full text]
  • Performance of Various Computers Using Standard Linear Equations Software
    ———————— CS - 89 - 85 ———————— Performance of Various Computers Using Standard Linear Equations Software Jack J. Dongarra* Electrical Engineering and Computer Science Department University of Tennessee Knoxville, TN 37996-1301 Computer Science and Mathematics Division Oak Ridge National Laboratory Oak Ridge, TN 37831 University of Manchester CS - 89 - 85 June 15, 2014 * Electronic mail address: [email protected]. An up-to-date version of this report can be found at http://www.netlib.org/benchmark/performance.ps This work was supported in part by the Applied Mathematical Sciences subprogram of the Office of Energy Research, U.S. Department of Energy, under Contract DE-AC05-96OR22464, and in part by the Science Alliance a state supported program at the University of Tennessee. 6/15/2014 2 Performance of Various Computers Using Standard Linear Equations Software Jack J. Dongarra Electrical Engineering and Computer Science Department University of Tennessee Knoxville, TN 37996-1301 Computer Science and Mathematics Division Oak Ridge National Laboratory Oak Ridge, TN 37831 University of Manchester June 15, 2014 Abstract This report compares the performance of different computer systems in solving dense systems of linear equations. The comparison involves approximately a hundred computers, ranging from the Earth Simulator to personal computers. 1. Introduction and Objectives The timing information presented here should in no way be used to judge the overall performance of a computer system. The results reflect only one problem area: solving dense systems of equations. This report provides performance information on a wide assortment of computers ranging from the home-used PC up to the most powerful supercomputers. The information has been collected over a period of time and will undergo change as new machines are added and as hardware and software systems improve.
    [Show full text]
  • Apple Confidential 2.0 the Definitive History of the World's Most Colorful
    vi Reviewers love Apple Confidential “The Apple story itself is here in all its drama.” New York Times Book Review “An excellent textbook for Apple historians.” San Francisco Chronicle “Written with humor, respect, and care, it absolutely is a must-read for every Apple fan.” InfoWorld “Pretty much irresistible is the only way to describe this quirky, highly detailed and illustrated look at the computer maker’s history.” The Business Reader Review “The book is full of basic facts anyone will appreciate. But it’s also full of interesting extras that Apple fanatics should love.” Arizona Republic “I must warn you. This 268-page book is hard to put down for a MacHead like me, and probably you too.” MacNEWS “You’ll love this book. It’s a wealth of information.” AppleInsider “Rife with gems that will appeal to Apple fanatics and followers of the computer industry.” Amazon.com “Mr. Linzmayer has managed to deliver, within the confines of a single book, just about every juicy little tidbit that was ever leaked from the company.” MacTimes “The most entertaining book about Apple yet to be published.” Booklist i …and readers love it too! “Congratulations! You should be very proud. I picked up Apple Confidential and had a hard time putting it down. Obviously, you invested a ton of time in this. I hope it zooms off the shelves.” David Lubar, Nazareth, PA “I just read Apple Confidentialfrom cover to cover…you have written a great book!” Jason Whong, Rochester, NY “There are few books out there that reveal so much about Apple and in such a fun and entertaining manner.
    [Show full text]
  • Electrocomponentes S.A. SASE 2011
    Electrocomponentes S.A. SASE 2011 Comparativas de CPU’s de 32 bits Agenda • Microcontroladores vs. Microprocesadores. • Elementos de la arquitectura. • Core ARM. • Core AVR32. • Core Coldfire. • Core Power PC. • Concluciones. ¿Qué es un Microprocesador? • Un Microprocesador (uP) es un circuito integrado que incorpora en su interior una unidad central de proceso (CPU) y todo un conjunto de elementos lógicos que permiten enlazarlo con otros dispositivos como pueden ser memorias y puertos de entrada y salida (I/O). Es un sistema del tipo “ABIERTO”. ¿Qué es un Microcontrolador? • Un microcontrolador (uC) es un circuito integrado que nos ofrece las posibilidades de un pequeño computador. Es decir, que en su interior podemos encontrar un procesador, memorias, y varios periféricos (puertos I/O, A/Ds, D/A, etc.). Bonus • Un procesador digital de señales o DSP (sigla en inglés de digital signal processor) es un sistema basado en un microprocesador que posee un juego de instrucciones, un hardware (MAC) y un software optimizados para aplicaciones que requieran operaciones numéricas a muy alta velocidad. Debido a esto es especialmente útil para el procesado y representación de señales analógicas en tiempo real. Distintos CORE Arquitectura • La arquitectura de un procesador esta formada por: – La arquitectura del set de instrucciones (ISA). – El ó los buses de comunicaciones. – La segmentación (pipeline). – El soporte de memoria (virtual/protegida). ISA – Tipo de Instrucciones • Cuando hablemos del set de instrucciones o mejor dicho la arquitectura del set de instrucciones (ISA), se tendrá en cuenta varios elementos. – El tipo de datos con que trabaja. • En nuestro caso todas las arquitecturas que analizaremos son de 32 bits.
    [Show full text]
  • Vector Microprocessors
    Vector Microprocessors by Krste AsanoviÂc B.A. (University of Cambridge) 1987 A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Computer Science in the GRADUATE DIVISION of the UNIVERSITY of CALIFORNIA, BERKELEY Committee in charge: Professor John Wawrzynek, Chair Professor David A. Patterson Professor David Wessel Spring 1998 The dissertation of Krste AsanoviÂc is approved: Chair Date Date Date University of California, Berkeley Spring 1998 Vector Microprocessors Copyright 1998 by Krste AsanoviÂc 1 Abstract Vector Microprocessors by Krste AsanoviÂc Doctor of Philosophy in Computer Science University of California, Berkeley Professor John Wawrzynek, Chair Most previous research into vector architectures has concentrated on supercomputing applications and small enhancements to existing vector supercomputer implementations. This thesis expands the body of vector research by examining designs appropriate for single-chip full-custom vector microprocessor imple- mentations targeting a much broader range of applications. I present the design, implementation, and evaluation of T0 (Torrent-0): the ®rst single-chip vector microprocessor. T0 is a compact but highly parallel processor that can sustain over 24 operations per cycle while issuing only a single 32-bit instruction per cycle. T0 demonstrates that vector architectures are well suited to full-custom VLSI implementation and that they perform well on many multimedia and human-machine interface tasks. The remainder of the thesis contains proposals for future vector microprocessor designs. I show that the most area-ef®cient vector register ®le designs have several banks with several ports, rather than many banks with few ports as used by traditional vector supercomputers, or one bank with many ports as used by superscalar microprocessors.
    [Show full text]
  • Volume 12 Issue 6
    For Single P ny For Single P ny ® VOLUME 12 • NUMBER 6 CompactPCI AUGUST 2008 ® and www.compactpci-systems.com AdvancedTCA Systems www.advancedtca-systems.com The Magazine for Developers of Open Communication, Industrial, and Rugged Systems FEATURES COLUMNS 8 Editor’s Foreword AdvancedTCA: Living large By Joe Pavlat 18 Small is beautiful By Tony Romero, 10 Global Technology Performance Technologies Hearing you loud and clear By Hermann Strass 13 Software Corner 21 AdvancedMCs are finding their place Switching at the speed of light By Venkataraman Prasannan, RadiSys By Curt Schwaderer PRODUCT GUIDE E-LETTER 26 ADVANCED MEZZANINE CARDS www.compactpci-systems/eletter advancedmc-systems.com AUGUST: Leveraging the communications From Host Board Adapters (HBAs) server ecosystem to card edge connectors to For Single P By Hermannny Berg and Larry Terry, modules for high-density WAN Emerson Network Power to the latest content-aware, Embedded Computing wirespeed solutions, this issue’s AdvancedMC Guide presents a comprehesive E-CASTS set of recently introduced www.opensystems-publishing.com/ecast AdvancedMCs and related offerings, with an AUGUST: expanded guide online at The hottest DSP trends, from algorithms advancedmc-systems.com. to Zulu, powering today’s military systems August 27 • Moderator: Chris Ciufo COVER: Presented by: Annapolis Micro Systems, Inc. and g Jacyl Technology, Inc. Suitable for wireless baseband and other processing- intensive applications such as WiMAX and Long Term Evolution of 3GPP (LTE), the AMC-6487C from CommAgility
    [Show full text]
  • Boards & Modules Reference Guide
    BOARDS & MODULES REFERENCE GUIDE AN INTERNATIONAL GROUP AT YOUR SERVICE Eurotech* is a leading technology Group with a global Boards: Eurotech advantage presence that spans Europe, the USA and Asia. High quality and timely delivery are not enough to be Our efforts are focused on providing much more than great successful in an increasingly competitive market. hardware: we have built an ecosystem of interoperable Eurotech gives you more: components, software and services that enable consistent and quick development of end user projects. • Software We recognize and target the most critical success factors, - Low level: firmware, OS, BIOS, device drivers such as: - High level: libraries, hardware abstraction middleware • Quality • time to market - Rugged hardware • ruggedness - Guaranteed longevity of supply for extended product life • compliance to industry standards and certifications • Support • reliability and long term availability - Global and local technical support • local and global support service - Development kits with extensive features and tools Application specific boards Customization services Eurotech has designed products that are specific for Eurotech’s strategy is based on products that comply demanding applications such as those found in the to industry standards. However some projects require an Industrial, Transportation, Defense and Medical segments. extra ingredient. Our personalization and configuration service allows a per- Since each application must address specific requirements, fect match of your needs, implementing
    [Show full text]