Foreword

Cleaning of surfaces in semiconductor device processing has been of critical importance since the late 1950s and early 1960s. The reduction of stability, reliability, and device or circuit yield due to impurities incor- porated during processing established that effective and effi cient cleans were imperative. The emphasis for the subsequent 20–25 years was on the removal of native oxides, organic contamination, residual photoresist, alkali ions, and metallic species, which was accomplished primarily by wafer immersion in chemical baths. Most was performed prior to the insertion of wafers into the furnaces, where diffusion of impurities into device structures (e.g., to junctions and interfaces) would be most severe. Undercut of residues or dissolution of surface layers to ensure removal of impurities and particles was deemed to be acceptable due to typical thick- nesses of fi lms in the device structures (>100 nm) and the lack of concern regarding surface roughness and specifi c surface-bonding structures. The criticality of cleaning increased as feature sizes decreased, and aspect ratios and the number of process steps for state-of-the-art devices increased. In addition, the recognition that physical and chemical properties of surfaces played a crucial role in controlling subsequent fi lm deposition and interface properties, led to an increase in the number of cleaning steps performed. Cur- rently, approximately 25 percent of all processing steps in the fabrication of ICs are performed as part of photoresist stripping, surface cleaning, residue removal, and surface conditioning. Furthermore, undercutting or etching of a thin surface region to promote and facilitate removal of residues, particles, and atomic species can be unacceptable when fi lms with thicknesses in the few nanometer range are invoked, ultra-shallow junctions are present, or porous materials are used. Selectivity in cleaning processes has thus become para- mount. In order to minimize or eliminate attack of and damage to fi lms, modi- fi cation of surfaces, and to enhance process control, several approaches have been instituted. Lower concentrations of reactive chemicals are typically used and energy in the form of ion bombardment, mechanical agitation, and liquid or particle jets are supplied to the surface to assist residue removal. In addition, single-wafer cleaning tools are becoming more prevalent. The smaller fi lm thicknesses and feature sizes now employed also dictate that surface rough- ness must be minimized if reliable and controllable device properties are to be

xxi xxii Foreword achieved. Rapid addition of alternate materials such as copper, ruthenium, and molybdenum, low-κ porous dielectrics, nickel and platinum alloy silicides, and hafnium or zirconium oxides or silicates into device structures is taking place. Thus, development and implementation of a generic cleaning solution and approach for the 100+ cleaning/residue removal/conditioning steps used is not feasible. Customized individual cleaning steps that depend upon the composition of the fi lms, residues, and substrates present, the sequence of steps preceding and following the cleaning, and the chemical nature of the surface required for further processing are therefore being developed. When the fi rst edition of this handbook was published in 1993, the intent was to collect information on semiconductor wafer cleaning and allied subjects from a variety of sources and make this information available in an organized format. Although the fi eld appeared to be changing quickly at that time, the past 14 years have witnessed even more rapid change due to the new materials and process control requirements noted previously. In this edition, extensive updates and new approaches are included that refl ect the current limitations and requirements of cleaning, photoresist and residue removal, and surface conditioning. Future needs for cleaning processes as anticipated in part from the SIA Roadmap, are also offered. The expectation is that the coverage of topics will be helpful to experts in the fi eld, process equipment manufacturers, and those individuals who suddenly fi nd that they need to be involved in this important area. After a historical review of the development of semiconductor device cleaning technology, in Part I, the sources and consequences of contamination and defect generation are presented in Part I. Parts II and III, respectively, present liquid (wet) and vapor (dry) based processes used for cleaning and surface conditioning. Some of these methods are well-entrenched in the repertoire of semiconductor device processing, while some have not been implemented extensively or at all, but offer unique solutions to cleaning conundrums. With regard to Parts II and III, it is important to note that when dry processes are used, they are almost always followed by a wet step to ensure complete and effective cleaning. Part IV describes the techniques used to monitor and quantify the results of cleaning processes with respect to particulate and chemical impurities as well as fi nal surface morphology. Part V concludes with projections regarding the needs and directions in surface cleaning for the next few microelectronic device generations.

Dennis Hess April 2, 2007 Atlanta, GA, USA Preface to the Second Edition

The fi rst edition of this popular handbook was published in 1993 and was very well received by the community of professionals in this fi eld. It had become apparent that — after over 13 years — a comprehensive new edition was urgently needed to cover the important changes and dramatic new devel- opments of this rapidly evolving technology. Wafer cleaning technology had to go hand in hand with the advances in ultra-large scale integration silicon circuit technology to satisfy the stringent requirements of different layer materials, increasing chip complexity and decreasing feature sizes. It should be noted that this second edition is much more than a simple update of the original chapters because entirely new topics had to be addressed, although the fundamentals have not changed. Some of the mate- rial covered in the fi rst edition could in fact make a useful supplement to the new second edition. We have integrated parts of some of the previous chapters into new chapters as deemed appropriate. We have also changed the original title of the book from Handbook of Semiconductor Wafer Cleaning Technology to Handbook of Silicon Wafer Cleaning Technology , as all of the new material now deals exclusively with silicon. As in the fi rst edition, emphasis has been placed on industrial applications in the fab combined with authoritative explanations of the physical and chemical processes underlying wafer cleaning, surface preparation, impu- rity analysis, and contamination aspects. The volume has been designed as a handbook and guide for engineers, scientists, and technicians who are working in the production of silicon microcircuit devices, as well as for graduate students perusing technical courses in semiconductor science and technology. Extensive use of references has been made to provide for specifi c source information. The book comprises again the following fi ve parts, containing a total of eleven chapters:

I. Introduction and overview II. Wet-chemical processes III. Dry-cleaning processes IV. Analytical and control aspects V. Directions for the near future

xxiii xxiv Preface to the Second Edition

The largest changes occurred in Part III where we introduced a chapter on plasma stripping and cleaning and an entirely new chapter on cryogenic aerosols and supercritical fl uid cleaning. Each of the eleven chapters was written by one or several authors who are recognized experts in their respective fi eld of specialty. We wish to thank each contributing author for his or her work of out- standing quality, which has made this multidisciplinary volume possible.

Acknowledgment

The authors would like to thank Peter Duysings for the transformation of the graphics scanned from journals, reprints, and poor quality re productions to the high resolution images for use in this handbook.

Werner Kern Lakewood, NJ, USA October, 2007

Karen Reinhardt San Jose, CA, USA October, 2007 Preface to First Edition

The cleaning of semiconductor wafers has become one of the most critical operations in the fabrication of semiconductor devices, especially advanced ULSI silicon circuits. A considerable body of technical and sci- entifi c literature has been published on this important subject; however, it is widely dispersed in numerous journals and symposia proceedings. It is the objective of this book to bring together in one volume all pertinent knowledge on semiconductorwafer cleaning and the scientifi c and techni- cal disciplines associated directly or indirectly with this subject. The book provides the fi rst comprehensive and up-to-date coverage of this rapidly evolving fi eld. Its thirteen chapters were written by nineteen scientists who are recognized experts in each topic. The scope of this book is very broad, covering all aspects of wafer clean- ing. Emphasis is on practical applications in the fab combined with author- itative scientifi c background information to provide a solid scientifi c basis for the understanding of the chemical and physical processes involved in cleaning and in the analytical methods of testing and evaluation. This user-friendly handbook has been based on lectures presented in an intensive two-day course on wafer cleaning technology that was orga-nized by the editor and held in San Francisco and Princeton with the participa- tion of several of the chapter authors. The enthusiastic response by the course attendees convinced us that a book treating this material in greater depth in the format of topical overviews is indeed highly desirable, if not urgently needed. In setting out to create a comprehensive handbook that would fulfi ll this need, each chapter author or group of co-authors contributed specialized and complementary expert knowledge in covering this multidisciplinary subject. The book comprises the following fi ve parts, with one to four chapters each: I. Introduction and Overview II. Wet-Chemical Processes III. Dry Cleaning Processes IV. Analytical and Control Aspects V. Conclusions and Future Directions

xxv xxvi Preface to the First Edition

The reviews presented on these chapters were completed by mid-1992 and include the literature up to this time. Each chapter was closely edited to eliminate excessive duplication. The depth and breadth of the material should appeal to those new in the fi eld as well as to experienced professionals. The volume is intended to serve as a handbook for practitioners and professionals in the fi eld, includ- ing fab engineers, scientists and technicians working in the production or development of semiconductor microelectronic devices. It should also prove useful to manufacturers of processing equipment, persons concerned with contamination control and analysis, and students attending advanced or specialized technical courses. Finally, I wish to thank the contributing authors for their considerable efforts in summarizing the voluminous literature of their specialties and for preparing chapters of outstanding quality. I am also indebted to George Narita, Vice President and Executive Editor of Noyes Publications, for his helpful cooperation in this exciting venture.

Werner Kern East Windsor, New Jersey October,1992 1 Overview and Evolution of Silicon Wafer Cleaning Technology

Werner Kern Werner Kern and Associates, Lakewood, NJ, USA

1.1 Introduction

The preparation of ultraclean Si (silicon) surfaces by cleaning and surface conditioning for the manufacturing of integrated circuits (IC) has under- gone considerable changes since we published the fi rst edition of this book in 1993. The driving forces for these changes have been the ever-increasing requirements for producing advanced Si devices with improved performance, reliability, and cost. The feature sizes of these circuits have been scaled down to below 100 nm and the device structure may incorporate multilevel metallization layers with Cu (copper) and special dielectric materials. The earlier Si wafer cleaning processes have evolved from aqueous- chemical (the so-called “ wet chemical” ) to alternative dry cleaning pro- cesses for metallized device wafers. These processes include gas- procedures, such as plasma reactions for stripping organic photoresist masking layers and their residues. The application of cryogenic aerosols and supercritical fl uids for removing particle contaminants for applica- tions where aqueous chemistry cannot be used is being investigated. The understanding of surface contamination and defectivity and the role of particle adhesion, deposition, measurement, and removal have been substantially advanced during the past 14 years. The same is true for the ancillary analytical and control aspects, including the elucidation of the chemical composition of Si and SiO2 surfaces, the measurement of the effects of electrically active contaminants, and the instrumental analysis of ultra-trace surface impurities. While it is the purpose of this book to have experts of the various fi elds address these exciting developments in depth, the objective of the present chapter is to broadly review the advances achieved specifi cally in Si wafer

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 3–92 © 2008 William Andrew Inc.

3 4 Introduction and Overview cleaning technology and to outline chronologically its evolution from the 1950s to the present. Some portions of the basic material presented in the fi rst edition of this book have been retained, but are updated and expanded to refl ect the new developments. Wet-chemical processes are still the most widely used method for Si wafer cleaning in the semiconductor industry today. Therefore, special emphasis is placed in treating wet-processes in this chapter. Dry-cleaning processes will be briefl y surveyed with refer- ences to the pertinent chapters in this book.

1. 2 Importance of Clean and Conditioned Wafer Surfaces

The importance of clean substrate surfaces in the fabrication of semicon- ductor microelectronic devices has been recognized since the dawn of solid state device technology in the 1950s. It is now well known that the device performance, reliability, and product yield of Si circuits are critically affected by the presence of chemical contaminants and particulate impurities on the wafer or device surface. Effective techniques for cleaning Si wafers before thermal treatment such as oxidation, after patterning by etching, after ion implantation, and before and after fi lm deposition are now more important than ever before because of extreme sensitivity of the semiconductor surface and the nanometer sizes of the device features. As a consequence, the prepa- ration of ultraclean Si wafers has become one of the key technologies in the fabrication of ULSI (ultra-large scale integration) Si circuits. The term “ ultraclean ” may be defi ned in terms of the concentration of both chemical contaminants and particles on the wafer surface. The Inter- national Technology Roadmap for Semiconductors (ITRS) [1 , 2 ], shown in Tables 1.2-1 – 1.2-4 , specifi es metrics that defi ne the impact on yield based on contamination and defectivity for both front end of line processing (Table 1.2-1 and 1.2-2) and for complete interconnect surface preparation (Table 1.2-3 and 1.2-4). For the 32-nm node the total metallic impurities should be, for example, less than 0.5 × 1010 atoms/cm2 . Particles larger than 0.11 µ m in size should be fewer than approximately 0.1/cm2 , which translates to fewer than 30 particles per 300-mm wafer. These extremely low numbers are impres- sive indeed! The reason for such stringent specifi cations is the fact that the overall device quality is critically affected by trace impurities. Each of the hundreds of processing steps in the fabrication of advanced Si circuits can contribute to contamination. With each advancing year, the node size has been decreased to allow a more densely packed array of device 1: Overview of Silicon Wafer Cleaning, Kern 5 ( Continued ) Near-term 2 2 1.5 1.5 1.5 1.5 1.5 1.5 1.5 32 28 25 23 20 18 16 14 13 80 90 70 78 65 68 57 59 50 52 45 45 40 40 36 36 32 32 300 300 300 300 300 300 300 450 450 2005 2006 2007 2008 2009 2007 2005 2006 2010 2011 2012 2013 ) 0.027 0.017 0.022 0.027 0.017 0.022 0.027 0.017 0.022 2 (nm) 28.5 25.3 31.8 40.1 35.7 22.5 20.1 17.9 15.9 c (No./wafer) (No./wafer) 94.8 59.6 75.2 94.2 59.3 75.2 94.8 135.3 170.4 (No./cm p pw R p Critical particle count, D Back surface particles particle diameter: Back surface lithography and measurement tools (nm) particles: lithography and Back surface measurement tools (No./wafer) particle diameter: all Back surface 400 0.16 other tools (nm) 400 0.12 particles: all other Back surface (No./wafer) tools 0.12 200 0.2 0.12 200 0.16 0.1 200 400 0.16 0.16 400 0.1 200 0.14 200 200 0.1 200 0.14 200 0.1 0.14 200 NA 0.14 NA 200 200 NA 200 NA Year of Production of Production Year DRAM ½ pitch (nm) (contacted) MPU/ASIC Metal 1½ pitch (nm) (contacted) MPU physical gate length (nm) diameter (mm) Wafer (mm) edge exclusion Wafer surface particles Front D Killer defect density, Critical particle diameter, d Critical particle diameter, Front End Processing Surface Preparation Technology Requirements (1): Near-Term Processes 1.2-1 (1): Near-Term Requirements Table Technology Surface Preparation End Processing Front 6 Introduction and Overview Near-term 0 0 0 0 0 0 0 0 0 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 1.9 1.9 1.9 2 2.2 2.4 2.5 2.3 2.5 2.4 0.8 0.8 0.7 0.7 0.5 0.5 0.4 0.4 0.4 0.4 0.3 0.3 0.3 0.3 0.3 0.3 0.2 0.2 2005 2006 2007 2008 2009 2010 2011 2012 2013 2008 2011 2012 2009 2010 2006 2007 2005 ) 0.1 0.1 0.1 0.1 0.1 0.1 ) 1 1.3 1.2 0.9 0.9 1.4 0.9 0.9 2 2 ) 2 atoms/cm atoms/cm 13 13 ) ) 2 2 atoms/cm 10 atoms/cm atoms/cm 10 10 Critical other surface metals Critical other surface (10 Mobile ions (10 1 1 1 1 1 1 1 1 1 Year of Production of Production Year Metallic and surface contamination metals Critical GOI surface (10 Surface carbon (10 Surface oxygen (10 Surface Cleaning effects on material RMS ( Å ) roughness LVGX, Surface 2 4 2 4 2 4 Silicon loss ( Å ) per cleaning step 4 Oxide loss ( Å ) per cleaning step (No.) watermarks Allowable Front End Processing Surface Preparation Technology Requirements (1): Near-Term Processes (cont’d) Processes 1.2-1 (1): Near-Term Requirements Table Technology Surface Preparation End Processing Front Roadmap for Semiconductors, 2006 Technology The International Association. are reprinted with permission from Semiconductor Industry Tables ed from the original.) TX. (Simplifi Austin, Edition, SEMATECH, 1: Overview of Silicon Wafer Cleaning, Kern 7 2020 ( Continued ) 2019 2018 2017 Long-term 2016 2015 11 10 9 8 7 6 6 28 28 25 25 22 22 20 20 18 18 16 16 14 14 1.5 1.5 1.5 1.5 1.5 1.5 1.5 450 450 450 450 450 450 450 ) 0.017 0.022 0.027 0.027 0.027 0.017 0.022 2 (nm) 9.0 8.0 7.1 10.0 11.3 12.7 14.2 c (No./wafer) (No./wafer) 135.4 170.4 214.9 214.6 214.6 135.4 170.5 (No./cm p pw R p Critical particle count, D Back surface particles particle diameter: lithography Back surface and measurement tools (nm) particles: lithography Back surface NA and measurement tools (No./wafer) particle diameter: all other Back surface (nm) tools particles: all other tools (No./wafer) Back surface NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA NA DRAM ½ pitch (nm) (contacted) MPU/ASIC Metal 1½ pitch (nm) (contacted) MPU physical gate length (nm) diameter (mm) Wafer (mm) edge exclusion Wafer surface particles Front D Killer defect density, Year of Production of Production Year 2014 Critical Particle diameter, d diameter, Critical Particle Front End Processing Surface Preparation Technology Requirements (1): Long-Term, Processes 1.2-2 (1): Long-Term, Requirements Table Technology Surface Preparation End Processing Front 8 Introduction and Overview 0.1 2.3 0.9 2020 0.1 2.3 0.9 2019 0.1 2.3 0.9 2018 2.3 0.9 2017 Long-term 2.3 2016 2.3 2015 0 0 0 0 0 0 0 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 ) 1 1 1 1 1 1 ) 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 ) 0.5 0.5 0.5 0.5 0.5 2 2 atoms/cm atoms/cm 10 ) 0.1 0.1 0.1 0.1 0.1 0.1 ) 0.1 ) 0.9 0.9 0.9 0.9 ) 0.9 10 2 2 ) 2.4 2 atoms/cm atoms/cm 13 13 atoms/cm 10 Metallic and surface contamination metals (10 Critical GOI surface Year of Production of Production Year 2014 ) 2 2 2 2 2 2 2 2 2 2 2 2 Cleaning effects on material RMS ( Å ) 2 roughness LVGX, Surface Silicon loss ( Å ) per cleaning step Oxide loss ( Å ) per cleaning step (No.) watermarks Allowable Surface carbon (10 Surface oxygen (10 Surface Mobile ions (10 Critical other surface metals (10 Critical other surface Tables are reprinted with permission from Semiconductor Industry Association. The International Technology Roadmap for Semiconductors, 2006 Roadmap for Semiconductors, Technology The International Association. are reprinted with permission from Semiconductor Industry Tables ed from the original.) TX. (Simplifi Austin, Edition, SEMATECH, Front End Processing Surface Preparation Technology Requirements (1): Long-Term, Processes (cont’d) Processes 1.2-2 (1): Long-Term, Requirements Table Technology Surface Preparation End Processing Front 1: Overview of Silicon Wafer Cleaning, Kern 9 ( Continued ) Near-term 2 2 2 2 2 2 2 2 2 160 140 130 114 100 90 80 70 64 300 300 300 300 300 300 300 300 300 2005 2006 2013 2012 2007 2010 2011 2008 2009 ) 0.028 0.019 0.023 0.016 0.02 0.025 0.016 0.02 0.025 0.02 0.016 0.025 0.02 0.016 0.023 0.019 ) 0.028 2 (No./wafer) (No./wafer) 97 64 80 54 68 86 123.1 155 195 (nm) 40 16 35 17.5 22.5 20 32.5 28.5 25 c pw (No./cm p R p Critical particle density, D Critical particle density, diameter (nm) diameter Back surface particles particle diameter (nm) Back surface critical particle density Back surface (No./wafer) 0.2 particles Edge bevel 400 critical particle Edge bevel 0.16 400 0.16 200 0.16 200 0.14 200 0.14 200 0.14 0.14 200 NA 200 NA Year of Production of Production Year DRAM ½ pitch (nm) (contacted) MPU/ASIC metal 1½ pitch (nm) (contacted) MPU physical gate length (nm) 80 diameter (mm) Wafer 90 (mm) edge exclusion Wafer surface particles Front 70 78 D Killer defect density, 32 65 28 68 57 59 25 50 52 22 45 45 20 40 40 18 36 36 16 32 32 14 13 Critical particle diameter, d Critical particle diameter, Interconnect Surface Preparation Technology Requirements (2): Near-Term Processes 1.2-3 (2): Near-Term Table Requirements Technology Surface Preparation Interconnect 10 Introduction and Overview Near-term 10 10 10 10 10 10 10 10 10 1.4 1.3 1.2 1 0.9 0.9 0.9 2005 2006 2007 2008 2009 2010 2011 2012 2013 2.50% 2.50% 2.50% 2.50% 2.50% 2.50% 2.50% 2.50% 2.50% ) 5 5 2.5 2.5 2.5 2.5 2.4 2 ) 2 ) ) atoms/cm 2 2 10 C atoms/cm atoms/cm atoms/cm 9 9 13 Year of Production of Production Year Metallic and surface contamination metals (Cu) Critical front surface (10 Critical back surface metals (Cu) Critical back surface (10 Mobile ions (10 1000 1000 500 500 500 250 250 250 100 Cleaning effects on dielectric material Maximum dielectric constant increase due to etch, strip + clean Maximum dielectric constant increase 2.50% 2.50% due to rework 2.50% Maximum dielectric constant increase 2.50% 2.50% 2.50% 2.50% due to rework 2.50% on dielectric Maximum effect 2.50% 2.00% 2.50% 2.00% 2.50% 2.50% 2.50% 2.00% 2.00% 2.50% 2.00% 2.00% 2.50% 2.00% 2.00% 2.00% 2.00% 2.00% 2.00% Organic contamination Organic (10 critical dimension due to strip + clean Interconnect Surface Preparation Technology Requirements (2): Near-Term Processes (cont’d) Processes 1.2-3 (2): Near-Term Table Requirements Technology Surface Preparation Interconnect Roadmap for Semiconductors, 2006 Technology The International Association. are reprinted with permission from Semiconductor Industry Tables ed from the original.) TX. (Simplifi Austin, Edition, SEMATECH, 1: Overview of Silicon Wafer Cleaning, Kern 11 10 100 2018 0.022 ( Continued ) 10 100 2017 0.017 10 100 0.04 2016 Long-term 100 0.02 2015 2 2 2 2 2 11 10 9 8 7 14 12.5 11 109 56 50 44 40 36 28 28 25 25 22 22 20 20 18 18 NA NA NA NA NA NA NA NA NA NA NA 450 450 450 450 450 213.1 155 106 133.4 168 ) 10 10 ) 10 2 ) 100 2 atoms/cm 9 atoms/cm 9 ) 0.16 2 (No./wafer) (No./wafer) (nm) c pw (No./cm p R p Critical particle density, D Critical particle density, Back surface particles particle diameter (nm) Back surface critical particle density (No./wafer) Back surface particles Edge bevel critical particle diameter (nm) Edge bevel Metallic and surface contamination metals (Cu) (10 Critical front surface DRAM ½ pitch (nm)(contacted) MPU/ASIC Metal 1½ pitch (nm) (contacted) MPU physical gate length (nm) diameter (mm) Wafer (mm) edge exclusion Wafer surface particles Front D Killer defect density, Year of Production of Production Year 2014 Critical particle diameter, d Critical particle diameter, metals (Cu)(10 Critical back surface Interconnect Surface Preparation Technology Requirements (2): Long-Term Processes 1.2-4 (2): Long-Term Table Requirements Technology Surface Preparation Interconnect 12 Introduction and Overview 2.3 0.9 2018 2.3 0.9 2017 2.3 0.9 2016 Long-term 2.4 0.9 2015 2.00% 2.00% 2.00% 2.00% 2.00% ) 0.9 2 ) 2.4 C atoms/cm 2 13 atoms/cm 10 Mobile ions (10 Year of Production of Production Year 2014 Organic contamination (10 Organic Cleaning effects on dielectric material Maximum dielectric constant increase due to etch, strip + clean Maximum dielectric constant increase due to rework on dielectric critical dimension due to strip + clean Maximum effect 2.00% 2.50% 2.00% 2.50% 2.00% 2.50% 2.00% 2.50% 2.00% 2.50% Interconnect Surface Preparation Technology Requirements (2): Long-Term Processes (cont’d) Processes 1.2-4 (2): Long-Term Table Requirements Technology Surface Preparation Interconnect Roadmap for Semiconductors, 2006 Technology The International Association. are reprinted with permission from Semiconductor Industry Tables ed from the original.) TX. (Simplifi Austin, Edition, SEMATECH, 1: Overview of Silicon Wafer Cleaning, Kern 13

features, while the device chip size has been increasing to accommodate a larger number of circuits. At the same time the permissible maximum concentrations of chemical surface impurities have been lowered. The size of particle contaminants and their density per unit area have also been specifi ed to decrease. Therefore, the demands of surface purity are becoming con- tinually greater and more critical, but are also more diffi cult to attain. The term “ surface conditioning” may need some clarifi cation. In recent years one has become to realize that the process of cleaning may do more than just remove impurities from the surface. It can prepare the surface chemically for the next process step. For example, immersing a Si wafer with a contaminated native (ambient) SiO2 layer in dilute HF solution not only removes the contaminants with the oxide fi lm but also leaves a hydro- genated Si surface, an important prerequisite for subsequent epitaxial layer growth from the gas phase. Thus, the cleaning step has also conditioned the Si surface by a chemical reaction in preparation for the next process step.

1. 2.1 Wafer cleaning and surface conditioning technology The objective of wafer cleaning and surface conditioning is the removal of particle and chemical impurities from the semiconductor surface without damaging or deleteriously altering the substrate surface. The surface of the wafer must not be affected in such a manner that roughness, pitting, or cor- rosion negates the results of the cleaning process. Plasma, dry-physical, wet- chemical, vapor phase, and supercritical fl uid methods can be used to achieve these objectives. An extensive array of equipment is available for implement- ing the various processes for integrated circuit manufacturing applications. The traditional approach of pre-thermal wafer cleaning and surface con- ditioning is based on aqueous-chemical processes that typically use hydro- gen peroxide (H2 O2 ) mixtures. Successful results have been achieved by this approach for the past twenty-fi ve years. However, the large consumption of chemicals and rinse H2 O required by these processes and the disposal of chemical waste have led to the development of methods on for reduced chemi- cal and H 2 O usage. In addition, cleaning technologies that are -based, such as post-etch cleaning where metal patterns are exposed and post-CMP (chemical mechanical polishing) cleaning, are evolving to use semi-aqueous solvent chemistries that are more amenable to these requirements. The development of wafer cleaning technology had a slow start in the early period of 1950 – 1970 but then accelerated with the refi nements of semiconductor device architecture and the increasing criticalness of contaminant-free surfaces. The greatly increased level of research and 14 Introduction and Overview development for improved and new cleaning processes, coupled with advances in analytical methodology and instrumentation for the detection and characterization of impurities and surface structures, has been espe- cially pronounced from 1988 to 1992. This increased level of activity is exemplifi ed by several international conferences and symposia on wafer cleaning and related science and technology [3, 4, 5, 6, 7, 8], and the appearance of several volumes on particle contamination [9 , 10 , 11 ]. Research and development from this time to the present has been experiencing an ever-increasing level of activity, as evidenced by numerous published papers and by symposia and conferences sponsored by scientifi c organizations. Foremost of these are the International Symposia on Cleaning Technology in Semiconductor Device Manufacturing whose proceedings have been published by The Electrochemical Society Inc. (ECS) approxi- mately every second year since 1990. Other Important conferences have been periodically held by the Materials Research Society (MRS) whose pro- ceedings are published as the series on Surface Preparation Processes for Semiconductors. Others include the International Symposia on Ultra-Clean Processing of Silicon Surfaces (UCPSS); The Fine Particle Society; SCP Global Technologies Symposium, Semiconductor Equipment and Materials International (SEMI); MICRO , formerly Microcontamination; Semiconductor Pure Water and Chemicals Conference (SPWCC); the Institute of Enviro- nmental Sciences (IES); and the Conferences on Chemical-Mechanical Polish Planarization for ULSI Multilevel Interconnection; and Sematech has recently initiated a conference Surface Preparation and Cleaning Confer- ence. Important industry trade journals are Semiconductor International pub- lished by Reed Electronic Group, Solid State Technology published by Penn Well Inc., Future Fab International published Montgomery Research, and FabTech published by Henley Media Group. References to specifi c papers will be cited in this chapter and all chapters of this book. Prior to the refer- ence, there is a listing of some of these conference proceedings.

1. 2.2 Wafer cleaning and surface conditioning for integrated circuit manufacturing The manufacturing of an integrated circuit requires 500 – 800 process steps, depending on the specifi c type of device. Most steps are performed as unit processes with the complete wafers before dicing them into indi- vidual chips. Approximately 15– 20 % of the steps are cleaning operations, which indicates the importance of cleaning and surface conditioning. The technical jargon used in the IC surface preparation community often refers to wafers as “ FEOL ” and “ BEOL ” to specify the stage in the 1: Overview of Silicon Wafer Cleaning, Kern 15 processing. “ FEOL ” refers to wafers in the “ front end of line, ” meaning wafers in the initial stages of processing. These wafers feature only single- crystal or polycrystalline Si (polySi) with or without SiO2 (silicon diox- ide) and Si3 N4 (silicon nitride) layers or patterns, without exposed metal areas. Reactive chemicals with aqueous solutions can be used for cleaning and conditioning these corrosion resistant materials. Cleaning at the early stages is typically done prior to gate oxide deposition and high-tempera- ture processing, such as thermal oxidation and diffusion. The elimination of contaminants before these process steps is especially critical to prevent impurity diffusion into the substrate materials. “ BEOL ” refers to wafers in the “ back end of line,” later on in the process- ing. Cleaning of these wafers is much more restrictive because metal areas may be exposed, such as Cu (copper), Al (aluminum), or W (tungsten) metal- lization, possibly in conjunction with low-density or porous low-κ (dielectric constant) fi lms. Dry cleaning methods based on plasma chemistry, chemi- cal vapor-phase reactions, and cryogenic aerosol techniques may be used to remove organic residues and particulate contaminants. Aqueous/organic solvent mixtures and other innovative approaches may also be used that will not attack exposed sensitive materials. A general basic cleaning process fl ow for ULSI production can be out- lined as follows with detailed chemistry formulations to be discussed in Section 1.4.2:

A. Front End of Line (FEOL) 1. Plasma-stripping photolithographic mask and impurities after ion implantation or etching 2. Aqueous-based residue removal and cleaning using SPM (sul-

furic acid and hydrogen peroxide mixture) or O3 (ozonated) DI H 2 O (deionized H2 O) 3. Critical surface cleaning and surface conditioning prior to gate oxide deposition using SC-1/SC-2 (RCA Standard Clean 1 and 2), HF (hydrofl uoric acid solution) or dilute ozonated SC-1, dilute SC-2 and dilute HF (dHF) solution

4. Rinsing with DI H2 O 5. Vapor drying with IPA (isopropyl alcohol) in preparation for high-temperature oxide growth or polySi deposition.

B. Back End of Line (BEOL) 1. Plasma-stripping photoresist mask and impurities after pat- tern etching 16 Introduction and Overview

Table 1.2-5 Distribution of Cleaning Steps in the Manufacturing Process Flow of a Typical 45-nm Logic IC in 2007

Cleaning Step Total Number of Steps

BEOL aqueous post-strip 22 BEOL plasma clean 22 BEOL pre-metallization clean 21 FEOL aqueous post-strip 15 FEOL plasma strip 15 FEOL aqueous critical clean 10 FEOL and BEOL defectivity 10 improvement (particle removal) FEOL and BEOL Post-CMP clean 14 Total 119

2. Post-stripping fi rst cleaning step using organic or aqueous/organic solvent mixtures (semi-aqueous solvents) 3. Pre-deposition cleaning with brush scrubber, megasonic

treatment in DI H 2O, application of cryogenic aerosols, or use of supercritical CO2 () The distribution of cleaning and surface conditioning steps in the pro- cess fl ow for manufacturing a typical 45-nm logic integrated circuit in 2007 is presented in Table 1.2-5 . It can be seen that approximately 70 % of all cleaning steps are based on aqueous chemistries, and 30% are based on plasma processing. There is a small, but growing percentage, of cleaning steps that are vapor-based or other dry cleaning methods, not involving plasma or aqueous-based processing. A specialized cleaning operation is required for Si device wafers after CMP. Depending on the metallization used for the device the exposed sur- face may consist of Al, Cu, or W in addition to Si, SiO 2, silicate and doped glasses, SiN x , Si3 N4 , diffusion barrier layers such as TaN and TiN, etch stop fi lms, and possibly other materials. The primary purpose is the removal of particles from the polishing slurry, which may contain

Al 2 O3 (aluminum dioxide), SiO 2 , CeO 2 (ceric oxide), and other types of abrasives and chemicals. The approach taken is usually the application of brush scrubbing and/or megasonic cleaning in combination with non- corrosive aqueous-based chemical solutions. 1: Overview of Silicon Wafer Cleaning, Kern 17

1. 3 Overview of Wafer Contamination Aspects

The contamination of Si wafer surfaces is intimately associated with wafer cleaning and surface conditioning since this is the very reason for cleaning. Chapter 2 discusses this subject in depth, covering both chemi- cal and particle contamination and resulting defectivity. Key aspects of contamination are briefl y outlined in this section, which may serve as an introduction to Chapter 2.

1. 3.1 Types and origins of contaminants and defectivity Contaminants on wafer surfaces exist as adsorbed ions and elements, thin fi lms, discrete particles, particulates (clusters of particles) and adsorbed gases. Surface contaminant fi lms and particles can be classifi ed as molecular compounds, ionic materials, and atomic species. Molecular compounds are mostly particles or fi lms of condensed organic vapors from lubricants, greases, photoresists, solvent residues, organic compounds from DI H 2 O, fi ngerprints or plastic storage containers, and metal oxides or hydroxides. Ionic materials comprise cations and anions, mostly from inorganic compounds that may be physically adsorbed or chemically bonded (chemisorbed), such as ions of Na (sodium), F (fl uorine) and Cl (chlorine). Atomic or elemental species comprise metals, such as Cu and heavy metals that may be electrochemically plated out on the semi- conductor surface from HF-containing solutions, or they may consist of Si particles, dust, fi bers or metal debris from equipment. Particles can originate from airborne dust from equipment, process ing chemicals, factory operators, gas piping, wafer handling and fi lm-deposi- tion systems. Mechanical (moving) equipment and containers for liquids are especially prolifi c sources, whereas solid materials, liquids, gases, chemicals, and ambient air tend to cause less particle contamination, but all contribute signifi cantly to the generation of chemical contaminants. Static charge built up on wafers and carriers is a powerful force for particle deposition that is often overlooked that should be neutralized by proper grounding. A selection of fairly recent literature references relating to the sources of contaminants on Si surfaces is as follows: on airborne molecular contami- nation [12 ]; organic contaminants from cleanroom air [13 ]; metals from HF etchants [14 ]; metal ions from aqueous solutions [15 ]; cations from ammonia-containing solutions [16]; metal impurities from RCA SC-1 solution [17 ]; metal impurities and from alkaline solutions [18 ]; particles from dHF cleans [19 ]; and particles from electrostatic attrac tion [20 ]. 18 Introduction and Overview

1. 3.2 Types of semiconductor wafers Front end of the line Si wafers can be in the form of mechanically lapped and chemo-mechanically polished slices cut by saw from single-crystal ingots. They may be coated with an epitaxial layer of Si with different dopant type and concentration, and/or they may be coated with a fi lm of uniform or patterned Si dioxide. Up to this point in the processing, wafer cleaning operations can utilize highly reactive chemicals that do not attack these corrosion resistant materials. The situation changes once layers or patterns of deposited metals are present on the BEOL wafers. As already noted, the cleaning chemistry must then be confi ned to mild and non-corrosive treat- ments, such as rinses with dilute acids. Alternatively, dry-cleaning meth- ods such as cryogenic aerosols or supercritical fl uids can be applied. In this connection, we should mention that a small fraction of wafers in the fabrication of solid state microelectronic devices utilize compound semicon- ductors such as gallium arsenide (GaAs), gallium phosphide (GaP), and numer- ous complex alloys. The importance of these materials has grown steadily for unique applications in optoelectronics. The basic differences in chemical prop- erties between these semiconductors and those of Si require different and spe- cialized cleaning treatments that are outside the scope of this book.

1. 3.3 Effects of contaminants and defectivity on silicon device The various effects of contaminants on semiconductor Si, layers of dielectrics and insulators, and fi nished semiconductor devices are com- plex and depend on the nature, location and quantity of the specifi c type of impurity. Purifi cation of wafer surfaces to remove contaminants is essen- tial since over 50 % of the yield losses in the IC manufacturing are esti- mated to be caused by microcontamination. A selection of representatives early papers published from 1987 to 1992 on effects of contaminants are listed in references [ 21 – 40 ]. More recent representative papers on the effects of contaminants on Si and dielectrics include carbon contamination on device performance [41 ]; organics on electrical degradation [42 ]; iron (Fe) on surface rough- ening [43, 44]; electrical effects of cobalt (Co) and Cu [45]; Cu on thin oxide breakdown [46], on gate dielectrics [47, 48], in bulk Si [49]; and ionic contaminants on thermal oxidation of Si [50 ]. Two excellent sur- veys on the effects of numerous metal contaminants were presented in references [51 , 52 ]. 1: Overview of Silicon Wafer Cleaning, Kern 19

Molecular contaminant fi lms on wafer surfaces can act as a mask and thereby prevent effective cleaning or rinsing, impair good adhesion of depos- ited fi lms, and on heating form deleterious decomposition products. For example, organic residues, if exposed to high temperatures in a non-oxidizing atmosphere, can carbonize and form silicon carbide (SiC) that can nucleate polySi regions in an epitaxial layer. Ionic contaminants cause a host of problems in Si devices. During high- temperature processing, or on application of an electrical fi eld, they may diffuse into the bulk of the semiconductor structure or spread on the surface, leading to electrical defects, device degradation, and yield losses. For exam- ple, highly mobile alkali ions in Si and amorphous SiO 2 fi lms on Si may cause drift currents and unstable surface potential, cause shifts in thresh- old and fl at-band voltages, lead to surface current leakage and lower the oxide breakdown fi eld of thermally grown or deposited fi lms of SiO2 . In the growth of exitaxial Si layers, suffi ciently high concentrations of ions can give rise to twinning dislocations, stacking faults, and other crystal defects. Certain metallic contaminants are especially detrimental to the per- formance of Si devices, as indicated in Table 1.3-6. Since Si is above H in the electromotive series of the elements, heavy metals tend to deposit from aqueous solutions on its surface by galvanic action, actually plating out with high effi ciency, especially from HF-containing etchants. If not removed, these impurities may diffuse into the Si substrate during subse- quent heat treatments and introduce energy levels into the forbidden band to act as traps or generation/recombination centers, cause uncontrolled drifts in the semiconductor surface potential, affect the surface minority- carrier lifetime and the surface recombination velocity, lead to inversion or accumulation layers, cause excessive leakage currents, and give rise to various other device degradation and reliability problems. Metal contami- nants in or on Si wafers can lead to structural defects in vapor growth of epitaxial layers and degrade the breakdown voltage of gate oxides.

Table 1.3-6 Critical Impurity Elements for Si Devices. The Following Impurity Elements from Chemicals and Processes in the Manufacturing of Si ICs have Deleterious Effects on Device Performance

Heavy metals (most critical) Cu, Fe, Ni, Cr, Co, Mo Alkali metals (critical) Na, K, Li, Ca Other elements (least critical) Al, Mg, C, S, CI, F 20 Introduction and Overview

Particles can cause blocking or masking of wafer processing operations, such as photolithography, etching, deposition, and rinsing. They may obstinately adhere to surfaces by electrostatic adsorption and may become embedded during fi lm formation. Deposition and removal of particles becomes exacerbated as the size decreases because of the extremely strong adhesion forces. Furthermore, particles constitute a potential source of chemical contamination, depending on their composition. Particles that are present during fi lm growth or deposition can lead to pinholes, micro- voids, microcracks, and other structural defects depending on their chemi- cal composition. In advanced stages of device fabrication particles can cause shorts between conductor lines if they are suffi ciently large, conduc- tive, and located between conductor lines. They are considered potential device killers if their size is larger than one tenth the size of the smallest feature of the affected integrated circuit.

1. 3.4 Prevention of contamination and defectivity Surface microcontamination and its control [9 ], prevention detection, and measurement are important topics that are addressed in Chapter 2 and are only briefl y discussed in this section. The key notion of this subject is prevention . If we can prevent contamination during the entire device manufacturing process by creating and maintaining super-clean conditions in equipment, materials, and environment, there would be little need for wafer cleaning. Furthermore, it is generally easier (or less diffi cult!) to prevent contamination rather than to remove it once it has occurred. There- fore, avoiding contamination must be the fi rst priority, and strict contami- nation control should be exercised to the fullest extent throughout device manufacturing [53]. Contamination control requirements were described [26 , 54 ] and have been reassessed more recently [ 54 – 61 ]. Processing equipment has been the major source of particle contam- ination [62 ] and must be controlled effectively by eliminating the dust through scheduled maintenance and by electrostatic charge removal [20, 62 ]. Particle generation can be further minimized by reducing friction of moving equipment parts, avoiding turbulent gas fl ows, reducing operator handling through automation, and by exercising periodic cleanup [63 ]. Next to equipment, semiconductor wafer processing in the fab must be controlled. Carefully optimized processing conditions for fi lm depo- sition, plasma etching, ion implant, thermal treatment, and other critical processing steps are effective measures for preventing particle deposition. For example, in chemical vapor deposition, particle-generating homoge- neous gas phase nucleation must be minimized by optimizing the reaction 1: Overview of Silicon Wafer Cleaning, Kern 21 parameters. Recirculation of the partially depleted reaction gases must be avoided by improved equipment design. Sudden burst in the introduc- tion of gases into a system should be prevented by using “ soft starts” to increase the gas fl ow gradually, and so forth [64 ]. Prevention of contamination by particles and chemical impurities should be exercised by implementing ultrafi ltration of gases, DI H2 O, and pro- cessing liquids. Point-of-use (POU) fi nal purifi cation is especially effec- tive to accomplish this requirement. Furthermore, continuous efforts have been made by the producers of chemicals to provide the semiconductor electronics industry with ultrapure chemicals [65–68 ]. “ Ultrapure ” may be defi ned as total impurity concentrations in the ppt (parts per trillion) range. An interesting alternative approach to create ultrapure chemicals is the

POU generation using gaseous chemicals (O3 , HCl, and NH3 ) with DI H2 O [69 –72 ]. Finally, DI H 2 O, which is so extensively used throughout wafer cleaning and rinsing operations, must be effectively purifi ed to prevent sur- face contamination [66, 73, 74]. The specifi cations listed in the International Technology Roadmap for Semiconductors (Tables 1.2-1 to 1.2-4) serve as a guide for chemical impurity concentration limits and particulate contamination on surfaces that should be achieved. Refi ned methods for the analysis of trace impuri- ties on Si surfaces are available [ 75 – 84 ] to monitor the results of cleaning processes, as discussed in Chapter 10.

1. 4 Overview of Wafer Cleaning and Surface Conditioning Technology

The topics discussed in this section include liquid processes, gas-phase methods, and wafer rinsing and drying techniques. References to the lit- erature here and elsewhere in this chapter are intended to be representative rather than comprehensive.

1. 4.1 Liquid processes and wafer drying techniques Liquid processes for wafer cleaning and surface conditioning are based on the use of aqueous chemicals, organic solvents, or mixtures of the two. If aqueous chemicals are used the process is properly called “ wet-chemical. ” Most of these processes are for FEOL wafers, unless specifi cally noted for applications to BEOL wafers. Wet-chemical processes are still the primary workhorse in Si wafer cleaning and are therefore given special emphasis in this chapter. 22 Introduction and Overview

The mechanism of liquid cleaning can be purely physical dissolution and/or chemical reaction dissolution. Chemical etching occurs when mate- rials are removed by a chemical transformation to soluble species. Tradi- tionally, chemical etching is expected to remove substantial quantities of a material, such as a deposit fi lm on a substrate. However, certain chemical cleaning processes may result in the removal of only a few atom layers of material (as in SC-1 cleaning) and by above defi nition should also be con- sidered chemical etching; perhaps the term microetching would be a more appropriate description. Conventional liquid-chemical etching processes for the removal of bulk quantities of electronic materials is beyond the scope of this book. Several comprehensive reviews have been published that cover this topic thoroughly [85 –88 ]. Liquid methods of wafer cleaning are based on the application of min- eral acids, aqueous solutions including H2 O2 -containing mixtures, organic solvents and aqueous/solvent combinations. Different process sequences are used for specifi c applications. A variety of technical equipment is available commercially for effi ciently implementing cleaning processes for high-volume fabrication of IC devices. Rinsing, drying, and storing of cleaned wafers are intimately related to cleaning operations and are addressed in the section that follows. Organic solvents are rarely used for cleaning pre-metallized FEOL Si wafers since much more effective cleaning agents can be used. BEOL device wafers, however are sometimes treated with organic solvents to attain some degree of cleaning, since wet-chemical cleans cannot usually be used unless specially formulated. Chlorofl uorocarbon compounds, acetone, methanol, ethanol, and isopropyl alcohol (IPA) are solvents that are frequently used to remove organic impurities. IPA is generally the purest available organic solvent and is used extensively for vapor drying of H 2 O-rinsed wafers.

1. 4.2 Wet-chemical cleaning processes 1.4.2.1 Hydrofl uoric acid solutions

Mixtures of concentrated hydrofl uoric acid (49 wt% HF) and DI H2 O have been widely used for etching fi lms of silicon dioxide (SiO2 ), silicate glasses (e.g., phosphosilicates, borophosphosilicates) and of silicon nitride

(Si3 N4 , SiN x) that were grown or vapor deposited on Si substrate wafers. The chemical dissolution reactions have been identifi ed and described in the literature [85 , 86 ]. The thin layer of native oxide on Si, typically 1.0– 1.5 nm thick, is removed by a brief immersion of the wafers in diluted (typically 1:50 or 1:100) 1: Overview of Silicon Wafer Cleaning, Kern 23 ultrapure and POU fi ltered HF solution at room temperature. The change of the wetting characteristics of the initially hydrophilic to a hydropho- bic surface, which strongly repels aqueous solutions, can visually indicate when the oxide dissolution is complete. The effect is due to the H-passi- vated Si surface that results from exposure to HF. The resulting oxide-free H-terminated Si surface strongly attracts oppositely charged particles and is very sensitive to organic contaminants from DI H2 O and ambient air. Therefore, etching with HF solutions that leave the Si surface bare must be carried out with very dilute ultrapure (Fe-free) and ultra-fi ltered HF solution in a very low-particle atmosphere. In addition to etching, HF solutions can desorb metallic impurities from the Si surface. If desired, a pure SiO2 fi lm can be regrown by exposure of the HF treated Si to an oxidizing solution.

Mixtures of HF and ammonium fl uoride (NH4 F) solutions are known as buffered oxide etch (BOE or BHF) and are used for pattern delineation etching of oxide and fi lms. Buffering results in a more stable etch rate and prevents loss of photoresist fi lms that cannot withstand strongly acidic unbuffered HF solutions [89 ]. Surfactants may be added to the etchant to improve the wetting characteristics or to prevent micro- roughness of the Si surface [90]. Whereas the free acid is the major etch- – ing species in aqueous HF, the ionized F species HF2 is the major etchant component in buffered HF solutions. Addition of NH 4F increases the pH to 3– 4, maintains the concentration of F –, stabilizes the etching rate, and pro- – duces the highly reactive HF 2 . A commonly used BHF volume ratio of 7:1 NH4 F (40 wt% ) – HF (49 wt% ) has a pH of about 4.5 and appears to contain – – only HF2 and F , with very little free HF acid. The SiO2 etching rate of – HF 2 is four to fi ve times as fast as that for HF species in aqueous HF acid [86 ]. Additional details of the complex chemistry in these reac- tions (and or liquid-chemical etching in general) can be found in ref- erences [ 85 – 89 , 91– 96 ]. The subtle differences in the Si surface morphology resulting from these two types of fl uoride etchants are discussed in detail in Chapter 9.

1.4.2.2 Sulfuric acid/hydrogen peroxide mixtures Removal of gross organic materials from Si wafers, such as hardened photoresist polymer patterns after ion implantation, and other visible contaminants of organic nature, can be accomplished by using mixtures of 98 wt% H 2 SO4 (sulfuric acid) and 30 wt% H 2 O2 . Volume ratios of 2:1– 4:1 are used at a temperature of 100– 130 ° C for 10– 15 min. Organics are destroyed and eliminated by wet-chemical oxidation, but inorganic 24 Introduction and Overview contaminants, such as metals, are not desorbed. What is worse, the Si surface after this cleaning step is strongly contaminated with S (sulfur) residues from the H2 SO4 [94 –97 ]. These “ sulfuric-peroxide mixtures ” (SPM), which are also known as “ piranha etch” (because of their vora- cious ability to eradicate organics) or, incorrectly, “ Caros acid ” , are extremely dangerous to handle in the fab; goggles, face shields, and plastic gloves are needed to protect the operators. This procedure is usu- ally the fi rst cleaning process to prepare grossly contaminated FEOL

Si wafers for subsequent treatments. Vigorous rinsing with DI H2 O is required to completely remove the viscous liquid. Finally, it is advanta- geous after the DI H2 O rinsing to strip the impurity-containing formed oxide fi lm on Si or on the thermal SiO2 layer by dipping the wafers for 15 seconds in HF–H2 O (1:50), followed by a DI H 2O rinse. A modifi ca- tion can be made by adding minute amounts of HF to the SPM which results in better removal of sulfur compounds, shorter rinsing times, and improved particle removal [94].

Some alternative oxidants are sometimes used instead of H 2 O2 in an effort to improve the stability of the mixtures. These alternative additives include ammonium persulfate (NH 4 )2 SO4 , known as SA-80 when com- bined with H 2 SO 4 [98]; peroxydisulfuric acid (H 2 S2 O8 ), called PDSA or “ Caros acid” when combined with H2 SO4 [99]; and ozonated H2 O, DI H 2 O/O3 , called sulfuric acid-ozone mixture (SOM) when combined with H 2 SO4 [100 ].

1. 4.2.3 Original RCA cleaning process The fi rst successful process for wet-cleaning FEOL Si wafers was sys- tematically developed at RCA, used for several years in the fabs, and fi nally published in 1970 [101]. The process consists of two consecutively applied hot solutions known as “ RCA Standard Clean ” , SC-1 and SC-2, featuring pure and volatile reagents. These solutions have been widely used in their original or modifi ed form for over 40 years in the fabrication of Si semicon- ductor devices. The SC-1 solution for the fi rst processing step consists of a mixture of NH 4OH (ammonium hydroxide), H2 O 2, and H 2O; also known as “ APM ” for “ ammonia/peroxide mixture.” The SC-2 solution for the second processing step consists of a mixture of, HCl (hydrochloric acid), H 2 O2 , and H 2 O; also known as “ HPM ” for “ hydrochloric/peroxide mixture. ” The process as originally published [101] is concisely described below. Changed or modifi ed versions of the RCA Clean as they are used now will be discussed in the next section. 1: Overview of Silicon Wafer Cleaning, Kern 25

The originally specifi ed composition for the SC-1 solution ranges from

5:1:1 to 7:2:1 parts by volume of: H 2 O:H2 O2 :NH4 OH. Filtered DI H2 O or quartz distilled H 2 O is used. The H2 O2 is electronic grade 30 wt % H2 O2 , unstabilized (to exclude contaminating stabilizers). The NH 4 OH is 27% (wt/wt% based on NH 3.). The originally specifi ed composition for the SC-2 solution ranges from 6:1:1 to 8:2:1 parts by volume of:

H2 O:H2 O2 :HCl; the H 2O and H 2 O2 are as noted above. The HCl concentra- tion is 37 wt % . The exact compositions for both solutions are not critical for proper performance; the recommended proportions are reliable and simple to prepare and use. Cleaning in either mixture is carried out at 75– 85 ° C for 10 – 20 minutes followed by a quench and overfl ow rinse in running DI

H2 O. Diluting the hot bath solutions with cold H 2 O is done to displace the surface level of the liquid, and to reduce the bath temperature to prevent any drying of the wafers on withdrawal from the bath. The wafers are rinsed with running DI H2 O or quartz distilled H2 O and then spun dry. They are immediately transferred to an enclosure fl ushed with pre-fi ltered inert gas for storage, if they cannot be processed immediately. The SC-1 solution was designed to remove from Si, oxide and quartz surfaces organic contaminants that are attacked by both the solvating action of the NH4 OH and the powerful oxidizing action of the alkaline H2 O2 . The NH 4OH also serves to remove by complexing some periodic group IB and IIB metals such as Cu, Au, Ag, Zn, and Cd, as well as some elements from other groups such as Ni, Co, and Cr. In fact, Cu, Ni, Co, and Zn are known to form amine complexes. It was not originally realized that, prior to the ability to perform AFM (atomic force microscope) analysis, SC-1 dissolves the thin native oxide layer on Si at a very low rate and forms a new oxide on the Si surface by oxidation at approximately the same rate. This oxide regeneration is now believed to be an important factor in the removal of particles and chemical impurities. The SC-2 solution was designed to dissolve and remove from the Si surface alkali residues and any residual trace metals (such as Au and Ag), as well as metal hydroxides, including Al(OH)3 , Fe(OH)3 , Mg(OH)2 , and Zn(OH) 2. Displacement replating from solution is prevented by for- mation of soluble metal complexes with the dissolved ions. The solution does not etch Si or SiO 2 and does not have the benefi cial surfactant activity of SC-1 for removing particles. SC-2 has better thermal stability than SC-1 so that the treatment temperature need not be as closely controlled. The original paper [101 ] demonstrated the effectiveness of the pro- cess for the removal of organic surface contaminants by sensitive H2O spray tests, the elimination of electrically active surface impurities by 26 Introduction and Overview electrical measurements of MOS devices, and by radiochemical tracer studies of adsorption and removal of various metallic nuclides. The rela- tive oxidizing powers of the cleaning solutions were compared on an electrode potential versus pH diagram, demonstrating the remarkable activity of the solutions to solubilize most metal adsorbates by oxida- tion and complexing. The thermal instability of H2 O2 in SC-1 (and to a lesser extent in SC-2) necessitates the use of freshly prepared mixtures.

The effects of H2 O2 depletion and of fl uoride ion addition were also investigated in terms of Si and SiO2 etch rates. Several improvements to the original RCA cleaning procedure were reported by Kern in a number of papers [102 –107 ]. The most infl uential of these changes was the introduction of the RCA megasonic cleaning sys- tem for cleaning and rinsing of wafers [104]. Megasonic treatment in an SC-1 bath is especially advantageous for physically dislodging particles from the wafer surface due to the high level of kinetic energy. It allows a substantial reduction in solution temperature and offers a much more effi cient mode of rinsing than immersion tank processing. Other important improvements include the simplifi cation of the com- position ratios for both SC-1 and SC-2 to 5:1:1 and a reduction of treat- ment temperature and time to 70 – 75 ° C for just 5 – 10 minutes. The use of fused silica vessels instead of Pyrex glassware was introduced to eliminate contamination from leached glass components. The separation of SC-1 from SC-2 processing stations in two dedicated exhaust hoods was recommended to avoid contamination from airborne colloidal NH4 Cl generated from NH3 and HCl vapors. No gross etching of Si or oxide was shown to occur with

SC-1 even if the H 2 O2 concentration is reduced by a factor of ten. Finally, an optional process step was introduced by stripping the hydrous oxide fi lm formed after SC-1 with high-purity particle-free, 1:50 dHF for 10 seconds so as to re-expose the Si surface for the subsequent SC-2 step.

1. 4.2.4 Modifi cations of the RCA cleaning process The development of several improvements in RCA Cleaning has been reported in the early literature and relate mainly to four areas of processing:

1. Two alternative implementation techniques are centrifugal spray processing where the cleaning solutions are sprayed on spinning wafers in a centrifuge [108 ]; and stationary closed system processing where the wafers remain enclosed in a module during the entire cleaning, rinsing and drying procedure [109 ]. 1: Overview of Silicon Wafer Cleaning, Kern 27

2. Reduction of the NH 4OH concentration in SC-1 by a factor of 2 – 10 to prevent microroughening of the Si surface and to enhance particle removal, thereby improving the quality of gate oxides [35 – 40 ].

3. Dilution of SC-1 and SC-2 with DI H 2O to various concentra- tions while still achieving good cleaning effectiveness [110 ]. 4. Replacement of SC-2 with very dilute, room temperature HCl since Au and Ag contaminants are now no longer present in high-purity process chemicals. Previously, any deposits of Au or Ag on Si required SC-2 for oxidative desorption. Other residual metals and their hydroxides are readily soluble

in dilute HCl [111 ], (preferably containing some O 2 ).

1. 4.2.5 Alternative cleaning solutions Several additional solutions for wet-chemical cleaning and surface con- ditioning are available for use in conjunction with or as a replacement for RCA Standard Cleaning and will be briefl y surveyed: HF-last processing is used for creating a H-passivated, hydrophobic Si surface by briefl y immersing cleaned wafers as a last step of the RCA sequence in diluted ultrahigh purity HF, followed by fi nal rinsing and dry- ing [112–115 ]. Alternatively to wet processing, the wafers can be exposed to HF-IPA vapor [113 ]. Dilute chemistry refers to the dilution of cleaning solutions to various concentrations. Optimal dilution levels have been established for specifi c process steps to achieve maximum cleaning effi ciency at minimal con- sumption of chemicals [110, 116–119]. The degree of dilution can be var- ied greatly and is sometimes carried to extremes. For example, a 1000:1:1 ratio for “ ultra-diluted ” SC-1 in conjunction with a nonionic surfactant and megasonic treatment at 65 ° C has been reported for successful wafer cleaning applications [119]. However, certain compromises in optimiza- tion should be carefully considered to avoid negative effects [120 ]. Ozonated solutions have become an important part in the cleaning chem- istry. The use of ozonated DI H2 O (DI H 2 O/O3 ) was shown in one of the earliest reports to offer substantial advantages over cleaning mixtures pre- pared with H 2 O2 [100]. The authors generated DI H 2 O/O3 in the range of 10–15 ppm, added it to H2 SO4 , and applied the mixture (SOM, “ Sulfuric Ozone Mixture ” ) by centrifugal spraying. After the second step with dHF, spray rinsing was done with DI H 2O/O 3. Since then, many uses for O 3 in wet cleaning of Si wafers have been introduced, as noted in selected refer- ences [ 72 , 100 , 121– 132 ]. 28 Introduction and Overview

Ozone is one of the strongest oxidants available; it generates an active radical when dissolved in ultrapure H2 O (UPW). For comparison, the oxi- dation-reduction potential of O3 is 2.07 V, whereas for H 2 O2 it is only 1.78 V. Major advantages of O3 include reduction of chemical usage, lowered pro- cessing costs, improved cleaning performance and a high quality of oxide if used for forming SiO2 fi lms [122 ]. Removal of organic contaminants can be accomplished at room temperature with DI H2 O/O3 [122 –125 ]. Ozon- ated H2 SO4 (SOM) has been used to replace the traditional SPM process for stripping photoresist polymer fi lms [126]. An optimized process using

DI H2 O/O3 with ammonium bicarbonate (NH4 HCO3 ) can remove photore- sist layers on BEOL wafers with Al metallization [127 ].

Ozonization has also been done by injecting O 3 into various liquid chemi- cals other than DI H2 O and H2 SO 4 [72 , 123 ], including dHF for removing both resist and post-etch polymer residues [128]. Post-metal etching resist or residue has been shown to be removable from BEOL wafers with DI

H2 O/O3 at 50 ° C [129 ]. The chemistry involved in the reactions of O3 with NH 4 OH and Si has been investigated [130 ], as well as the reactions involved in the removal of organics in DI H2 O/O3 [131 ]. As mentioned already, an important additional use of ozonated ultrapure DI H2 O is for forming thin oxide fi lms on Si after exposure to HF [122 , 132 ].

Micro-etching solutions based on dHF and an oxidant, such as H2 O2 or HNO 3 , can have better effi ciency than conventional cleans for removing Cu and other metallic surface contaminants, if some loss of Si surface layer is acceptable [ 14 , 115 , 121 , 133– 138] . For example, a typical mix- ture of 1% H2 O2 –0.5 % HF has been used [133], and one of 0.025– 0.1 % HF–HNO3 [134 ]. Miscellaneous cleaning solutions have been reported that can offer advantages over conventional cleaning. The addition of dilute HCl to dilute HF enhances the removal of Cu (and possibly other trace metal depos- its) [121 , 136 , 137 , 139 , 140 ]. A different type of cleaning composition uses choline (trimethyl-2-hydroxyethyl ammonium hydroxide) instead of

NH4 OH in SC-1 [141 –144 ], with possibly improved performance [143 ]. A similar commercial composition utilizes tetramethyl ammonium hydrox- . ide ((CH3 )4 NOH 5H2 O) as an alternate organic base, with H2 O2 in a spray application [145 ].

An aqueous solution of hydroxylamine (NH2 OH) at 60 – 70 ° C has been reported for removing post-etch polymer residues from BEOL wafers without structural damage [146, 147] alternatively, semi-aqueous solvents can be used advantageously with the same reagent [147 ]. The application of surfactant additives to aqueous solutions has become widely accepted. Surfactants increase the wettability of Si wafers, aid in 1: Overview of Silicon Wafer Cleaning, Kern 29 the removal of fi ne particles, can prevent micro-roughness generation of Si during etching, and improve the overall cleaning effi ciency [ 14 , 55 , 90 , 119 , 136 , 137 , 148 – 153 ]. They have been added to both alkaline and acidic media including SC-1, SC-2, dHF, dHF-H 2 O2 and dHF-O3 . Anionic, cationic, and nonionic types have been applied to modify the polarity of the zeta potentials in minimizing particle deposition. Finally, chelating or complexing agents have been shown to enhance the cleaning activity of aqueous solutions and prevent adsorption and re- adsorption of dissolved metal contaminants [ 17 , 119 , 149 , 154– 159 ]. These agents are much more chemically reactive that the surfactants noted above. The oldest and best-known agent is EDTA (ethylendiamine tetra acetic acid) for binding Ca ions, but numerous other chemicals have been studied as additives to aqueous cleaning solutions with benefi cial results.

1. 4.3 Alternative wet-chemical cleaning and surface conditioning systems Very extensive and excellent research has been done in recent years by several groups of investigators to develop wet-chemical Si wafer cleaning and surface conditioning processes that would have advantages over the traditional RCA-type cleaning. These new processes typically combine several of the discrete cleaning steps described in the previous sections to create a consolidated and optimized procedure. All of these alternative procedures are based on wet-chemical cleaning which is favored because many inherent properties of aqueous solutions facilitate a broad-spectrum removal of metal deposits, particles, and other contaminants, which would not be possible with dry cleaning methods. The reasons for changing the wet chemistry of cleaning have been the need to generate improved high- performance gate dielectrics, which require ultrahigh-purity Si surfaces, and cost reduction of the entire cleaning procedure. These alternate clean- ing systems have become known as Ohmi Clean, IMEC Clean, Diluted Dynamic Clean, and single-wafer/short-cycle clean. The salient features of each are described below.

1. 4.3.1 Ohmi clean Ohmi clean refers to the systems developed over many years by the research group at Tohoku University in Sendai, Japan, under the lead- ership of Professor T. Ohmi. The features of these systems include the application of dilute chemistry with HF, H2 O2 , ozonated and hydrogenated 30 Introduction and Overview

ultrahigh-purity H2 O (UPW), and fast processing at room temperature with megasonics with or without surfactants in an optimized processing sequence [114, 138, 160–162]. A typical procedure for FEOL wafer clean- ing is shown in Figure 1.4-1 [114 , 160 ]. A simplifi ed four-step system was developed more recently after a series of intermediate ones [161]. It does not use surfactants and employs O 3 /UPW and H 2 /UPW with megasonics, dHF – H2 O2 and H2 /UPW rinsing with megasonics followed by drying. Details are shown in Figure 1.4-2 [161 , 162 ].

Organic Carbon, Metal Ozonized DI Water O3:5ppm Room Temperature HF/H O/H O /Surfactant + Megasonic Chemical Oxide 2 2 2 Particle, Metal Room Temperature HF:0.5% H2O2:0.1-1% Surfactant:50ppm Ozonized DI Water Megasonic Shower Cleaning Organic Carbon Removal of Adhered O :1ppm Chemicals 3 Room Temperature Chemical Oxide DHF 0.1% Perfect H Termination Room Temperature

Rinsing DI Water + Parallel Down Flow + Megasonic Room Temperature

Figure 1.4-1 New wet cleaning process developed by professor Ohmi [160]. Reprinted with permission of SCP Semiconductor Technologies.

O3-UPW (pH=4.0) Removal of Organic Carbon and Metal (Room Temperature) O3 : 5 mg/1 Removal of Particle H2-UPW(pH=9.3~10.0) + Megasonic (Room Temperature) Removal of Chemical Oxide H2: 1.3~1.5 mg/l Removal of Metal Suppression of Particle Re-Adhesion FPM (HF/H2O2) H-Termination (Room Temperature) HF: 0.5 % H2O2: 0.1~1.0% Rinse Enhancement of H-Termination H* Radical added UPW Removal of Particle Suppression of Native Oxide Growth H2: 1.3~1.5 mg/l No Water Mark (Room Temperature) Hot N/H* Radical Dry Perfect H- H2=0.1 % (at 200-250°C)

Figure 1.4-2 Four-step room temperature wet-cleaning for FEOL [161, 162]. Reprinted with permission of SCP Semiconductor Technologies. 1: Overview of Silicon Wafer Cleaning, Kern 31

BEOL Cleaning Concept − Metal films exposed dielectric film 1. HF solutions with Surfactant − Structure with very tiny pattern - Surfactant : zeta potential control

Particle removal on dielectrics 0.5% H2O2 - HF > 600 ppm:\ minimum HF conc. For particle removal HF with surfactant To control the etch of some metallic films (dHFS) -H2O2 : E/R increase of some metals • 2. O -UPW Rinse HF > 600 ppm 0% H2O2 0% H2O2 3 •Suffactant (Anionic: 100 ppm) -O3 > 5 ppm: surfactant residues removal

1. Room Temperature 2. No Megasonic Irradiation 3. Low Etching Rate and NO Surface Damage of Metallic Films 4. Perfect Removal Efficiency for ALL Contaminants 5. Universal Chemical: the Same for ALL Metallic Films

Figure 1.4-3 Two-step room temperature wet cleaning for BEOL [162]. Reprinted with permission of SCP Semiconductor Technologies.

A two-step room temperature wet-cleaning procedure for BEOL wafers is based on dHF with an anionic surfactant. The etch rate of some metallic

fi lms is controlled by adding 0– 0.5 vol% H 2 O2 . Rinsing is done with O 3 / UPW to remove surfactant residues. An outline of this interesting process is presented in Figure 1.4-3 [162 ].

1. 4.3.2 IMEC clean A very active group of researchers at IMEC in Leuven, Belgium, has been performing outstanding pioneering work in developing cleaning technologies for metal and particle removal preliminary to preparing critical oxide layers; they have published their results in numerous presentations and papers [52 , 113 , 163 –165 ]. A roadmap for simplifying the cleaning process from RCA-clean to IMEC-clean and eventually to single-wafer wet clean is outlined in Figure 1.4-4 as a guide [165 ]. The IMEC-clean concept is based on a two- step cleaning approach and is illustrated in Figure 1.4-5 . The bold printed insets are the preferred choices over alternative ones indicated. The purposes for each process are shown below each step. If the IMEC-clean recipe is implemented in an automated wet bench, the total processing time for a batch of wafers is typically 32 minutes. Excel- lent cleaning performance has been demonstrated with this cost-effective replacement for the traditional RCA-clean [165 ]. 32 Introduction and Overview

Figure 1.4-4 Suggested roadmap toward cleans with lower chemical and DI

H2O consumption [165]. Reprinted with permission of SCP Semiconductor Technologies.

Figure 1.4-5 Schematic illustration of the IMEC-clean concept [165]. Reprinted with permission of SCP Semiconductor Technologies.

1. 4.3.3 Diluted dynamic clean This system, named dilute dynamic clean, “ DDC ” , has been developed mainly by researchers at Gressi-Leti in France [166–168 ]. It uses only diluted chemicals at room temperature and can be optimized for removal of

SiO2 fi lms, particles, or metal deposits [166 ], as well as for pre-gate clean- ing applications [168]. A conventional quartz overfl ow rinsing tank is used into which small amounts of O 3 and/or gaseous HCl are injected into the 1: Overview of Silicon Wafer Cleaning, Kern 33

continuous fl ow of DI H2 O. Both the cleaning and rinsing are performed alternatively in the same tank. A second tank is dedicated to HF-based chemistry (1 wt% HF) featuring a re-circulated/fi ltered bath solution with an O2 desorption device and a chemical purifi er to remove noble metals. The quantity of chemicals consumed in DDC is reduced more than tenfold over the conventional SPM/HF/RCA-clean process and the cycle time is decreased twofold, with results as good as with RCA-clean [168 ].

1.4.3.4 Single-wafer/short-cycle clean Single-wafer processing has become more important in recent years because it allows superior processing control, prevents cross-contamination, improves technical performance, and facilitates better integration with other processing steps than conventional batch processing. Other impor- tant advantages are the greatly decreased cycle time and reduction in the volume of cleaning chemicals and DI H2 O while still resulting in good effectiveness. One system employs DI H2 O/O3 and dHF in an alternat- ing repetitive sequence. The solutions are dispensed over the horizontally spinning wafer for a few seconds each. The sequence is repeated as many times as necessary until the wafer surface has attained the desired degree of cleanliness. Spin drying is done in a N 2 atmosphere. Excellent results in cleaning effi ciency have been reported [169–171 ]. Another FEOL wet-cleaning procedure consists of a two-step spin/spray with diluted SC-1 for 10 seconds followed by a rinse and a 10-sec diluted HF–HCl spray [172 ]. A short-cycle single-wafer cleaning system ( “ AM Clean ” ) features a horizontal spin unit with a dispense/spray setup for 300 mm wafers. It uses a treatment with 30 seconds dHF, a 20-sec DI H 2O rinse, a 30-sec modifi ed SC-1 spray/rinse, and a 20-seconds fi nal rinse followed by 20 seconds of centrifugal drying. The modifi ed SC-1 contains a surfactant and a chelating agent. The entire cycle time requires just 2 minutes instead of 64 minutes for conventional immersion cleaning, as shown in Figure 1.4-6 [173 , 174 ]. A full-coverage megasonic system associated with the single-wafer horizontal spin unit can provide uniformly focused acoustic energy over a wafer for improved effi ciency [174 ]. Excellent results have been published with this novel wafer cleaning system. Finally, a single-wafer tool for immersion wet processing delicate sub- 100-nm device structures has been described which uses dilute SC-1 solution and a fi nal dHCl rinse. A multiple megasonic transducer array 34 Introduction and Overview

CONVENTIONAL RCA CLEAN vs. AM-CLEAN

Wet bench : oxide etch + hydrophilic clean (RCA clean)

>1 hr DHF rinse SC1 rinse SC2 rinse dry

5 min 8 min 10 min 8 min 10 min 8 min 15 min

Single-step RCA-equivalent AM-clean: Oxide etch + hydrophilic clean

2 min DHF rinse AM rinse dry

30 sec 20 sec 30 sec 20 sec 20 sec

Figure 1.4-6 Performance of a novel single-wafer/short-cycle wafer cleaning system [174]. Used with permission from Semiconductor International.

was built in the vertical process chamber to direct acoustic energy to the wafer surface. Process and rinse times of 30 seconds are typical. IPA vapor drying has been successfully incorporated with reportedly good results [175 ].

1. 4.4 Equipment for implementing wet-chemical cleaning A large choice of commercial equipment for implementing wet-cleaning processes is available. The selection of a particular type of equipment depends on many factors, such as batch- or single-wafer processing, wafer size and device type, throughput requirements, and FEOL or BEOL pro- cessing. The various types of equipment are briefl y described below, and will be discussed in greater detail in Chapter 4. The original RCA cleaning process utilized a simple immersion tank of fused quartz, or plastic polymer material for HF solutions. Wafers were placed in a slotted plastic carrier, which was immersed in the bath solu- tions. Commercial tanks feature an overfl ow and recirculation setup with temperature control. Immersion tank wet benches for large scale batch processing are now produced by several equipment manufacturers. These units are quite elaborate, featuring a series of tanks for different bath solu- tions, all enclosed with HEPA (high-effi ciency particulate air) fi ltered 1: Overview of Silicon Wafer Cleaning, Kern 35 airfl ow, and a fully automated robotic processing capability. They may also incorporate megasonic and IPA vapor drying stations. Centrifugal spray machines for batch- or single-wafer dynamic wet- cleaning use freshly mixed and separately introduced cleaning solutions.

They are more conservative on chemical and H 2 O use and allow cleaning, rinsing, and spin-drying or IPA vapor drying in the same unit, with greatly reduced time cycles. Static closed systems designed for batch- or single-wafer processing retain the wafers in an enclosed module for the entire cleaning, rinsing, and drying sequence. The hot or cold solutions and IPA are introduced by programmed direct displacement techniques. Megasonic systems produce ultrahigh frequency energy at 0.85 – 0.90 MHz generated by piezoelectric transducers. Acoustic streaming results, which leads to effective damage-free cleaning. Originally developed at RCA, several types of units are available from commercial equipment sup- pliers. Combined with diluted SC-1 solution at 70 ° C megasonics affords very effi cient simultaneous removal of submicron particles and chemical contaminants from the front and back surface of wafers. Single-wafer brush scrubbers hydrodynamically remove particles of 1 µ m and larger from the front and back side of hydrophilic FEOL and

BEOL wafers. Special brushes and optimized cleaning solutions, DI H2 O, or IPA are used. Brush scrubbers have been used since the early days of wafer processing and in their refi ned version are still a choice piece of equipment for particle removal after CMP. Wafer carriers are an integral part of all wafer processing operations due to the need of handling and transfer of wafers in batches. Wafer carriers are designed to hold wafers in slots of 25 or more wafers. A variety of plas- tic materials are available for their manufacturing. They can be a critical source of particle contamination, their proper use and specialized cleaning are very important for preserving wafer cleanliness. For a detailed discus- sion of this important topic, which is beyond the scope of this chapter, the reader should consult the specialized literature.

1. 4.5 Wafer rinsing, drying, and storing The last steps in wet-chemical wafer cleaning are rinsing and drying [176, 177]; both are extremely critical because clean wafers can become recon- taminated very easily. Rinsing should be done with fl owing high-purity and ultrafi ltered high-resistivity DI H2 O at room temperature. The results of several early studies were published some years ago [37, 178–180 ]. More 36 Introduction and Overview recent papers include fundamental/theoretical aspects of rinsing [165 , 181–184 ], optimization for single-wafer rinsing [182 ] and by acidifi cation to prevent metal deposition [52 , 165 , 185 ], corrosion prevention in BEOL rinse processes [186 ], and physical mechanisms involved in rinsing pat- terned wafers [182–184].

1. 4.5.1 Wafer rinsing Megasonic rinsing is advantageous [179 ] and is the most effective tech- nique for reducing the critical boundary layer between the wafer surface and the rinse H2 O [37, 178–180]. BEOL wafers with Cu metallization can be safely rinsed megasonically at 60 ° C [187 ]. Centrifugal spray rinsing [108, 188] and processing in a closed-system module [109, 189] have the advantage that the wafers remain enclosed between the cleaning, rinsing, and drying steps. Quick dump rinse has been the most frequently used method and is still being used widely.

1. 4.5.2 Wafer drying

Wafer drying after rinsing must be done by physical removal of the H 2 O, rather than by allowing it to evaporate, which would leave residues. Spin drying accomplishes this and has been one of the most widely used techniques, although recontamination tends to occur.

Forced air or N 2 drying using warm and fi ltered gas is a preferred tech- nique with less chance for particle recontamination [190 , 191 ]. Capillary drying is based on capillary action and surface tension to expel the H 2 O. Individual wafers in a plastic holder are slowly pulled out of DI H 2O at 80– 85 ° C into a controlled atmosphere. Less than 1 wt% of the H 2 O is claimed to evaporate, leaving a particle-free surface [176 ]. Solvent vapor drying starts with wet wafers being moved into the hot vapor of a pure, H2 O-miscible solvent, usually IPA, which condenses and thereby displaces the H2 O. The wafers dry particle-free when the cassette is withdrawn above the vapor zone. IPA vapor drying in its various modi- fi cations has become the preferred method in batch processing. Variously operating commercial drying systems for IPA (and for non-fl ammable sol- vent mixtures) are available [109, 176, 192]. The purity of the solvent is extremely important, and the H 2 O content during processing must be closely controlled so as not to exceed a critical concentration to achieve an ultraclean and dry surface [109 , 176 , 193–195 ]. A comparative evaluation of spin rinse/drying and IPA vapor drying is available [196 ]. Secondary 1: Overview of Silicon Wafer Cleaning, Kern 37 ion mass spectroscopy (SIMS, discussed in Chapter 10) could not detect

IPA on Si surfaces, but the growth rate of native SiO 2 fi lms was depressed, indicating the presence of a very thin IPA fi lm. Interestingly, the electrical properties of the oxide improved substantially [197 ]. Marangoni drying is a different type of vapor drying. During a slow removal of the wafer from the rinse DI H 2O, the air/H 2O/Si surface is exposed to a H2 O-miscible tensioactive organic solvent vapor, usually IPA. Surface tension effects cause the H2 O to sheer off a planar wafer surface [198 ], leaving a clean and dry hydrophilic Si surface. Marangoni IPA wafer drying techniques have been incorporated widely in commercial wet-cleaning systems. Rotagoni™ , a single-wafer drying method, combines the Marangoni drying principle with a single-wafer spin machine. It can be used a low rotational speed which can signifi cantly reduce the amount of splash back and entrainment of air born particles. After spin rinsing with UPW, spin drying is done in an atmosphere of IPA [182 , 199 ].

1. 4.5.3 Wafer storage Extreme care must be taken to avoid recontamination of clean device wafers during storage if immediate continuation of processing is not pos- sible. Wafers should be placed ideally in chemically cleaned, closed glass containers or stainless steel enclosures, while being fl ushed with high-purity

fi ltered N2 in a clean room. However, this is rarely feasible in the fab; the usually used plastic containers will always cause organic surface contami- nation. Metal tweezers must never be used to handle semiconductor wafers since they will invariably cause contamination by traces of metals. The fi nal criteria of the success of all wafer cleaning operations are the purity of the wafer surface after the last treatment and eventually the semiconductor device yield. No matter how effective the various cleaning steps may be, improper rinsing, drying, and storing can ruin the best results [105].

1. 4.6 Dry cleaning and surface conditioning processes 1. 4.6.1 General considerations Dry cleaning as opposed to wet cleaning is the subject of Part III of this book and covers three chapters:

1. Vapor-phase cleaning 2. Plasma stripping and cleaning 3. Cryogenic aerosol/supercritical fl uid cleaning. 38 Introduction and Overview

The two chapters on plasma and cryogenic cleaning are entirely new in this 2nd Edition of the book and have been added because of the increased importance of these technologies. The dry cleaning methods and processes to be overviewed should not necessarily be taken as an outright replacement of wet-cleaning, but should rather be considered a valuable complement in certain process sequences to achieve optimal results. Dry cleaning meth- ods are presented in Part III, Chapters 5– 7. Early insights of this cleaning method are included.

1. 4.6.2 Vapor-phase cleaning processes and methods Vapor-phase cleaning is often called dry-cleaning in contrast to wet- cleaning. However, just like liquid cleaning is a more accurate term than the more restricted “ wet-cleaning ” , vapor-phase cleaning is a more con- cise expression than “ dry-cleaning ” since it is done in the gas or vapor phase which may or may not be dry. Vapor-phase cleaning offers actual and potential advantages over liquid cleaning methods in the fabrication of advanced semiconductor devices in terms of processing and economy. In this section, we present a preview of vapor-phase cleaning processes for the removal of various types of contaminants and for conditioning the Si surface. An in-depth presentation will be presented in Chapter 5. The impetus for developing vapor-phase cleaning processes has been to correct shortcomings of wet-chemical processing, such as ineffective removal of cleaning solutions from submicron device structures with deep and narrow trenches, incompatibility with process integration, and high cost of the large quantities of liquid chemicals and DI H2 O required. Vapor-phase cleaning can correct these problems and allows process inte- gration for cluster tooling at reduced pressure. However, particles cannot be readily removed from the surface, the reaction of adsorbed chemical impurities are generally specifi c rather than all-inclusive, and H2 O rinsing may still be required to remove reaction products. Four major processes have emerged for practical applications of vapor- phase cleaning in IC device fabrication:

1. HF vapor etching for removal of oxides

2. UV/O 3 exposure for removal of organics 3. UV/Cl2 processing for removal of adsorbed metal contami- nants 4. Organochemical vapor-phase cleaning for metal removal under less aggressive conditions. 1: Overview of Silicon Wafer Cleaning, Kern 39

HF vapor etching, cleaning and surface conditioning

Films of SiO 2 (native, deposited, and thermal) and silicate glasses (PSG; phosphosilicate glass; BSG, borosilicate glass; and BPSG, borophospho- silicate glass) can be vapor etched with anhydrous HF gas, HF gas/ H2 O vapor mixtures, and HF gas/alcohol vapor, all with N2 carrier gas. A vari- ety of mixtures, pressure conditions, temperatures, and reaction times have been used in practice. One method for attaining good control and unifor- mity of the etching process is based on purging the reactor with dry N2 followed by introducing anhydrous HF gas without additives. After an ini- tiation step by of HF and H2 O, the subsequent etching pro- ceeds by the same chemical reaction as in liquid phase wet etching, even though no H2 O vapor is intentionally introduced. Small quantities of H2 O or alcohols present in the ambient or adsorbed on the wafer surface and reactor walls are suffi cient to start the etching reaction. The H 2 O resulting from the HF/SiO 2 etching reaction, as a byproduct, is adequate to sustain continued etching. A slightly elevated temperature (40 ° C) is maintained at a suffi ciently high gas fl ow rate to remove reaction products, usually at subatmospheric pressure (i.e. 100 torr). An excellent description of the detailed HF vapor etching mechanism originally outlined by B. E. Deal and C. R. Helms is partially incorporated in Chapter 5.

The etching rate of SiO 2 layers for thickness reduction can be precisely controlled by adjusting the HF gas fl ow rate or the temperature to achieve very good uniformity of the residual oxide thickness over the entire wafer surface. Both IPA and methanol (CH3 OH) have been used as reaction addi- tives to anhydrous HF gas. There is evidence that some adsorbed metal contaminants are also eliminated with the oxide from the Si surface. Complete removal of oxide layers down to the Si results in a hydropho- bic (hydrogenated) surface. The process is an excellent pre-thermal, pre- conduct, pre-epi, and pre-deposition clean for surface conditioning FEOL wafers. It has also been used for in situ cleaning of conduct areas prior to metal deposition. Reactor systems for commercial processing are avail- able, such as the original Excalibur ™ , manufactured by FSI [200 ]. Specifi cally, removal of native oxides from Si surfaces using anhydrous HF at low temperature prior to polySi deposition has been described [201 ].

Polymeric/silicate residues, SiO 2 and metal oxides in via holes can be removed with anhydrous HF [202 ]. Anhydrous HF gas with IPA vapor and

N 2 for SiO2 etching at 150 torr and 50 ° C has been described [203 ], as well as HF gas with CH3 OH vapor additive [204, 205]. Oxide removal with HF/H 2 O vapor at atmospheric pressure has been used for CMOS production [206], for deep submicron n-MOSFET (metal oxide semiconductor fi eld effect 40 Introduction and Overview transistor) cleaning at low pressure [207], and for cluster tool process- ing [208 ]. Etching reactions of thermal SiO2 and silicate glass fi lms with HF–H2 O vapor at low temperature (40 ° C) and reduced pressure (250 torr) were studied using in situ FTIR (Fourier transfer infrared) analysis [209 ]. Finally, selective etching of native oxide fi lms can be accomplished with azeotropic HF–H2 O and anhydrous HF–IPA [210 ].

Ultraviolet/ozone cleaning for removal of organics

Short-wave ultraviolet (UV) radiation in the presence of O 2 is the oldest of the vapor-phase cleaning methods that has been used successfully for removing organic surface contaminants from many types of surfaces. It is essentially a photosensitized-oxidation process. Briefl y, many types of organic molecules absorb the short-wavelength UV radiation of 184.9 nm that is generated by low-pressure Hg discharge lamps; this wavelength is also absorbed by the O2 in the ambient, generating O3 . The co-produced 253.7-nm radiation is not absorbed by O 2 , hence does not contribute to O3 formation, but it is absorbed by most organic molecules and also by O 3 . This absorption by O 3 is primarily responsible for its destruction so that O3 is continually being formed and destroyed. Atomic oxygen is generated as an intermediate product and its strong oxidation potential allows the reaction with excited or dissociated contaminant molecules, free radicals and ions. It is the probably the main reason for the effectiveness of UV/

O3 cleaning. The combination of short-wavelength UV and O 3 has a much greater cleaning effi ciency that UV light alone or O3 alone. The reaction products consist mainly of H2 O, CO 2 and N2 and may contain volatile organic degradation products.

Primary applications of UV/O 3 cleaning and surface conditioning are for stripping of photoresists and polymer residues and for removal of organic and carbonaceous contaminants to improve photoresist adhesion, typically at 120 ° C and 500 torr [211 ]. A discussion of UV/O3 cleaning and its evolution by J. R. Vig is partially included in Chapter 5.

Ultraviolet/chlorine vapor-phase cleaning for metal removal Vapor-phase removal of metal contaminants can be achieved by reac- tion with UV-excited chlorine. The photo-excited Cl species may etch a thin (i.e. 30 Å ) Si layer, removing Cu and other trace metal impurities that may be present in or on surface damaged Si layers by forming volatile metal chloride complexes [205 , 209 , 212 ]. The reaction conditions must 1: Overview of Silicon Wafer Cleaning, Kern 41 be carefully optimized to avoid pitting and to produce a smooth Si surface. Temperatures from about 50 – 400 ° C have been used under low-pressure conditions with H2 as a diluent. Metals that have been successfully reacted and removed include Cu, Fe, Cr, and Ni; organic surface contaminants may be eliminated at the same time [213]. The performance of nanometer- thin gate oxides grown on smooth UV/Cl2 -treated Si surfaces was found to be superior [214 ]. UV reactor modules for FEOL single-wafer processing are now available commercially [214]. UV/Cl 2 processing has been used for critical pre-gate oxidation Si cleaning in conjunction with anhydrous

HF/IPA/H2 O for oxide removal, using a vacuum clustered reactor system [215 ].

Organochemical vapor-phase cleaning processes Approaches based on less aggressive chemical reactions with organic chemicals are aimed at the formation of volatilizable compounds, such as metal chelates or nitrosyl compounds. The key requirement for removing trace metal impurities is the formation of volatilizable species by reaction at low temperature to prevent diffusion, followed by their elimination at an elevated temperature at low pressure. Special attention must be paid to the state in which contaminants occur. Elemental metals and other impurities are often present as absorbates or inclusions in the native oxide fi lm rather than being exposed on the semiconductor or oxide surfaces. Etching in HF gas may be necessary to fi rst remove the oxide envelope to render the impurities accessible for chemical attack. The removal of particles and particulates from wafer surfaces is another diffi cult problem. A chemical reaction could possibly transform them into volatile species, depending on their composition and size. Vapor etching of an oxide fi lm on which particles are located or in which they are imbedded can be effective if the wafers are positioned vertically and if a vigorous stream of inert gas is applied to sweep the freed particles off the wafer surface. One of the most frequently used chelating reactants is 1,1,1,5,5,5- hexafl uoro-2,4-pentanedione to form volatile metal coordination com- pounds of Cu [216 , 217 ], Fe [217 , 218 ] and Na [219 ]. Copper and iron contaminants are usually present in the form of their various oxides or hydroxides [216–218 ]. The removal of sub-monolayer quantities of Cu, Fe, Na as well as Ca, K, Li, Ni, and Zn has been studied in detail [220]. Reaction conditions vary widely for different species of impurities and so do the effectiveness for their removal or for the lowering of their surface 42 Introduction and Overview concentrations, limiting commercial applications for semiconductor device fabrication.

1. 4.6.3 Plasma stripping and cleaning Introduction The manufacturing of integrated circuits is a repetitive batch process which includes the application of a patterned photopolymer mask to block ions from being implanted or to prevent etchants from reacting with selected areas of the substrate. Deposited layers of insulators, polySi dielectrics, metals, diffusion barriers, and surface passivation protects — all need to be patterned. The photolithographic patterning process invariably consists of selective photoresist masking followed by ion implantation or by etching of the exposed areas and fi nally the stripping of the bulk pho- toresist mask that has served its purpose. Stripping can be accomplished with various degrees of effectiveness using organic solvent mixtures, liq- uid chemicals, or dry techniques such as UV/O 3 or exposure to a plasma environment. Plasma-enhanced stripping, also known as “ plasma ashing,” is the method of choice because of its versatility and effi ciency. Plasma stripping has been considered part of the specialized photolithographic processing rather than of wafer cleaning. However, the additional applica- tions for removing etch residues from vertical profi les and from surface cleaning and conditioning have made plasma-enhanced processing an important part of wafer cleaning. Consequently, we have added Chapter 6 in the 2nd Edition of this book, which discusses the subject in considerable depth. The important technology of remote plasma processing is presented in Chapter 6 and reviews of these technologies originally authored by R. A. Rudder, R. E. Thomas, R. J. Nemanich, and J. Ruzullo are included. The major applications of plasma-assisted stripping include: 1. Stripping of bulk photoresist material 2. Removing etch residues 3. Pre-deposition cleaning 4. Surface conditioning.

These topics will be briefl y addressed as an introduction to Chapter 6.

Stripping of bulk photoresist Photoresists are essentially hydrocarbon composed of a novol- ack resin, a photoactive compound and an organic solvent. The removal of 1: Overview of Silicon Wafer Cleaning, Kern 43 bulk photoresist patterns is typically accomplished by reaction with atomic oxygen (O), which is created in the plasma environment by dissociation of molecular O 2 [221 , 222 ]. Basically, two types of plasma reactors can be used to conduct the process both designed for single-wafer processing.

1. A design based on remote or downstream plasma generation 2. A design based on the combination of remote with RF, micro- wave, or ECR sources for downstream plasma generation with RF assisted bias.

The preferred method of bulk photoresist stripping after plasma pattern etching or ion implantation now uses downstream reactors which mini- mizes ion-induced surface damage while providing good control over reac- tion parameters with O2 [223 ]. The stripping rate can often be increased by adding specifi c gases or vapors to the O2 plasma such as F-containing gases [224 ], or H2 O vapor, which provides additional benefi ts [225 ]. Device structures, which cannot withstand oxidation require a non-oxidizing envi- ronment. A mixture of typically 10 vol% H 2 – 90 vol% N 2 can then be used as the reactive gas in the plasma cleaning procedure [201 ]. Patterned photoresist is often used as a mask for the selective implanta- tion of ions. During this process, the surface of the photoresist becomes hardened, making it diffi cult to remove the used resist layer. Low-energy treatment in an O2 plasma containing an optimally controlled quantity of H2 O vapor can remove the resist without damage to the substrate [226 ].

Removal of etch residues Plasma etching used for generating high-aspect-ratio Si trenches requires the formation of an etching resistant sidewall passivation layer to provide protection from lateral etching [227 ]. Plasma-assisted cleaning is often not adequate to substantially remove these post-etch passivation layers which may consist of polymers, inorganic materials, etchants, and oxide or metal residues. More reactive alternatives to O2 are necessary such as NO, N2 O, CF 4 , or NF3 . The addition of a small amount of atomic F to the atomic O present in the downstream microwave discharge, followed by a DI H2 O rinse can be very effective [228 ]. The removal of metal etch residues can be especially diffi cult because of Cl contamination which originates from the metal etching process. Chlorine may become absorbed in the photoresist mask and the sidewall passivation layer and pose dangerous corrosion problems [222 ]. The application of in situ H 2O-based downstream plasmas followed by ex situ wet-chemical 44 Introduction and Overview process steps can remove these corrosive contaminants, photoresist resi- dues, and sidewall polymers [229 ]. It should be mentioned that nearly all plasma-cleaned wafers require a follow up with wet-cleaning as described in Chapters 1 and 4 to remove residual impurities and reaction products.

Pre-deposition cleaning Pre-gate dielectric cleaning and surface conditioning are especially critical process steps that require minimizing radiation damage, surface recontamination and roughening of the Si surface. The quality of the thin gate oxide deposited subsequently is directly related to the physical condi- tion of the substrate surface. A second example of a pre-deposition treatment is plasma-assisted cleaning prior to epitaxial growth of Si. It is done primarily by remote plasma processing in the reducing atmosphere of H2 . The plasma gener- ates active H, which can interact with many types of surface contaminants and effect their destruction and removal.

Surface conditioning The chemical and physical conditions of the Si substrate and deposit surfaces can be modifi ed by reactive plasma reactions to optimize for spe- cifi c process steps. This surface conditioning may be the result of, or can be combined with, the plasma cleaning procedure. For example, in situ cleaning and surface conditioning of the Si surface to produce smooth and atomically clean surfaces with controlled Si H-termination-bonds imme- diately prior to Si epitaxy [230 ] can be achieved by activated hydrogen plasma processing in a remote plasma reactor. Remote hydrogen-plasma cleaning in situ has also been applied successfully to wafers with patterned

Si – SiO 2 surfaces [231 ].

Cryogenic aerosol and supercritical fl uid cleaning The emerging technologies of cryogenic and supercritical cleaning have become important wafer cleaning methods in areas where conventional processes are no longer adequate. An excellent example is the cleaning and surface conditioning of BEOL wafers with low-dielectric constant (low-κ ) fi lms and other sensitive materials, or fragile structures that cannot withstand liquid chemical or conventional dry cleaning. Therefore, new 1: Overview of Silicon Wafer Cleaning, Kern 45

Chapter 7 has been added to the address this important new enabling tech- nology and its status to date. The notes that follow may serve as a brief introduction to that chapter.

Cryogenic aerosol cleaning and conditioning Cryogenic aerosols are submicron colloidal crystal particles of a cryo- genic gas, which are dispersed in the gas phase. Initially, solid aerosol particles are formed when the temperature of a cryogenically cooled and compressed gas drops below the so-called triple point, the location in the temperature/pressure phase diagram where solid, liquid, and vapor coexist in equilibrium. The aerosol is generated when the cryogenically expansion- cooled mixture is dispensed over a wafer surface from a cooled distribu- tor nozzle. Cryogenic cleaning with diluted argon in nitrogen (Ar/N2 ) is conducted at sub-atmospheric pressure. In the case of CO2 , cleaning is done at atmospheric pressure; the dense white spray that results is known as “ CO2 -snow ” (SCO2 ). Cryogenic wafer cleaning is highly directional so that removal of contaminants occurs in line-of-sight. Removal of organic contaminants requires a liquid phase, which is chemically inactive, whereas particle removal involves strictly kinetic interactions of aerosol crystals with contaminant particles.

A theoretical analysis of wafer cleaning with cryogenic aerosols (Ar/N2 ) has been published [232 ]. Wafer cleaning with cryogenic Ar/N2 aerosols is especially useful for IC device wafers with metallization and sensitive interconnect structures because cleaning is non-reactive, non-corrosive, and non-damaging and can substantially reduce the number of defects [233, 234]. Cryogenic (or cryokinetic) cleaning has also been used for effi ciently cleaning BEOL wafers with Cu metallization and low-k materi- als without introducing any damage or chemical changes in the materials [235]. Cryogenic aerosol processors for cleaning Si device wafers in the fab with Ar/N2 are available commercially [233 , 234 ]. The formation of CO2 snow follows the same physical principles as in the generation of Ar/N 2 aerosols, but the technical requirements for its imple- mentation are simpler and less demanding. SCO2 is formed by passing liquid and/or gaseous CO2 through a small-aperture nozzle. The mixture rapidly expands and cools, resulting in lowered pressure/temperature with the consequent nucleation of solid CO2 particles entrained as an aerosol in CO2 gas. The exiting high-velocity stream leads to momentum transfer from the CO2 snow to the solid contaminant particles, which are dis- lodged and removed with the gas stream. Organic impurities are probably 46 Introduction and Overview

removed by a liquid CO2 phase [236–239]. Carbon dioxide snow cleaning has been shown to remove submicron particles and residues from post- CMP surfaces more completely that brush scrubbing and other liquid and gaseous cleaning processes [240 , 241 ]. Commercial processing systems with refi ned nozzle design for wafer cleaning with SCO2 have become available [237 , 239 ].

Supercritical fl uid cleaning Supercritical fl uids have been used extensively in extraction and purifi - cation processes in many industries. The advantages in using supercritical fl uids center in their unique nature; these fl uids feature low and negligible degrees of surface tension, which allows gas-like diffusivity and high penetration capabilities and their density is suffi ciently high to match the solvating properties of a liquid. The supercritical liquid of choice for Si wafer cleaning and surface con- ditioning is carbon dioxide. CO 2 reaches the supercritical state above its critical temperature of 31 ° C and the pressure of 75 bar. The liquid and vapor phases of CO 2 then merge forming supercritical CO2 (scCO2 ). In this state the supercritical fl uid combines the best aspects of both wet and dry clean- ing agents, featuring the higher density of liquids and the lower viscosity and very low surface tension of gases. It can therefore penetrate, clean and dry IC device features with geometries in the nanometer range. It is compat- ible with nonporous and porous low-k dielectrics and can effectively remove residues and submicron particles [237 , 238 , 242–244 ]. Small amounts of surfactants, chelating agents and cosolvents added to scCO2 can further enhance the remarkable cleaning effectiveness [242–244 ]. It is obvious that this new emerging technology can offer viable solutions to critical problems in BEOL single-wafer cleaning where wet-chemical processing has severe limitations, in low-k resist stripping and sidewall polymer removal, dam- age free elimination of submicron particles, and cleaning of device struc- tures with high-aspect-ratio geometries. A considerable number of papers has been published recently on applications of scCO 2 cleaning in microelec- tronic device manufacturing, as noted in the references [242, 245–247].

1. 4.6.4 Other dry cleaning processes For completeness, we are mentioning some of the additional dry clean- ing methods for wafer processing, as shown in Table 1.4-7, some of which are discussed on other chapters. 1: Overview of Silicon Wafer Cleaning, Kern 47

Table 1.4-7 Additional Dry Cleaning Methods for Surface Preparation and Cleaning

Technique Subject Noted In

Argon ion sputter etching Chapter 6 Reactive ion bombardment Chapter 6 Electron cyclotron resonance plasma reactions Chapter 6 Pulsed laser radiation particle removal Chapter 11

Reduction annealing in H2 Chapter 9 RF sputtering treatments Chapter 6

1.5 Evolution of Wafer Cleaning Science and Technology

In this section, we will trace the evolution of semiconductor wafer cleaning science and technology from the advent of semiconductor device fabrication to the present time. Five periods of this development can be discerned: 1. The early days from 1950 to 1960. 2. Development of wet-chemical cleans from 1961 to 1971. 3. Evaluation, application, and refi nement of wet cleans from 1972 to 1989. 4. Era of explosive research and development activity for both wet and dry cleaning methods from 1989 to 1992; and fi nally. 5. Era of modern cleaning science and technology from 1993 to the present. The introduction section of each chapter in this book usually includes historical remarks pertaining to the chapter topic. The present systematic survey of the entire fi eld is intended to provide a comprehensive perspec- tive of this evolution. The limited and manageable amount of information published during the developing periods up to 1993 allows for a detailed review, identifying specifi c researchers. The overwhelming quantity of published material during the modern era to the present necessitates a limited survey of only selected representative key advances.

1. 5.1 Period from 1950 to 1960 Harmful effects of impurities on the performance of simple transistors were recognized already in the early days of Ge (germanium) processing, 48 Introduction and Overview but became more apparent with the advent of Si transistor fabrication in the later 1950s. Some sort of wafer cleaning was deemed necessary as part of the device manufacturing process. Early cleaning techniques consisted of mechanical and chemical treatments. Particulate impurities were removed by ultrasonic treatment in detergent solutions or by brush scrubbing. The fi rst caused frequent wafer breakage and the second often deposited more debris from the bristles than impurities it removed from the wafer surface. Organic solvents were used to dissolve wax residues and other soluble gross organic impurities and photoresists. Chemical treatments for cleaning consisted of immersion of the wafers in aqua regia (1 vol:3 vol HNO3:HCl), concentrated HF, boiling HNO3 , and mixtures of hot acids. Combinations of H2 SO4 and chromic acid (H 2 CrO4 and H 2 Cr2 O7 based on CrO3 ). led to chromium contamination and caused ecological toxicity problems on disposal. Mixtures of hot H 2 SO4 and H 2 O2 caused S contamination. Nitric acid and HF were impure to begin with and led to redeposition of impurities, such as heavy metals including traces of Au. In general, impurity concentrations and particle densities in pro- cess chemicals were high and in themselves tended to lead to surface con- tamination. Aqueous solutions containing H 2 O2 had long been used for cleaning electron tube components [248 , 249 ], but were never investigated for possible application to semiconductor wafer cleaning. Plasma ashing was the fi rst dry process applied to wafer cleaning for removing organic photoresist patterns but left high concentrations of metallic compounds and other inorganic impurity residues.

1. 5.2 Period from 1961 to 1971 This period can be considered one of research into semiconductor sur- face contamination and the systematic development of wafer cleaning pro- cedures. The need for effective wafer cleaning became critically apparent during this period when Si-integrated circuits were beginning to move into large-scale production. Although the IC was invented in 1958 (by Jack Kilby from TI and Bob Noyce from Fairchild), it took several years before production actually started.

1.5.2.1 Radiochemical studies of surface contamination Radioactive isotopes (radionuclides) offer a unique opportunity for the study of surface contamination with an unprecedented degree of sensi- tivity. Only a few papers had been published prior to 1963 on the use of radioactive tracers for investigating surface contamination, notably one by 1: Overview of Silicon Wafer Cleaning, Kern 49

Wolsky et al. for Ge [250] and papers by Sotnikov and Belanovskii [251] and by Larrabee for compound semiconductors [252 ]. In a series of papers on extensive contamination studies, Kern applied radioactive tracer meth- ods to investigate the concentrations of contaminant elements that had transferred onto electronic materials during manufacturing operations. It may be of interest to look at some of these still useful early results, which were published in 1963 [253 , 254 ]. The adsorption of Na ions on the assembly parts of Ge transistors (RCA 2N217npn) during anodic etching of the Ge tabs in alkaline electrolyte solution was investigated with radioactive Na-22 as tracer. The effi ciency of various rinsing treatments for desorbing Na adsorbates was assessed by measuring the radioactivity as a function of treatment time. Germanium pellets that had been cascade-rinsed with DI H2 O had a concentration of 6.2 ¥ 1014 Na atoms/cm2 . The plots in Figure 1.5-7 demonstrate several interesting results. For example, EDTA chelating solution was 280 times more effective than counter-current cascade rinsing with cold DI H2 O. A

Figure 1.5-7 Effi ciency of various desorption treatments for removing Na adsorbates from Ge transistor assemblies. The transistors had been electrolytically etched in NaOH solution containing radioactive Na-22 ions as the tracer [253]. Used with permission from Solid State Technology. 50 Introduction and Overview similar investigation was conducted with the components of a Si power transistor (RCA 2N1482pnp). In this work Na-24 was created by thermal neutron activation to attain several thousand times greater radioactivity levels than were available for the work with the germanium transistors, achieving a much greater analytical sensitivity. The fi nal concentration on Si wafers after acidic desorption was less than 8 × 1011 Na atoms, or nearly 1/1000th of one monoatomic layer/cm2 . Contamination of Si transistors by metallic impurities from solutions was assessed by use of the radioactive isotopes Cr-51, Fe-59, Cu-64, and Au-198. Techniques were devised to minimize residual concentrations leading to a production yield increase for a Si power transistor (RCA 2N2102) of over 200 % . The contamination of wafers of Si, Ge, and GaAs during wet-chemical etching and processing was investigated by adding known quantities of radioactive trace metals to various processing solutions. The spatial dis- tribution of residual metals after rinsing with DI H2 O was examined by autoradiographic fi lm techniques and the average surface concentrations were determined by correlation with radiation intensity measurements. The effectiveness of various rinsing and cleaning treatments was also measured quantitatively. The results of this work were published in 1963, including print copies of autoradiograms [253 , 254 ]. Similar but more detailed studies were conducted later on and reported in a series of fi ve papers in 1970 (255 I– III) and 1972 (256 I, II). The adsorp- tion and desorption of etchant constituents on semiconductor wafers was investigated by use of the radionuclides Na-22 and -24 for NaOH, F-18 for HF-containing etchants, Cl-38 for HCl, I-131 for polishing etchants, and

C-14 labeled acetic acid (CH 3COOH) for etchant mixtures for Si. An inter- esting example of the desorption behavior of Na adsorbed on Si is presented in Figure 1.5-8 showing the effect of aging on diminishing desorption effi - ciency, which is due to entrapment of Na in the growing oxide layer. The wafers had been immersed in 0.025 N NaOH tagged with Na-22 followed immediately by initial rinsing for 60 seconds in DI H 2 O at 20 ° C. Subsequent desorption treatments within 24 hours (open symbols) are compared with those after several weeks of storage (solid symbols) [255 ]. Surface contamination by trace impurities from various etchants and cleaning solutions was investigated with radioactive Mn-54, Zn-65, Mo-99, Sb-122, and Sb-124 in addition to the previously used metal tracers Cr-51, Fe-59, Cu-64, Au-168, plus the reagent constituent tracers C-14, F-18,

Na-22, Na-24, Cl-38 and I-131. Disks of fused quartz (SiO2 ) were used as substrates in addition to wafers of Si, Ge, and GaAs. The adsorption of contaminants was measured for many typical etchants and reagents contain- ing the radioactively marked ions; the desorption effi ciency was determined 1: Overview of Silicon Wafer Cleaning, Kern 51

1014 si 2

BONDING SITES X 0.1/cm2 (111)Si

H2O 20°C, FRESH SAMPLE H O 100°C, FRESH SAMPLE 13 2

IONS/cm 10 + H2O 100°C, OLD SAMPLE 6N HCI 20°C, OLD SAMPLE

1012

1011 INITIAL WATER RINSE SEQUENCE SURFACE CONCENTRATION, NA 1010 0 24681012 14 16 18 20 22 24 26 DESORPTION RINSE TIME, MIN.

Figure 1.5-8 Inhibition effects of aging on desorption of Na ions from Si wafers with DI H2O and with 6 N HCl [255]. Used with permission from RCA Review.

for DI H 2 O and many chelating and cleaning solutions. For example, acidic H 2 O2 solutions were most effective for desorbing Au, Cu, and Cr from Si and Ge, and HCl for Fe on Si. EDTA and other chelates suppressed deposi- tion of Cu on Ge from solutions by 2 – 3 orders of magnitude. A few typical metal adsorption and desorption plots for Si are repro- duced in Figures 1.5-9 – 1.5-15 and for silica in Figure 1.5-16 . The strong concentrating effi ciency of Si for Au from HF solution is shown in Figure 1.5-17 and its possible utilization for purifying HF solution is dem- onstrated in Figure 1.5-18 . The dashed gamma radiation spectrum in the fi rst fi gure shows that of a 1 N HF solution containing Sb-122, Mo-99 with its associated Tc-99, and Au-198 present only as a minor peak. The solid curve obtained from Si wafers that had been immersed in this solution was normalized with the Tc-99m peak maximum of the solution spec- trum. The extremely high degree of selective deposition of metallic Au on the Si is dramatically evident by comparing the two Au-198 radiation peak intensities [255, 256]. The utilization of this effect is exemplifi ed in Figure 1.5-18; percolating a 49% HF solution containing Au-198 through a column of high-purity Si crystal pieces resulted in a retention of more than 98% of the Au in the top sixth of the column, and more than 98.8% removal in a single pass. Similar results were obtained by Cu and other heavy metals in HF, BHF, and H2 O2 solutions [253 ]. 52 Introduction and Overview

Figure 1.5-9 Gold atoms deposited from an etchant on Si wafers as a function of Au ion concentration in solution. Au-198 was added as the radioactive tracer to the HF–HNO3–CH3CO2H–I2 etchant containing Au ions [255]. Used with permission from RCA Review.

Figure 1.5-10 Copper atoms deposited from a 49% HF solution on Si wafers as a function of immersion time at 23°C [255]. The acid contained 0.1 ppm of Cu as cupric ions tagged with Cu-64. The dashed line represents the number of available bonding sites per cm2 of Si<100>. Used with permission from RCA Review.

A unique approach for studying contaminant transfer by radiochemical techniques concerns the transfer of impurity elements at high temperature from crucibles to semiconductor ingots [257 ]. Fused quartz (SiO2 ) cru- cibles were radioactivated by bombardment with thermal neutrons in a nuclear reactor to produce radioactive Si-31 from the quartz and various 1: Overview of Silicon Wafer Cleaning, Kern 53

Figure 1.5-11 Quantity of Cu deposited on Si wafers from a 49% HF solution and from DI H2O as a function of copper concentration in solution. The solution contained 0.1 ppm Cu as cupric ions tagged with Cu-64. The dashed line represents the number of available bonding sites per cm2 of Si [111]. Prior to immersion in the radioactive water the wafers had been dipped in non-reactive HF and rinsed in non-radioactive H2O [255]. Used with permission from RCA Review.

Figure 1.5-12 Effectiveness of various cleaning agents for desorbing thick Cu fi lms deposited from hot 5% NaOH with Cu-64 tagged ions. Desorbing treatments were conducted at 23°C except for the water rinses. The chelating agent (VERSENX 80) was pentasodium diethylenetriamine pentacetate [255]. Used with permission from RCA Review. 54 Introduction and Overview

-8.0 Adhesion force -8.5

-9.0

-9.5

-10.0

Adhesion force (log N) -10.5

-11.0

DI H2O Citric acid Citric acid Citric acid + + + BTA BTA BTA (pH 2) + + NH4OH TMAH (pH 6) (pH 6)

Figure 1.5-13 Quantity of Fe deposited from various NaOH solutions on Si wafers as a function of Fe solution concentration. Fe-59 was used as the radioactive tracer. The wafers were immersed at 100°C for 1 minute followed by a 30-second prerinse [255]. Used with permission from RCA Review.

Figure 1.5-14 Effi ciency of DI H2O and acid solutions at 23°C for desorbing Fe deposits from Si and Ge wafers. Fe-59-containing deposits from hot NaOH were used. Surface quantities were decreased up to 5 orders of magnitude to the detection limit [255]. Used with permission from RCA Review. 1: Overview of Silicon Wafer Cleaning, Kern 55

Figure 1.5-15 Desorption effi ciency of various agents for removing thick Cu-64- tagged deposits from Si wafers. The initial Cu concentration from HF solution was fi ve times higher for the H2O2–HCl test than for the others [101]. Used with permission from RCA Review. radionuclides from its impurity elements. Crystals of GaAs were then grown in these highly radioactive crucibles. After cooling, gamma ray scintilla- tion spectrometry of ingot sections allowed identifi cation and quantitative decay measurements of the transferred contaminants. Radioactive Si-31, decaying by gamma ray emission to P-31, was the main radiation product utilized in this study. The results led to improved processing techniques for the synthesis of GaAs crystals [257 ].

Development of the original RCA wafer cleaning procedure The development of this optimized wet-chemical cleaning procedure for Si wafers by Kern and Puotinen [101 ] proceeded concurrently with the con- tamination studies described in the previous section, which provided basic information on adsorption and desorption characteristics of many contami- nants. In formulating a design plan, it was realized that the fi rst step should remove ever-present organic contaminants to expose the Si surface by use of a wet-oxidant. Adsorbed ions and deposited trace metals would be re- moved by solubilizing with strong oxidant reagents. To prevent redeposition 56 Introduction and Overview

Figure 1.5-16 Desorption effi ciency for Au from fused quartz surfaces under various conditions. The quartz plates had been etched in 49% HF solution containing Au ions with Au-198. The initial surface concentration was 1.6 × 1012 2 Au atoms/cm . The H2O:H2O2:HCl mixture consisted of 8 vol H2O, 1 vol HCl (1N), and 1 vol H2O2 (30 wt%) [101]. Used with permission from RCA Review.

of the dissolved ionic contaminants, a complexing reagent would be required. The cleaning reaction must be selective so as not to corrode Si. The cleaning mixture to be formulated should consist of reagents that leave no residues and are readily available in pure form. Thermodynamic reasoning based on the oxidation potentials of several possible candidates were an important consideration in the reactant selection. Figure 1.5-19 reproduced from the original paper in 1970, shows the oxidation potentials of several common reactions as a function of pH. It can be seen that the oxidizing power increases with decreasing (more negative) electrode potential. For equivalent concentrations, the peroxide oxidation is the most powerful oxidizing reaction shown, indicating that H2 O 2 should 1: Overview of Silicon Wafer Cleaning, Kern 57

Figure 1.5-17 Gamma radiation spectra of a 1 N HF solution and its adsorbate on Si. The relative distribution of Au, Mo, and Sb in the solution and in the resulting adsorbate demonstrates the extreme concentration effectiveness of Si or Au [255]. Used with permission from RCA Review.

be the primary reagent in the solution. The reactive additives selected were

NH4 OH for the fi rst solution, creating SC-1, at high pH for removing organ- ics and for complexing some of the metals. Hydrochloric acid was selected for the second solution, creating SC-2, at low pH for removing remaining metals, hydroxides, and alkalis. DI H2 O would be used as the diluent and a temperature would be determined for optimal reactivity. The exact formu- lations developed on this basis were described in detail in Sections 1.4.2.3 and 1.4.2.4 . It was also mentioned that the poor stability of H 2O 2 in SC-1 and SC-2 requires the use of freshly prepared mixtures. The graph presented in Figure 1.5-20 dramatically illustrates this insta- bility. Several improvements in the original RCA cleaning procedure were 58 Introduction and Overview

Figure 1.5-18 Distribution of Au deposits from a 49% HF solution containing Au ions with Au-198 as the radiotracer. The chromatographic column consisted of small Si crystal pieces [253]. Used with permission from Semiconductor International. also described in this section [102 –107], including the important use of megasonics [104 ].

1. 5.3 Period from 1972 to 1989

1.5.3.1 Chronological survey of the literature on H2 O 2 -based cleans Beginning in 1972, independent investigators examined and verifi ed by various analytical methods the effectiveness of the RCA cleaning method as published in 1970 [101 ]. Below we are reviewing work specifi cally on wafer cleaning pertaining to H2 O2 solutions published during this period. In 1972, Henderson presented an evaluation of SC-1/SC-2 cleaning by use of high-energy electron diffraction and Auger electron spectroscopy 1: Overview of Silicon Wafer Cleaning, Kern 59

Figure 1.5-19 Electrode potentials vs. pH for various redox systems at 25°C [101]. Used with permission from RCA Review. as analytical tools [258]. He concluded that the process is well suited for wafer cleaning prior to high-temperature treatments, as long as quartz- ware is used in the processing. A fi nal etch in HF solution after SC-1/SC-2 caused C contamination and surface roughening during vacuum heating at 1100 ° C due to loss of the protective 1.5-nm thick C-free oxide fi lm remaining after SC-2. Meek et al. (1973) investigated the removal of inor- ganic contaminants, including Cu and heavy metals, by several reagent solutions from silica-sol (very fi ne slurry) polished wafers [259 ]. Using Rutherford backscattering, they concluded that SC-1/SC-2 pre-oxidation cleaning removes all elements heavier than Cl. Sulfur and Cl remained after SC-1, SC-2, or other cleaning procedures at the high concentration of E16/cm2 . SC-1/SC-2 cleaning eliminated Ca and Cu much more readily than did HF-HNO 3 . Amick (1976) reported the presence of Cl on Si after SC-2 and of S after

H2 SO4 – H2 O2 , using spark source mass spectrometric analysis [260]. In 1976 60 Introduction and Overview

Figure 1.5-20 Decrease of the H2O2 and NH4OH concentrations in 5:1:1 SC-1 as a function of Si wafer cleaning use time at excessively high temperature and long time periods in an open vessel. The decomposing H2O2 emits O2, and the NH4OH gives off NH3 gas [103]. Used with permission from Semiconductor International.

Kern and Deckert published a brief review of surface contamination and semiconductor cleaning in a book chapter on chemical etching [85]. Murarka et al. (1977) studied methods for oxidizing Si without generating stacking faults and concluded that SC-1/SC-2 prior to oxidation is essential for this purpose [261]. Gluck (1978) discussed removal of Au from Si by a variety of solutions. The desorption effi ciency of SC-1 was more effective than that of SC-2, but the recommended sequential treatment of SC-1 followed by SC-2 was found the most effective method for removing Au at high surface concentrations (1 ¥ 1014/cm 2 -range) [262 ]. Peters and Dekert (1979) investigated photoresist stripping by solvents, chemical agents, and plasma ashing. SC-1 cleaning was the only accept- able technique, which completely removed the residues [263]. Burkman (1981) reported on desorption of Au with several reagent solutions by 1: Overview of Silicon Wafer Cleaning, Kern 61

centrifugal spraying. SC-1 was much more effective than H2 SO4 – H2 O2 , while the SC-2 alone showed poor effi ciency [108 ], as expected. Phillips et al. (1983) applied SIMS to determine the relative quan- tities of contaminants on Si. Cleaned wafers were contaminated with gross quantities of numerous dissolved inorganic compounds and then cleaned by immersion or spray techniques using various reagents, including aqua regia, hot fuming HNO3 , and H2 SO4 – H2 O2 . The lowest residual concentrations were obtained by spray cleaning with H2 SO4 – H2 O2 followed by the SC-1/HF/SC-2 sequence [264]. Goodman et al. (1983) demonstrated by minority-carrier diffusion-length measurements the effectiveness of SC-1/SC-2 for desorbing trace metals on Si [265]. Kern (1983) published a review of the RCA wafer cleaning procedure on the occasion of a Citation Classic declaration of the original 1970 paper [102].

In 1983 Watanabe et al. [266 ] reported dissolution rates of SiO2 and Si3 N4 fi lms in SC-1. The rate of thermally grown SiO 2 fi lms in SC-1 during 20 minutes at 80 ° C was a constant 0.4 nm/minute, a signifi cant rate for structures with thin oxide layers. The etch rate of CVD Si 3 N4 fi lms was 0.2 nm/minute for the same conditions, but measurements by Kern in 1981 (published in 1984) indicated much lower oxide dissolu- tion rates under nearly identical conditions [103 ]. Film thicknesses were measured by ellipsometry after each of four consecutive treatments in fresh 5:1:1 SC-1 solutions at 85 ° C and totaled only 7.0 nm/80 minute, or 0.09 nm/minute. Under the same conditions, 6:1:1 SC-2 solution showed no losses. Similar results averaging 0.13 nm/minute were obtained with thermal SiO 2 fi lms grown on lightly or heavily doped Si. Wafers from the same set were used to determine the etch rates of exposed Si in SC-1 solu- tions with decreasingly lower H 2 O2 content. Little etching or attack of Si occurred (less than 0.8 nm/minute) even when the H 2 O2 concentration was reduced by 90 % [103]. Bansal (1984, 1985) reported extensive results on particle removal from

Si wafers by spray cleaning with SC-1/SC-2, H2 SO2 –H2 O2 , and HF solu- tions of various purity grades. He found the RCA cleaning solutions to be the most effective [267 , 268 ]. Shwartzman, Mayer, and Kern (1985) described simultaneous removal of particles and contaminant fi lms by megasonic cleaning with SC-1 solutions [191 ]. Ishizaka and Shiraki (1986) showed that atomically clean Si surfaces for MBE can be prepared below 800 ° C in UHV by thermal desorption of a thin (0.5 – 0.8 nm) pas- sivating oxide layer that protects from C contamination [269]. The layer was formed by wet oxidation (HNO3 , SC-1) and HF-stripping, terminating with an SC-2 type treatment. 62 Introduction and Overview

Wong and Klepner (1986) used XPS analysis to examine Si after wet chemical treatments. RCA cleaning without buffered HF stripping resulted in about 30 % of the Si atoms in the top 1.0 nm being oxidized, whereas with a fi nal BHF step less than one monolayer of suboxide cov- erage resulted [270 ]. Grundner and Jacob (1986) conducted extensive studies of Si surfaces after treatment with SC-1/SC-2 or 5% HF solu- tions, using X-ray photoelectron energy loss spectroscopy. Oxidizing solutions produced hydrophilic surfaces, whereas HF solutions led to hydrophobic surfaces consisting mainly of Si– H with some Si– CH x , and Si – F structures [271 ]. Becker et al. (1986) reported on decontamination by using different reagent sequences [262]. SIMS analysis was used to test for the removal of Na, K, Ca, Mg, Cr, Cu, Al, and particle impurities. The best cleaning sequence for metallics was H 2 SO4 – H2 O2 /SC-1/HF/SC-2. Reversing the order of SC-1 and HF was most effective for particle removal and slightly less so for metal ions [272]. Kawado et al. (1986) found by SIMS that

Al on Si wafers originated from impure H2 O2 used in SC-2. Very high concentrations resulted if Pyrex vessels were used in the processing instead of fused quartz [273]. In 1986 McGillivray et al. [274] inves- tigated effects of reagent contaminants on MOS capacitors. Low fi eld- breakdown was more prevalent if pre-oxidation cleaning with SC-2 was terminated with HF solution instead of omitting it. No other signifi cant differences in electrical properties resulted from these two treatments. Lampert (1987) examined growth and properties of oxide fi lms on Si in various solutions, including SC-1 and SC-2 [275 ]. Gould and Irene (1987) studied the infl uence of pre-oxidation cleaning on Si oxidation kinetics [276 ]. They found signifi cant rate variations depending on treatments (SC-1/SC-2/HF, SC-1, SC-2, HF, no clean). Ruzyllo (1987) reported on similar experiments and found that various pre-oxidation cleans seem to affect structure and/or composition of the subsequently grown oxide fi lms [277]. Slusser and MacDowell (1987) found that sub-ppm levels of Al in

H2 O2 used for SC-1/SC-2 causes a substantial shift of up to 0.2 V in the fl at band voltage of a dual dielectric. Aluminum concentrates on the wafer surface and the basic media such as SC-1 can lead to fi ve times higher levels than acidic (SC-2) solutions [21 ]. In 1987 Kern and Schnable reviewed wafer cleaning processes in a book chapter on wet-chemical etching [86 ]. Probst et al. stated that for achieving predictable diffusion from implanted doped polySi into single-crystal Si an SC-1/SC-2 treatment of the substrate prior to polySi deposition is imperative [278 ]. Khilnani (1988) discussed various aspects of semiconductor cleaning, including the 1: Overview of Silicon Wafer Cleaning, Kern 63

RCA process [23]. Peterson (1988) showed that the exact sequencing of cleaning solutions (H2 SO4 –H2 O2 , SC-1, SC-2, HF) could have dramatic effects on particle levels [279 ]. In 1989 Morita et al. [280] reported on Si surface contamination from SC-1/SC-2, fi nding traces of Na, Al, Cr, Fe, Ni, and Cu and reporting that the absence or presence of an SiO x layer on the Si surface strongly affects adsorption. Desorption of Al and Fe deposits were most effective with HF–

H 2 O, and that of Cu and Cr with SC-2. The same authors [281 ] postulated that metals of high enthalpy of oxide formation adsorb on the oxidized surface by oxide formation, whereas metals of high electron negativity deposit electrochemically on bare Si. Gould and Irene (1989) studied the etching of native SiO x fi lms and of Si in NH 4 OH – H2 O, BHF, and SC-1 by ellipsometry. Severe Si surface roughness resulted from NH4 OH, less with BHF, and none with SC-1 [282 ]. Ohmi et al. (1989) compared particle removal effi ciencies of several cleaning solutions. They found that 5:1:1 SC-1 effi ciently removes particles larger than 0.5 µ m, but increased the density of those smaller than 0.5 µ m

(haze) unless the NH 4OH concentration was decreased to one half or less, in which case both types of particles were reduced effi ciently [193]. Menon et al. (1989) evaluated effects of solution chemistry

(5:1:1, SC-1, DI H2 O) and particle composition on megasonic clean- ing effi ciency at various power levels, concluding that megasonics can provide wafer cleanliness levels not previously attainable [179].

1.5.3.2 Other important advances Important developments in other areas related to wet-cleaning of wafers should also be mentioned with representative key references. Signifi cant advances in the physics of contaminant particles have led to a better understanding of adhesion, of submicron behavior in liquids and gases, and of their transfer mechanisms to solid surfaces. Most of this work, such as that by Bowling [283 ], Mishima et al. [195 ] and Menon et al. [179, 284], was published between 1985 and 1989 and has resulted in improved high-purity processing and in more effective removal of particles from wafer surfaces, especially by the application of megasonics. Advances in dry cleaning of semiconductor wafers are exemplifi ed by the work of Vig [285], Kaneko et al. [286] and others who extended the use of UV/O 3 for removal of organic contaminants from semiconduc- tor surfaces. Mishima et al. [194, 195] and Ohmi et al. [193 ] published 64 Introduction and Overview research on wafer drying techniques, especially on the subtleties involved in IPA vapor drying. The introduction of anhydrous gas etching and HF/

H2 O vapor etching for removing oxide fi lms from wafers to avoid particle contamination was pioneered by Claevelin [287 ], Clements et al. [288 ], and Duranko et al. [289]. This major advance set the stage for vapor-phase cleaning technology. Early investigations were conducted with then novel remote plasma cleaning techniques by Fountain et al. [290 ]. Progress in another area associated with wafer cleaning concerns the refinement of micro-analytical, chemical and instrumental meth- ods for detecting and quantifying trace contaminants and for explor- ing the atomic structure and morphology of semiconductor surfaces. Elucidating the chemical surface reactions of HF with Si, and the resulting passivated, hydrogenated Si surface are additional examples. Papers on this subject were published by Burrows et al. [291], Hahn et al. [292], Zazzera and Moulder [293], and Chabal et al. [294].

1. 5.4 Period from October 1989 to mid-1992 This is the period of literal explosive growth of wafer cleaning sci- ence and technology with continually accelerating rate of progress. Rather than attempting a comprehensive coverage, we will confine the presentation to highlights achieved in ( 1 ) wet-chemical clean- ing processes and ( 2 ) gas-phase cleaning methods. Much of this information is contained in the literature cited in the introduction, Section 1.2.1.

1.5.4.1 Wet-chemical cleaning processes

New observations on the performance and effects of H2 O2 -based clean- ing solutions led to some modifi cations of the RCA SC-1. In addition, high-purity chemicals had become available, including Al-free H 2 O2 , low- particulate HF, and low-metal HCl and NH4 OH solutions that led to much lower trace metal contamination. Van den Meerakker and Van der Straaten [295 ] elucidated the mecha- nism of Si etching inhibition by H 2 O2 in SC-1 and the kinetics of etching. They reported a half-life for the standard 5:1:1 SC-1 of 16 minutes at 70° C and 9.3 minutes at 80° C. The authors stated that no etching occurred at –3 70° C as long the H2 O2 concentration is at least 3 × 10 molar to passivate the Si surface, which is 0.2 % of the H2 O2 concentration in 5:1:1 SC-1. In 1: Overview of Silicon Wafer Cleaning, Kern 65

other words, no etching occurred as long as at least 1/500 of the H2 O2 was present, which further extends our previous results [101 ]. Tanaka et al. [296 ] reported Si etch rates for 5:1:1 SC-1 of 0.5 nm/ minute at 75 ° C and of 0.8 nm/minute at 85 ° C, with higher values for decreasing H 2 O2 or increasing NH4 OH concentrations. These values are higher than those determined by Kern, which averaged 0.05 nm/minute at 80– 85 ° C [103]. The discrepancy may be caused by the long etch time used by Tanaka et al. that could lead to a loss of the etch-protective oxide layer [295 ]; variations in the Si properties could also be the cause [103 ]. Although these etch rates are relatively low, one should not exceed the SC-1 treatment temperature of 70 ° C and the time of 5 minutes. Microroughening of the Si surface as a result of nonuniform micro-etch- ing by 5:1:1 SC-1 was investigated by many researchers, including Miyash- ita et al. [297]. Ohmi et al. [298, 299] and Heyns et al. [190]. This effect had become detectable only with the advent of atomic force and can have detrimental consequences on the breakdown voltage of thin gate oxide fi lms, as reported by Meuris et al. [35 ], Verhaverbeke et al. [36 , 40 ], Ohmi et al. [299], and Heyns et al. [300]. Miyashita et al. [297] reported that a 10 – 100-fold reduction of the NH4 OH concentration in the original 5:1:1 H2 O:H2 O2 :NH4 OH SC-1 mixture eliminated roughening and enhanced the removal of particles, contrary to the results by Meuris et al. [35]. A reduction of the NH4 OH concentration to 5– 10 % of that used in the conventional 5:1:1 SC-1 did not impair the desorption of Fe, Cu, Zn, and Ni from the Si sur- face. Meuris et al. [35 ] proposed a ratio of 5:1:0.25 as the best compromise for a modifi ed SC-1 in terms of particle removal effi ciency and avoidance of microroughening. The authors cited in this paragraph also examined the correlation between these effects, metal contamination, and electrical prop- erties of grown oxide fi lms [35, 36 , 40 , 112 , 299 , 300 ]. Sakurai et al. [291] reported that the thickness of the chemically grown oxide fi lms on Si during SC-1 cleaning does not depend on temperature, time, and solution composition (except for very low NH 4 OH concentra- tions). The thickness of the fi lms was 0.5– 0.6 nm as determined by XPS analysis, or 1.2 nm as measured by ellipsometry. New results on wet-chemical cleaning by various processes and tech- niques were reported during this period by a number of researchers [ 28 – 40 , 111 , 112 , 133 , 134 , 180 , 300 – 310 ] as follows: Heyns et al. [300 ] found that a dip of Si wafers in dHF after SC-1/SC-2 removes any metal contaminants that may still be present, without introducing new impurities. However, post- cleaning exposure of the wafers to HF solutions will cause recontamina- tion by organics, particles, and possibly trace metals. According to Rubloff [302 ], HF should be applied only in the case of subsequent low-temperature 66 Introduction and Overview epitaxial vapor growth, where the absence of an oxide layer is crucial. Treat- ment by HF is not appropriate as a pre-oxidation clean where the formation of a passivating oxide layer is essential to prevent thermal surface etching and roughening of the Si. Verhaverbeke et al. [112] investigated the characteristics of HF-treated Si surfaces as a function of immersion time in dHF. They demonstrated the importance of forming a perfectly passivated surface, as evidenced by contact angle measurements, to reduce particle deposition. HF-last clean- ing was more benefi cial in terms of metallic contamination removal, as compared to RCA cleans. The improved processing led to superior oxide integrity [112]. Grundner et al. [303] investigated surface composition and morphology of Si wafers after dHF treatments by means of instru- mental surface analytical and angle resolved light-scattering techniques. Hirose et al. [304 ] studied the chemical stability and oxidation kinetics of H-terminated Si surfaces after treatments in HF and BHF solutions, and Chabal [305 ] explored H-termination, atomic structure, and overall mor- phology in detail. Anttila and Tilli [111 ] showed that replacing SC-2 with very diluted 4 mineral acids, e.g., 1:1 ¥ 10 HCl:H2 O, can remove several metallic con- taminants (and metal hydroxides) without introducing as many particles as SC-2 does. The benefi ts of SC-1, which leaves the surface free of par- ticles and organics, are combined with the benefi ts of dilute acid at room temperature, which removes metals effi ciently without adding particles. Kniffi n et al. [306 ] showed that the type of chemical bonding of metallic impurities to the Si surface plays an important role in determining the cleaning effi ciency of a wet-chemical processing sequence. Poliak et al. [307 ] compared the effects of various wet-chemical cleaning sequences for removing metallic contaminants. Shimono [133 ] demonstrated that aqueous 1 % H2 O2 –0.5 % HF has a higher effi ciency for removing metal- lic impurities than do conventional cleans; it also etches native oxide fi lms as well as a surface layer of Si. Takizawa and Ohsawa [134] have used a similar approach by employing the classical HF–HNO 3 – HF Si etchant in very dilute form (0.025 – 0.1 % HF in HNO3 ), so that a Si etch rate of 3– 60 nm/minute results without a substantial etching of thermal

SiO 2 patterns. Hariri and Hockett [143] showed that replacing the NH 4OH in SC-1 with choline (trimethyl-2-hydroxyethyl ammonium hydroxide) plus a sur- factant reduces oxidation-induced stacking faults in Si better than RCA cleans do, and may improve removal of heavy metals. Lowell [308 ] used choline clean after a deglazing etch for doped polySi layers with dHF, gen- erating a thin protective oxide fi lm. Menon et al. [309 ] showed, however, 1: Overview of Silicon Wafer Cleaning, Kern 67 megasonic/SC-1 to be more effective for removing particles than choline mixtures. Syverson et al. [310 ] conducted temperature optimization tests for megasonic particle removal in SC-1/SC-2, which revealed that 55 ° C is the most effective temperature. Major reduction in wafer particle densities was achieved by this treatment in an advanced manufacturing environment. Many other aspects of particle contamination and removal were covered in the volumes of references [10 , 11 ].

Tong et al. [311 ] reported that ozonated DI H 2 O used with conven- tional aqueous chemicals has good cleaning effi ciency; concentrations of residual metals and particles were found to be equal or lower than with conventional RCA cleans. Matthews [72 ] carried the preparation of high- purity aqueous chemicals from gaseous precursors a step further by using

NH 3 for preparing NH4 OH and HCl for HCl solutions, in addition to O3 for H2 O2 . This method of reagent synthesis will undoubtedly become an important future technology. An important area related to wafer cleaning concerns reagent recycling and repurifying. Davison et al. [69 ] described the reprocessing, properties and applications of high-purity aqueous HF. Doshi et al. [312 ] state that impurity levels in this ion-exchange-purifi ed HF were routinely below 1 ppb for 34 elements and that it performed signifi cantly better in the pro- duction of MOS memory devices than high-purity imported HF. Davi- son [313 , 314 ] and Hsu [70 ] reviewed the technology of reprocessing and ultrapurifying both H2 SO4 – H2 O2 (piranha etch) and aqueous HF.

1.5.4.2 Vapor-phase cleaning methods A number of outstanding papers should be noted in this technology: The removal of native, grown, or deposited oxide fi lms on Si was accom- plished previously (1988) by use of HF gas or vapor etching [287 –289 ]. The chemical mechanisms underlying these vapor-phase etching pro- cesses was elucidated by Helms and Deal [315]. Systems for implemen- tation of these processes for oxide removal were reported during this period by many authors, e.g. , Deal et al. [316 ], Ohmi et al. [317 ], Onishi et al. [318], Iscoff [319], Wong et al. [320], Nobinger et al. [321], and

Deal and Helms [322]. The addition of methanol instead of H 2 O vapor to anhydrous HF minimizes the formation of the solid reaction products encountered with the HF – H2 O vapor etching systems, as observed by Izumi et al. [323]. Significant progress was made by the implementation of physi- cal– chemical methods, such as glow discharge plasma reac- tions, for removing thin oxide films and certain contaminants from 68 Introduction and Overview

semiconductor wafers. Comfort [324 ] examined the thermodynamic parameters governing high-temperature thermal desorption of oxides and low-temperature removal of oxides for pre-epitaxial surface cleaning of Si. Reif [325 ] discussed in situ low-temperature clean- ing for pre-epi Si growth; Liehr [326] examined the impact of Si surface treatments prior to epitaxy and gate oxide growth; and Kalem et al. [327 ] reported on surface cleaning prior to the formation of

SiO2 /Si interfaces. Tasch et al. [328] reviewed recent results on low- temperature in situ pre-epi cleaning of Si by remote plasma-excited hydrogen in ultrahigh vacuum. Hattangandy et al. [329] applied atomic H, dissociated from H2 by remote noble-gas discharge, for the low-temperature cleaning of Ge and GaAs surfaces. Frystak and Ruzyllo [330 ] used remote plasma cleaning as a pre-oxidation treat- ment for Si. Gas mixtures of O 2, HCl/Ar, and NF 3/H 2/Ar were used to remove organics, metallic impurities, and thin oxide films. Finally, Chang [331] described an in situ plasma cleaning and device passiva- tion process for GaAs. Removal of metallic contaminants is best accomplished by thermal, chemical, or photochemical vapor-phase reactions. Gluck [332] reported that nitric oxide can volatilize Cu from Si surfaces at 500 ° C, and that Au reacts with a mixture of nitric oxide and HCl at 900– 1000 ° C to form a volatilizable compound. The formation of volatile nitrosyl complex com- pounds with various metals, and the thermal reaction with NO/HCl/N 2 may be promising approaches to vapor-phase metal removal. Formation of volatile organometallic complexes with other reactants is also possible. Ivankovits et al. [333] reported that reacting Fe and Cu on Si with 1,1,1,5,5,5, hexafl uoro-2,4-pentanedione followed by volatilization at 300 ° C can reduce their concentrations. These early results are promis- ing, but a great deal more work is needed to develop predictable and effi - cient processes. Wong [334] reported results on a pre-oxidation treatment of Si wafers with HCl/HF vapor mixtures, which was effective in reducing the detrimental effects caused by traces of heavy metal contaminants; the oxide lifetime improved by 25 % . Low-temperature photochemical reactions have a great potential for transforming metallic contaminants into volatilizable compounds. UV radiation is usually employed as the radiation source with halogens as the reactants to generate highly reactive halogen radicals. Ito et al. [335 , 336 ] utilized highly purifi ed chlorine radicals to reduce the surface concentra- tions of Fe, Mg, Al, and Cu to levels lower than attainable with conven- tional wet cleans. Native oxide layers on Si can be etched off with fl uorine radicals generated by the same photo-activations process [336 ]. 1: Overview of Silicon Wafer Cleaning, Kern 69

The well-known UV/O 3 reaction for removing organics from surfaces, reviewed by Vig [337], was applied to the cleaning of GaAs in epitaxial deposition processes by Pearton et al. [338] and by Kopf et al. [339] for reducing defects. Bedge and Lamb [340 ] studied the kinetics of the pro- cess and reported on experiments and modeling. The status of particle removal by promising dry-cleaning techniques was noted by several investigators. McDermott et al. [341 ] described an argon-aerosol jet technique where frozen particles of Ar are cre- ated and impinge at high velocity onto the wafer surface. Micron-size and smaller particles are dislodged kinetically by the collision and are entrained in the gas stream and removed from the system. Book et al. [342] reported on the theory and practice of using supercritical fl uids, such as supercritical CO2 , which can penetrate into deep IC structures and effect complete removal of particles and other contaminants. The supercritical liquid is fi rst forced into trenches during compression in the pulsating pressure cycle. Subsequent expansion between supercriti- cal and subcritical pressures dislodges particles and causes their ejection with tremendous force. Removal of particles in a vacuum system compatible with a dry clean- ing sequence is technologically very diffi cult. Particle detachment by electrostatic techniques is ineffective. A promising technique based in the using a laser beam was demonstrated by Allen [343, 344] who showed that pulsed laser radiation is capable of removing particles from surfaces. A moisture fi lm is condensed between the particles and the wafer surface and is then explosively evaporated by a laser beam of appropriately tuned wavelength. The dislodged particles are then swept out of the system with a jet of inert gas.

1. 5.5 Modern cleaning and surface conditioning science and technology 1.5.5.1 Trends and milestones This 13-year period from 1993 to 2006 has been marked by tremendous changes and remarkable progress in the science and technology of Si wafer cleaning and surface conditioning. A number of major milestones can be identifi ed in this era of intense research and development that have led to outstanding improvements in traditional processing methods and in the generation of entirely new ones. The progress has been gradual but rapid, refl ecting the fast moving industry of high-end microchip manufacturing with its stringent technical demands. 70 Introduction and Overview

The driving forces underlying this development are the cleaning and conditioning requirements for semiconductor device structures featuring novel materials and continuously shrinking chip-level geometries. The effi cient removal of microcontaminants from these ultrasensitive surfaces has become critically important and is the prime objective of all cleaning processes. In the past, wafer cleaning and surface preparation were considered more of an art than a science. This view has defi nitely changed in recent years as processing and characterization methods have attained consider- able scientifi c sophistication. We now have a much better understanding of the chemical and physical mechanisms governing the transfer of con- taminants to and their removal from Si wafers and IC surfaces, in part due to advances in instrumental ultratrace analysis and electrical methods of testing. Si surface concentrations of critical metallic impurities have now been reduced to levels below 108 atoms/cm 2 , approaching the analyti- cal detection limit; particle size measurement limits have been reduced to below 50 nm. The emergence in this era of new technologies for manufacturing advanced ICs has represented a new challenge to cleaning applications, especially in BEOL post-patterning cleaning of Cu interconnect lines and low- κ interlayer dielectric fi lms, which are among the most widely used new components. The cleaning of Cu surfaces demands special requirements due to the ease of oxidation. Dielectric fi lms with lower dielectric constants than traditional SiO 2 are frequently of low density and require special cleaning treatments due to their porosity and lower chemical resistance. Materials with high dielectric constant are another class of new dielec- trics that represent a special cleaning challenge because of their unique chemistry. These recently introduced materials are intended to alterna- tively replace SiO 2 and SiON gate dielectric fi lms in FEOL processes for several types of advanced ICs. Compounds of such materials may consist of the oxides of Ta, Y, Gd, Sm, Dy, Pr, and/or Hf. During this period single-wafer processing has become close to a mainstream technology as the wafer size has increased to 300 mm at the 90-nm, the 65-nm, and eventually the 45-nm, process node. This transi- tion from batch cleaning to single-wafer cleaning has also been combined with the development of economical spray tools and the introduction of new and improved chemistries. Organic contaminants have become rec- ognized to be more critical in their effects than had been realized in the past, especially in respect to photoresist stripping and sidewall polymer residue removal. The older types of photoresist stripping agents based on 1: Overview of Silicon Wafer Cleaning, Kern 71 hydrocarbon solvents, phenolic compounds and amine active compounds have been replaced with N-methyl pyrolidone (NMP) containing a small amount of H 2 O. Semiaqueous mixtures of hot hydroxylamine have been introduced for stripping photoresist over Al metallization. Dry cleaning and surface conditioning technologies have experienced substantial progress, especially in the area of BEOL processing where wet cleaning has critical limitations. In addition, R & D (research and develop- ment) in the established processes based on HF-vapor etching, UV/O3 , UV/Cl2 , organochemical vapor-phase cleaning and plasma stripping and cleaning, the most remarkable progress has been achieved with cryogenic aerosol and supercritical fl uid cleaning. These cryogenic technologies have emerged from laboratory applications to IC production tools, espe- cially cryogenic aerosol cleaning based on CO2 and Ar/N2 methods. Finally, technological progress and new research results in wafer cleaning technology have been presented in numerous scientifi c symposia and published in proceedings and journals. The most popular of these have been the Electrochemical Society’s continual biannual symposia, which have been an invaluable source of information. Also, the frequently updated International Technology Roadmap for Semi- conductors (ITRS) has been an important guide of requirements to the technologist. A recent completely revised publication was issued in 2006 [ 1 , 2 ].

1.5.5.2 Liquid processes and wafer drying technology It has become evident that wet-cleaning technology will remain the pri- mary process for Si wafer cleaning and surface preparation in IC manufac- turing for years to come, despite the early predictions and the availability of excellent and viable new dry-cleaning processes that have been devel- oped during this period. An essential objective in wet-cleaning, next to the removal of contaminants, has been the reduction of processing chemicals, treatment time and DI H2 O consumption by improved and optimized pro- cesses, simplifi ed chemistry and advanced equipment design— all at lower defect levels. The basic RCA standard cleaning sequence in its various modifi ed forms still appears to be the major FEOL pre-deposition cleaning pro- cess in industry up to this time, although excellent alternative processes have been made available. Alternative FEOL cleaning solutions that have been described during this period include diluted HF solution in the “ HF- last” step to produce a hydrophobic H-passivated Si surface [113–115 ]; 72 Introduction and Overview

variously diluted processing solutions used in “ dilute chemistry ” [ 110 ,

116 – 120 ]; DI H 2 O/O3 and ozonated chemical solutions as oxidants to replace H2 O2 [ 100 , 121 – 132 ]. Other alternatives include microetching mixtures based on HF and an oxidant [ 115 , 121 , 133 – 138 ]; and Cu removal solutions containing HCl –

HF – DI H2 O [ 121 , 136 – 140 ]. Tetramethyl ammonium hydroxide–H2 O2 mixtures have been used in spraying applications [145 ], and aqueous hydroxylamine solutions for post-etch polymer residue removal [146, 147]. A fast, cost-effective dilute-acid chemical mixture to perform post-etch Al interconnect spray cleaning has been introduced recently [345 ]. The role of contamination introduced by contaminated wafers in immersion- type wet cleaning has been quantitatively assessed. It was shown that the quantity of metallic contaminants on the wafer surface after cleaning depends on the amount of metallic impurities introduced to the cleaning solution by the wafers initially [346 ]. Surfactants as additives to aqueous solutions have been extensively explored during these past 13 years to improve the overall cleaning effi - ciency [ 14 , 55 , 90 , 119 , 136 , 137 , 148 – 153 ]. The same is the case for chelating and complexing agents to enhance the cleaning activity of aque- ous solutions and/or to prevent redeposition of contaminants [17 , 119 , 149 , 154 – 159 ]. Most of these chemicals have been available for many years, but have been evaluated and utilized only recently.

H 2 O rinsing fundamental aspects have been studied in some detail [ 165 , 181 – 184 ], including physical/chemical mechanisms of patterned wafer rinsing [182–184 ] and optimization for single-wafer applications [182]. Acidifi cation to prevent corrosion [186 ] and to avoid metal deposition on wafer surfaces has been proposed [52, 165, 185]. Megasonic rinsing for damage-free BEOL processing of Cu metallization has been described [187 ], as well as centrifugal spray rinsing [188 ] and rinsing in a closed system module [189 ]. The cleaning effects of activated ultrapure DI H2 O have been found to be quite remarkable. This type of H2 O, so-called “ func- tional H 2O ” , can be generated by ultrasonic irradiation of ultrapure DI H 2 O that contains dissolved gases, generating H2 O2 and reactive ionic species, such as OH – radicals [346 ]. Variously operating commercial drying systems based on IPA have been developed [192 ]. It was realized that the purity of the solvent and its H2 O content are critically important to achieve an ultraclean and dry surface [193 –195 ] which is free of the formation of watermarks and excessive IPA surface adsorption [347 ]. The “ Rotagoni ™ system ” , a single-wafer centrifuge operating at low speed and utilizing the Marangoni effect, was described for spin rinsing and IPA drying [182 , 199 ]. 1: Overview of Silicon Wafer Cleaning, Kern 73

Complete new cleaning/rinsing/drying sequences that incorporate many of the processing steps and chemicals described in this section have been introduced during this period, mostly for FEOL pre-deposition applications. Most of these have been directed towards the use of single-wafer cleaning and are the subject of intense R & D, as already noted. The following new cleaning systems have been well documented: the Ohmi Clean [ 114 , 138 , 160 – 162 ], the IMEC Clean [ 52 , 113 , 163 – 165 ], the Diluted Dynamic Clean [166 –168 ], and the Single-Wafer/Short-Cycle Clean [ 169 – 175 , 348 ] for BEOL cleaning. All of these are directed toward the use of minimal quan- tities of chemicals, DI H2 O, and processing time with superior results of cleaning and surface conditioning.

There has been an increased awareness or reducing H2 O consumption in wafer cleaning and rinsing applications. Great efforts have been made to conserve this precious and limited natural resource by optimized rins- ing techniques [170 , 183 , 187 , 349 , 350 ], by introducing automated cleaning tools [351], by designing practical recycling systems [352, 353] including electrodeionization [354], and, of course, by implementing the new cleaning systems listed in the previous section. Progress has also been made in the purifi cation of H2 O, such as the introduction of dual, high- effi ciency electrostatic fi lters to produce particle-free, ultrapure DI H2 O [355 ], to mention just one example.

1.5.5.3 Dry cleaning and surface conditioning processes As previously stated, dry cleaning comprises the technologies of vapor- phase cleaning, plasma cleaning, and cryogenic cleaning. Vapor-phase cleaning . Several papers have been published on vapor etching of native oxide fi lms [201 ] and polymeric silicate residues and oxides in intermetal contact openings using anhydrous HF gas [202 ].

Additives of IPA, N 2, methanol, and H 2 O2 were used in several examples, including applications to MOS devices [203 –208 ]. Etching studies of ther- mal SiO2 with HF/H2 O vapor [209 ], and selective etching of native oxide fi lms were reported [210 ].

Applications of UV/O 3 cleaning and surface conditioning were described in reference [211 ]. The UV-excited Cl2 reaction was used under optimized conditions to remove trace metal contaminants in or on surface damaged

Si layers [205 , 209 , 212 ], including organics [213 ]. UV/Cl2 pre-gate dielectric cleaning in a single-wafer reactor [214] and in a vacuum clustered reactor has been described in reference [215 ]. Organochemical vapor-phase cleaning processes with chelates to form volatile metal coordination compounds have been reported in this period. 74 Introduction and Overview

A detailed study has been made on the removal of sub-monolayer quantities of numerous contaminant metals [220 ]. Plasma stripping and cleaning. Reactions involved in the removal of bulk photoresist with plasma generated atomic O was described [221, 222], including the use of downstream reactors to minimize ion-induced surface damage [223 ]. Water vapor addition can be benefi cial [225 , 226 ] and so is the use of 10 vol % H2 – 90 vol % N 2 in creating a non-oxidizing environment [201 ]. The removal of complex etching residues from deep Si trenches [227] using reactive chemical species in a downstream microwave discharge was reported [228]. Metal etch residues with corrosive Cl impurities [222] requires the use of in situ H 2O-based downstream plasmas followed by wet-chemical cleaning [229 ]. Removal of etch residues by plasma treat- ments has been the subject of intensive studies to formulate effective processes. Pre-deposition cleaning and surface conditioning prior to gate dielectric formation under optimal conditions, as well as plasma-assisted cleaning prior to epitaxy are additional critical operations that require carefully optimized procedures [231]. Cryogenic aerosol cleaning and conditioning. This emerging tech- nology has received extensive attention during this period, as evident from the considerable amount of published papers. A theoretical analy- sis of cryogenic aerosol wafer cleaning has been reported [232 ]. Non- damaging cryogenic Ar/N 2 aerosols for cleaning IC device wafers with fragile interconnect structures has been used [233, 234], including post- patterned BEOL cleaning of wafers with Cu metallization and low-κ dielectrics [235]. Cryogenic aerosol processors for the fab are now avail- able [233, 234]. Carbon dioxide snow cleaning (SCO 2) has been dem- onstrated to remove submicron particles and residues from post-CMP treated surfaces more effectively than is resulting from other cleaning processes [240, 241]. Organic impurities appear to be removable by a liquid CO2 phase [236 –239 ]. Refi ned commercial SCO2 processing sys- tems designed for wafer cleaning applications have become available [237 , 239 ]. Supercritical carbon dioxide cleaning. As noted previously, supercriti- cal carbon dioxide (scCO2 ) is capable of removing residues and submicron particle contaminants from IC device wafers with nanometer-size features without affecting porous low-κ dielectric layers [ 237 , 238 , 242 – 244 ]. As a consequence, applications of scCO 2 cleaning for IC manufacturing have received a great deal of attention, as evident from a number of listed refer- ences including [ 242 , 245 – 247 ]. 1: Overview of Silicon Wafer Cleaning, Kern 75

1. 6 Summary and Conclusion

This overview chapter has been presented in four major sections: (1 ) introduction, (2 ) wafer contamination aspects, (3 ) wafer cleaning technol- ogy, and ( 4 ) the evolution of wafer cleaning. In the introductory section we briefl y discussed the importance of clean and conditioned Si wafer surfaces in the manufacturing of microelectronic devices. Ultraclean Si and IC device surfaces that are essentially free of chemical contaminants and particulate impurities have been shown to be an absolute prerequisite for the economical fabrication of devices with high performance and reliability. Damage-free and effective techniques for cleaning and surface condi- tioning Si wafers before and after the various processing steps are now critically important because of the extreme sensitivity of the semicon- ductor surface and the often fragile nanometer-sized device features. The introduction of Cu interconnect metallization and new dielectric materials has necessitated the development of optimized BEOL cleaning procedures that are more compatible with these materials than conventional processes can provide. The results of intensive R & D efforts in recent years have been reported in symposia and numerous publications of technical and scientifi c profes- sional societies aimed at meeting the stringent demands outlined by the periodically updated International Technology Roadmap for Semiconduc- tors. Of the 500 – 800 process steps required to produce an advanced type of IC, approximately 15 – 20 % of them are for cleaning, and up to 70 % of these are based on aqueous chemistry. We have seen that vapor phase or dry cleaning processes are used mainly for cleaning device wafers in the later stages of production (BEOL) where special materials may not tolerate conventional wet-chemical procedures. The section on wafer contamination aspects summarized the types and origins of contaminants and defectivity to provide a better understanding for the need of surface cleaning. Contaminants were grouped in four cat- egories: (1 ) molecular fi lms, mostly of organic nature, (2 ) ionic contami- nants, (3 ) metallic impurities, and (4 ) particles of all sorts. Each category has its specifi c features of deleterious effects on Si device performance, which were briefl y described. The key notion on contamination and defectivity should be prevention , which is less diffi cult to exercise than subsequent contaminant removal . The purpose of this section was to pro- vide an introduction to the detailed in Chapter 2 on contamination and defectivity. 76 Introduction and Overview

The third and main section was intended as an overview of wafer clean- ing and surface conditioning technology. Wet-chemical cleaning processes that were discussed include solutions based on HF and on H2 SO4 –H2 O2 mixtures. The original RCA cleaning process and its development, as well as subsequent modifi cations, were described in detail because of their importance and widespread use. Alternative cleaning solutions were dis- cussed also, including “ HF-last ” , dilute chemistry applications, ozonated solutions, micro-etching mixtures and several other solutions. The steadily increasing use of surfactants and chelating agents as additives was noted. Alternative wet-chemical cleaning/surface conditioning systems and their key features that were described include Ohmi Clean, IMEC Clean, Dilute Dynamic Clean, and Single-Wafer/Short-Cycle Clean. Equipment and techniques for implementing wet-chemical cleaning, wafer rinsing, dry- ing, and storing were addressed. The trend toward high-speed, single-wafer spray-processing was pointed out for use with 300-mm wafers. The overview of dry-cleaning and surface conditioning processes included HF vapor etching, UV/O 3 cleaning for organic removal, UV/Cl2 vapor-phase reactions for metal removal, and organochemical vapor-phase cleaning reactions to be described in more detail in Chapter 5. Plasma stripping and cleaning processes were briefl y discussed as an introduction to the plasma cleaning in Chapter 6, including bulk photore- sist stripping, etch residue removal, and pre-deposition/surface conditioning treatments. The emerging technologies of cryogenic aerosol and supercritical fl uid cleaning were described as a brief introduction to Chapter 7, including applications of SCO 2 and scCO2, for cleaning and particle removal of sensitive IC structures. Section 1.5.1.4 reviewed the chronological evolution of wafer cleaning science and technology. Detailed references with author identifi cations were provided for work published from 1950 to 1992. Graphical examples were shown from a series of basic papers published by the author between 1963 and 1972 using radioactive tracer isotopes to investigate the nature and properties of surface contaminants. The con- current development of the RCA Si wafer cleaning procedure was also described in this section. The period from 1989 to 1992 reviewed important developments of wet- chemical cleaning processes and the introduction of dilute chemistry and ozonated solutions to replace H2 O2 . Vapor-phase cleaning methods based on HF, organometallics, glow discharge plasma and photochemical reactions were described. 1: Overview of Silicon Wafer Cleaning, Kern 77

Finally, the 13-year period from 1993 to 2006 was reviewed briefl y in terms of trends and major milestones. Signifi cant progress in science and technology of Si wafer cleaning and surface conditioning was achieved with remarkable sophistication and scientifi c insight. The cleaning of BEOL Cu interconnect lines with low-κ dielectric interlayers by novel liquid and dry- chemical methods exemplifi ed some of the typical changes. Refi nements in liquid processes and wafer drying technology were described, including new cleaning/rinsing/drying sequences and systems for single-wafer FEOL and BEOL processing. Emerging BEOL dry-cleaning and surface condition- ing technologies based on activated gases, plasmas, cryogenic aerosols and scCO2 for patterned IC device wafers were noted among recent advances. In conclusion, the cleaning and surface conditioning of Si wafers and device structures designed for the 45-nm (and eventually 32-nm) technology node has become a major cornerstone in the fabrication process of advanced Si ULSI microcircuits, and soon nanocircuits. Various cleaning processes — wet and dry — will likely perform jointly as a team in FEOL and BEOL process- ing to utilize the best features of each for any specifi c application. However, liquid-based cleans will most likely continue to be needed for the removal of particles and solid residues. Continuous R& D will undoubtedly meet the stringent future challenges of cleaning devices featuring new structural mate- rials using innovative approaches and refi ned systems and equipment.

References

1 . The International Technology Roadmap for Semiconductors, ITRS, Near- Term and Long Term, Front End Processes, Semiconductor Industry Asso- ciation, Austin, TX (2006). 2. The International Technology Roadmap for Semiconductors, ITRS, Near- Term and Long-Term, Interconnect, Semiconductor Industry Association, Austin, TX (2006). 3. Semicon/Korea ’91 Tech. Proc., Seoul, Korea (Sept. 1991). SEMI, Mountain View, CA (1991). 4. Semicon/Europe ’92 Tech. Proc., Zurich, Switzerland (March1992). SEMI, Mountain View, CA (1992). 5. Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth and Processing, Symp. B, Spring Mtg. of the Materials Research Society (MRS), San Francisco, CA (April 12–16, 1992). 6. Proc. of the Ann. Tech. Mtgs. of the Institute of Environmental Sciences, Mount Prospect, IL, and Ann. Microcontamination Conf. Proc., sponsored by Microcontamination Magazine. 7. Proc. of the Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, Balazs Analytical Laboratory, Sunnyvale, CA. 78 Introduction and Overview

8. Electrochemical Society (ECS) Symposium Proceedings: 90-9. First International Symposium on Cleaning Technology in Semicon- ductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 90-9, The Electrochemical Society, Pennington, NJ (1990). 92-12. Second International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 92-12, The Electrochemical Society, Pennington, NJ (1992). 94-7. Third International Symposium on Cleaning Technology in Semicon- ductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 94-7, The Electrochemical Society, Pennington, NJ (1994). 95-20. Fourth International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 95- 20, The Electrochemical Society, Pennington, NJ (1996). 97-35. Fifth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 97-35, The Electrochemical Society, Pennington, NJ (1998). 99-36. Sixth International Symposium on Cleaning Technology in Semicon- ductor Device Manufacturing (Novak, R. E., Ruzyllo, J., and Hattory, T., eds.), 99-36, The Electrochemical Society, Pennington, NJ (2000). 2001-26. Seventh International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., Hattori, T., Opila, R. L., and Novak, R. E., eds.), 2001-26, The Electrochemical Society, Pennington, NJ (2002). 2003-26. Eighth International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (Ruzyllo, J., Hattori, T., Opila, R. L., and Novak, R. E., eds.), 2003-26, The Electrochemical Society, Pennington, NJ (2004). 2006-3. Ninth International Symposium on Cleaning Technology in Semicon- ductor Device Manufacturing (Ruzyllo, J., Hattori, T., Opila, R. L., and Novak, R. E., eds.), 2006-3, The Electrochemical Society, Pennington, NJ (2006). 9. Handbook of Contamination Control in Microelectronics, (Tolliver, D. L., ed.), Noyes Publications, Park Ridge, NJ (1988). 10. Particles on Surfaces: Detection, Adhesion, and Removal, (Mittal, K. L., ed.), Plenum Publishing Corp., New York, NY (1988 through 2006); Particles in Gases and Liquids: Detection, Characterization, and Control, (Mittal, K. L., ed.), Plenum Publishing Corp., New York, NY (1989 and 1990). 11. Particle Control for Semiconductor Manufacturing (Donovan, R. P., ed.), M. Dekker, Inc., New York, NY (1990). 12. Kinkead, D., Semiconductor International, 19(6):231 (June, 1996). 13. Sugimoto, F., and Okamura, S., J. Electrochem. Soc., 146(7):2725 (1999). 14. Ohmi, T., Imaoka, T., Kezuka, T. Takano, J., and Kogure, M., J. Electrochem Soc., 140(3):811 (1993). 15. Loewenstein, L. M., Charpin, F., and Mertens, P. W., J. Electrochem. Soc., 146(2):719 (1999). 16. Loewenstein, L. M., and Mertens, P. W., J. Electrochem. Soc., 146(10):3886 (1999). 17. Beaudry, C., Morinaga, H., and Verhaverbeke, S., in ref. 8: 2001-26:118. 18. Hoshino, T., Hata, M., Neya, S., and Morinaga, H., J. Electrochem. Soc., 151(9):G590 (2004). 1: Overview of Silicon Wafer Cleaning, Kern 79

19. Chen, Z., and Singh, R. K., J. Electrochem. Soc., 150(11):G667 (2003). 20. Cooper, D. W., Donovan, R. P., and Steinman, A., Semiconductor Interna- tional, 22(8):149 (July, 1999). 21. Slusser, G. J., and McDowell, L., J. Vac. Sci. Technol., A5(4):1649 (1987). 22. Monkowski, J. R., Treatise on Clean Surfaces Technology, (Mittal, K. L., ed.), Vol. 1, Ch. 6, pp. 123–148, Plenum Press, New York, NY (1987). 23. Khilnani, A., Particles on Surfaces 1: Detection, Adhesion, and Removal, (Mittal, K. L., ed.), pp. 17–35, Plenum Press, New York, NY (1988). 24. Burkman, D. C., Peterson, C. A., Zazzera, L. A., and Kopp, R. J., Microcon- tamination, 6(11):57, 107 (1988). 25. Atsumi, J., Ohtsuka, S., Munehira, S., and Kajiyama, K., in ref. 8: 19-9:593. 26. Hattori, T., Solid State Technology, 33(7):S1 (1990). 27. Jastrzebski, L., ECS Extended Abstracts, 90-1:587, The Electrochemical Society, Pennington, NJ (1990). 28. Riley, D., and Carbonell, R., Proc. of the Institute of Environmental Sci- ences, Ann. Tech. Mtg., p. 224, New Orleans, LA (1990). 29. Ohsawa, A., Honda, K., Takizawa, R., Nakanishi, T., Aoki, M., and Toyokura, N., Sixth International Symposium on Silicon Materials Science and Technol- ogy, (Huff, H., Barraclough, K. G., and Chikawa, J.-I., eds.), 90-7:601, The Electrochemical Society, Pennington, NJ (1990). 30. Matsushita, Y., and Tsuchya, N., ECS Extended Abstracts, 90-2:601, The Electrochemical Society, Pennington, NJ (1990). 31. Kern, F. W., Jr., Mitsushi, I., Kawanabe, I., Miyashita, M., Rosenberg, R. W., and Ohmi, T., Proc. of the 37th Ann. Tech. Mtg., Institute of Environmental Sciences, San Diego, CA (1991). 32. Riley, D. J., and Carbonnel, R. G., Proc. of the 37th Ann. Tech. Mtg., p. 886, Institute of Environmental Sciences, San Diego, CA (1991). 33. Meuris, M., Heyns, M., Kuper, W., Verhaverbeke, S., and Philipossian, A., ECS Extended Abstracts, 91-1:488, The Electrochemical Society, Pennington, NJ (1991). 34. Bergholz, W., Zoth, G., Gelesdorf, F., and Kolbesen, B., ECS Extended Abstracts, 91-1:227, The Electrochemical Society, Pennington, NJ (1991). 35. Meuris, M., Heyns, M., Mertens, P., Verhaverbeke, S., and Philipossian, A., ECS Extended Abstracts, (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), The Electrochemical Society, Pennington, NJ. 36. Verhaverbeke, S., Meuris, M., Mertens, P. W., Kelleher, A., Heyns, M. M., De Keersinaeck, R. F., Murrell, M., and Sofi eld, C. J., ECS Extended Abstracts, (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), The Electrochemical Society, Pennington, NJ. 37. Tonti, A., ECS Extended Abstracts, (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), Electrochemical Society, Pennington, NJ. 38. Gupta, P., Van Horn, M., and Frost, M., ECS Extended Abstracts, (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), The Electrochemical Society, Pennington, NJ. 80 Introduction and Overview

39. Anttila, O. J., Tilli, M. V., Schaekers, M., and Claeys, C. L., J. Electrochem. Soc., 139:1180 (1992). 40. Verhaverbeke, S., Mertens, P. W., Meuris, M., Heyns, M. M., Schnegg, A., and Philipossian, A., ECS Extended Abstracts, (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), The Electrochemical Society, Pennington, NJ. 41. Riley, D., Guan, J., Gale, G., Bersuker, G., Bennett, J., Lysaght, P., and Nguyen, B., in ref. 8: 2001-26, p. 39. 42. Liu, Y.-J., and Yu, H.-Z., J. Electrochem. Soc., 150(12):G861 (2003). 43. Knotter, D. M., de Gendt, S., Mertens, P. W., and Heyns, M. M., J. Electro- chem. Soc., 147(2):736 (2000). 44. Mitsugi, N., and Nagai, K., J. Electrochem. Soc., 151(5):G302 (2004). 45. Benton. J. L., Boone, T., Jacobson, D. C., Silverman, P. J., Rosamilia, J. M., Rafferty, C. S., Weinzierl, S., and Vu, B., J. Electrochem. Soc., 148(6):G 326 (2001). 46. Ramappa, D. A., and Henley, W. B., J. Electrochem. Soc., 146(6):2258 (1999). 47. Lin, Y. H., Pan, F. M., Liao, Y. C., Chen, Y. C., Hsieh, I. J., and Chin, A., J. Electrochem. Soc., 148(11):G 627 (2001). 48. Liao, C. C., Cheng, C. F. Yu, D. S., and Chin, A., J. Electrochem. Soc., 151(10):G693 (2004). 49. Heiser, T., Belayachi, A., and Schunck. J. P., J. Electrochem. Soc., 150(12): G831 (2003). 50. Scott, W. D. S., and Stevenson, A., J. Electrochem. Soc., 151(1):G8 (2004). 51. Bearda, J., De Gendt, S., Loewenstein, L., Knotter, M., Mertens, P., and Heyns, M., Solid State Phenomena, 65-66:11 (1999). 52. Heyns, M., Bearda, T., Cornelissen, I., De Gendt, D., Loewenstein, L., Mertens, P., Mertens, S., Meuris, M., Schaekers, M., Teerlinck, I., Vos, R., and Wolke, K., in ref. 8: 99-36, p. 3. 53. Kern, W., ECS Extended Abstracts. (a) Vol. 90–1 (1990), (b) Vol. 90–2 (1990), (c) Vol. 91–1 (1991), (d) Vol. 91–2 (1991), (e) Vol. 92–1 (1992), The Electrochemical Society, Pennington, NJ. 54. Osburn, C. M., Microcontamination 9(7):19 (July, 1991). 55. Itano, M., Kezuka, T., Ishii, M., Unemoto, T., and Kubo, M., and Ohmi, T., J. Electrochem. Soc., 142(3):971 (1995). 56. Granneman, E. H. A., Solid State Technology, 40(7):225, (July, 1997). J. Electrochem. Soc., 142(3):971 (1995). 57. Francis, T., MICRO, 14:69 (July/August, 1996). 58. Butterbaugh, J. W., Semiconductor International, 21(6):173 (June, 1998). 59. Jensen, D., and Fosnight, W., MICRO, 16(10):47 (October, 1998). 60. McIlvaine, R., and Bagga, A., Semiconductor International, 22(8):185 (July, 1999). 61. Cacouris, T., MICRO, 17(7):43 (July/August, 1999). 62. Dillenbeck, K., Particle Control for Semiconductor Manufacturing. (Dono- van, R. P. ed.), Ch. 15, pp. 225–261, M. Dekker Inc., New York, NY (1990). 63. Ohmi, T., Inaba, H., and Takenami, T., Microcontamination, 7(10):29 (1989). 64. Fisher, W. G., Particle Control for Semiconductor Manufacturing, (Dono- van, R. P., ed.), pp. 415–428, M. Dekker Inc., New York, NY (1990). 1: Overview of Silicon Wafer Cleaning, Kern 81

65. Kern, W., Proc. of the Semiconductor Pure Water and Chemicals Conf., Santa Clara, CA. Balazs Analytical Laboratory, Sunnyvale, CA (February 11–13, 1992). 66. Hashimoto, S., Kaya, M., and Ohmi, T., Microcontamination, 7(6): 25, 98 (1989). 67. Harden, N., Solid State Technology, 33(10):S1(1990). 68. Naggan, M., Handbook of Contamination Control in Microelectronics, (Tolliver, D. L., ed.), Ch. 11, Noyes Publications, Park Ridge, NJ (1988). 69. Davidson, J., Hsu, C., Trautman, E., and Lee, H., in ref. 8: 90-9, p. 83. 70. Hsu, C., Proc. of the Semiconductor Pure Water and Chemicals Conf., p. 44, Santa Clara, CA, Balazs Analytical Laboratory, Sunnyvale, CA (February 11–13, 1992). 71. Krusell, W. C., and Golland, D. I., in ref. 8: 90-9, p. 23: see also ozone work by Krusell et al., ECS Extended Abstracts, 86-1:133, The Electrochemical Society, Pennington, NJ (1986). 72. Matthews, R. R., Proc. of the Semiconductor Pure Water and Chemicals Conf., p. 3, Santa Clara, CA. Balazs Analytical Laboratory, Sunnyvale, CA (February 11–13, 1992). 73. Faylor, T. L., and Gorski, J. J., Handbook of Contamination Control in Mi- croelectronics, (Tolliver, D. L., ed.), Ch. 6, Noyes Publications, Park Ridge, NJ (1988). 74. Sinha, D., Solid State Technology, 35(3):S9 (1992). 75. Monkowski, J. R., and Freeman, D. W., Solid State Technology, 33(7):S13 (1990). 76. Hockett, R. S., in ref. 8: 90-9, p. 227; also in: Semicon/Korea ’91Tech. Proc., Seoul, Korea. Ch. 3, p. 89: SEMI, Mountain View, CA (September 26–27, 1991). 77. Kamieniecki, E., in ref. 8: 90-9, p. 273. 78. Zoth, G., and Bergholz, W., ECS Extended Abstracts, 91-2:643, The Elec- trochemical Society, Pennington, NJ (1991). 79. Hahn, S., Eichinger, P., Park, J.-G., Kwack, Y.-S., Cho, K.-C., and Choi, S.-P., Semicon/Korea ’91 Tech Proc., Seoul, Korea. Ch. III, p. 60, SEMI, Mountain View, CA (September 26–27, 1991). 80. Shimura, F., Semicon/Korea ’91 Tech. Proc., Seoul, Korea. Ch. III, p. 23, SEMI, Mountain View, CA (September 26–27, 1991). 81. Gupta, P., and Frost, M., Proc. on Chemical Surface Preparation, Passiva- tion, and Cleaning, Growth and Processing, Symp. B, Paper B5.10, Spring Mtg. of the MRS, San Francisco, CA (April 12–16, 1992). 82. Jastrzebski, L., Milic, O., Dexter, M., Lagowski, J., DeBusk, D., Nauka, K., Mitowski, R., Gordon, R., and Persson, E., in ref. 8: 92-12, p. 294. 83. Rathman, D., in ref. 8: 92-12, p. 338. 84. Grundner, M., Hahn, P. O., Lampert, I., Schnegg, A., and Jacob, H., in ref. 8: 90-9, p. 215. 85. Kern, W., and Deckert, C. A., Thin Film Processes, (Vossen, J. L., and Kern, W., eds.), Ch. V-1, pp. 401–496, Academic Press, New York, NY (1978). 86. Kern, W., and Schnable, G. L., The Chemistry of the Semiconductor Indus- try, (Moss S. J., and Ledwith, A., eds.), pp. 223–276, Chapman and Hall, New York, NY (1987). 82 Introduction and Overview

87. Walker, P., and Tarn, W. H., CRC Handbook of Etchants for Metals and Metallic Compounds, CRC Press, Inc., Boca, Raton, FL (1990). 88. Quick Reference Manual for Silicon Integrated Circuit Technology, (Beadle, W. E., Tsai, J. C. C., and Plummer, R. D., eds.), John Wiley and Sons, NY (1985). 89. Le Tiec,Y., Rigaudiere, J., and Pizzetti, C., in ref. 8: 99-36, p. 377. 90. Miyamoto, M., Kita, N., Ishida, S., and Tatsuno, T., J. Electrochem. Soc., 141(10):2899 (1994). 91. Monk, D. J., Soane, D. S., and Howe, R. T., J Electrochem. Soc., 140 (8):2339 (1993). 92. Verhaverbeke, S., Teerlinck, C., Vinckier, C., Stevens, G., Caturyvels, R., and Heyns, M. M., J. Electrochem. Soc., 141(10):2852 (1994). 93. Somashekhar, A., and O’Brien, S., J. Electrochem. Soc., 143(9):2885 (1996). 94. Verhaverbeke, S., Messoussi, R., Morinaga, H., and Ohmi, T., Ultra Clean Semicond. Processing Technology., Mat. Res. Soc. Symp. Proc., 386:3, Material Research Society (1995). 95. Judge, J. S., J. Electrochem. Soc., 118:1772 (1971). 96. Knotter, M., Stewart, N., Sharp, I., and Scranton, D., MICRO, 23(1):47 (January/February, 2005). 97. Zazzera, L. C., Becker, V, S., Beery, W. J., Sobol, P. E., and Chat, W., in ref. 8: 90-9, p. 43. 98. Van Zant, P., Semiconductor International, 7(4):109 (1984). 99. Davidson, J., and Hoffman, J., First Internatl. Symp. On Ultra Large Scale Integration Science and Technology, (Broydo, S., and Osburn, C. M., eds.), 87-11:798, The Electrochemical Society, Pennington, NJ (1987). 100. Tong, J. T., Grant, D. C., and Peterson C. A., in ref. 3: p. 18. 101. Kern, W., and Puotinen, D., RCA Review, 31:187 (1970). 102. Kern, W., RCA Engineer, 28(4):99 (1983). See also: 1983 Citation Classic, referring to ref. 101. 103. Kern, W., Semiconductor International, 7(4):94 (1984). 104. Shwartzman, S., Mayer, A., and Kern. W., RCA Review, 46:81 (1985). 105. Kern, W., J. Electrochem. Soc., 137:1887 (1990); also in ref. 8: 90-9, p. 3. 106. Kern, W., Semicon/Korea ’91 Techn. Proc., Ch. III p. 79, Seoul, Korea, SEMI, Mountain View, CA (September, 1991); also Kern, W., Semicon/ Europe ’92, Techn. Proc., Zurich, Switzerland, SEMI, Mountain View, CA (March 1992). 107. Kern, W., Proc. First International Surface Cleaning Workshop, Northeastern University, Boston, MA (November, 2002). 108. Burkman, D., Semiconductor International, 4 (7):103 (1981). 109. McConnell, C. F., Microcontamination, 9 (2):35 (1991). 110. Smith, S. M., Varadarajan, M., and Christenson, K., in ref. 8: 95-20, p. 21. 111. Anttila, O. J., and Tilli, M. V., in ref. 8: 92-12:179, also J. Electrochem. Soc., 139:1751 (1992). 112. Verhaverbeke, S., Alay, J., Mertens, P., Meuris, M., Heyns, M., Vandervorst, W., Murrell, M., and Sofi eld, C., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth and Processing, Symp. B, Spring Mtg. of the MRS, (Nemanich, R.J., Helms, C.R., Hirose, M., and Rubloff, G.W., eds.) 259:391, San Francisco, CA (April, 1992). 1: Overview of Silicon Wafer Cleaning, Kern 83

113. Verhaverbeke, H. S., Schmidt, H. F., Meuris, M., Mertens, P. W., Heyns, M. M., Werkhoven, C., de Blank, R., and Philipossian, A., Semicon/Europe ’93 Techn. Proc., Geneva, Switzerland, SEMI, Mountain View, CA (March/ April, 1993). 114. Ohmi, T., J. Electrochem. Soc., 143 (9):2957 (1996). 115. Patruno, P., Levy, D., Fleury, A., Tonti, A., and Tardif, F., in ref. 8: 94-7, p. 195. 116. Christenson, K., Smith, S. M., Bode, C., and Johnson, K., in ref. 8: 95-20, p. 597. 117. Boelen, P., Lardin, T., Sandrier, B., Matthews, R., Kashkoush, I., Novak, R., and Tardif, F., in ref. 8: 97-35, p. 161. 118. Couteau, T., McBride, M., Riley, D., and Peavey, P., Semiconductor Interna- tional, 21(12):95 (October, 1998). 119. Nicolosi, T., Olesen, M., and Patel, G. T., in ref. 8: 99-36, p. 165. 120. Braun, E. A., Semiconductor International, 23(8):92 (July, 2000). 121. Olson, E. D., Reaux, C. A., Ma, W. C., and Butterbaugh, J. W., Semiconductor International, 23(9):70 (August, 2000). 122. Ohmi, T., Isagawa, M., Kogure, M., and Imaoka, T., J. Electrochem. Soc., 140(3):804 (1993). 123. Park, J.-G., and Han, J.-H., in ref. 8: 97-35, p. 231. 124. Kenens, C., DeGendt, D. M., Knotter, L. M., Loewenstein, L. M., Meuris, M., Vandervorst, W., and Heyns, M. M., in ref. 8: 97-35, p. 247. 125. Sehgal, A., and Yalamanchili, M. R., in ref. 8: 2001-26, p. 61. 126. Kashkoush, I. I., Matthews, R., and Novak, R. E., in ref. 8: 97-35, p. 471. 127. Nelson, S. L., and Carter, L. E., Solid State Phenomena, 65-66:287 (1999). 128. Claes, M., Rohr, E., DeGendt, S., Lagrange, S., Bergman, E., and Heyns, M., in ref. 8: 2001-26, p. 314. 129. Lester, M. A., Semiconductor International, 23(10):64 (September, 2000). 130. Eom, D.-H., Kim S.-Y., Lee, K.-K., Kim, K.-S., Song, H.-S., and Park, J.-G., in ref. 8: 99-36, p. 288. 131. DeSmedt, F., Vankerckhoven, H., Vinckier, S., DeGendt, S., Claes, M., and Heyns, M. M., in ref. 8: 2001-26, p. 54. 132. DeSmedt, F., Vinckier, C., Cornelissen, I., DeGent, S., and Heyns, M., J. Electrochem. Soc., 147(3):1124 (2000). 133. Shimono, T., ECS Extended Abstracts, 91-1:278, The Electrochemical Society, Pennington, NJ (1991). 134. Takizawa, R., and Ohsawa, A., in ref. 8: 90-9:75. 135. Park, T.-H., Ko, Y.-S., Shim, T.-E., Lee, J.-G., and Kim, Y.-K., J. Electro- chem. Soc., 142(2):571 (1995). 136. Kim, J.-S., Morita, H., Choi, G.-M., and Ohmi, T., J. Electrochem. Soc., 146(11):4281 (1999). 137. Choi, G.-M., and Ohmi, T., J. Electrochem. Soc., 148(5):G 241 (2001). 138. Ohmi, T., in ref. 8:94-7, p. 3. 139. Oki, I., Shibayama, H., and Kagisawa, A., in ref. 8: 94-7, p. 206. 140. Butterbaugh, J. W., Olson, E. D., and Reaux, C. A., in ref. 8: 99-36, p. 30. 141. Muraoka, H., Kurosawa, K. J., Hiratsuka, H., and Usami, T., ECS Extended Abstracts, 81–2:570, The Electrochemical Society, Pennington, NJ (1981). 142. Park, J.-G., and Raghavan, S., in ref. 8: 92-12, p. 26. 84 Introduction and Overview

143. Hariri, A., and Hockett, R. S., Semiconductor International, 12(9):74 (1980). 144. Skindmore, K., Semiconductor International, 9(9):80 (1987). 145. Cody, W. A., and Varadavajan, M., J. Electrochem. Soc., 143(6):2064 (1996). 146. Song, J.-I., and Novak, R., MICRO, 21(4):31 (April, 2003). 147. Small, R. J., Lee, S., Finson, E., and Malony, D., MICRO, 20(4):33 (April, 2002). 148. Lehman, M., Simmons, M., Jackson, M., Spivey, C., Hong, B., and Mori, E. J., in ref. 8: 2001-26, p. 322. 149. Morinaga, H., Hou, A., Mochizuki, H., and Ikemoto, M., in ref. 8: 2003-26, p. 371. 150. Vehmas, T., Ritala, H., and Anttila, O., in ref. 8: 2003-26, p. 195. 151. Jeon, S. J., Raghavan, S., and Carrejo, J. P., J. Electrochem. Soc., 143(1):277 (1996). 152. Haworth, P. D., Kovach, M. J., Sperline, R. P., and Raghavan, S., J. Electro- chem. Soc., 146(6):2284 (1999). 153. Itano, M., Kezuka, T., Ishii, M., Unemoto, T., and Kubo, M., J. Electrochem. Soc., 142(3):971 (1995). 154. Saloniemi, H., Visti, T., Eraenen, S., Kiviranta, A., and Anttila, O., in ref. 8: 2001-26, p. 329. 155. Onsia, B., Schellkes, E., Vos, R., DeGent, S., Doll, O., Fester, A., Kolbesen, B., Hoffman, M., Hatcher, Z., Wolke, K., Mertens, P., and Heyns, M., in ref. 8: 2001-26, p. 23. 156. Gale, W. G., Rath, D. L., Cooper, E. I., Estes, S., Okorn-Schmidt, H. F., Brigante, J., Jagannathan, R., Settembre, G., and Adams, E., J. Electrochem. Soc., 148(9):G 513 (2001). 157. Chao, T. S., Yeh, C. H., Pan, T. M., Lei, T. F., and Li, Y .H., J. Electrochem. Soc., 150(9):G503 (2003). 158. Metzger, S., and Kolbesen, B. O., in ref. 8: 2003-26, p. 386. 159. Doll, O., and Kolbesen, B. O., in ref. 8: 2003-26, p. 362. 160. Ohmi, T., Proceedings 5th International Symposium, SCP Global Technologies, Boise, Idaho, (April, 1998). 161. Ohmi, T., Proceedings 7th International Symposium, SCP Global Technologies, Boise, Idaho (May, 2000). 162. Ohmi, T., Proceedings 8th International Symposium, SCP Global Technologies, Boise, Idaho (May, 2001). 163. Meuris, M., Vehaverbeke, S., Mertens, P. W., Schmidt, H. F., Rotondaro, A. L. P., Heyns, M. M., Philipossian, A., in ref. 8: 94-7, p. 15. 164. Meuris, M., Mertins, P. W., Opdebeeck, A., Schmidt, H. F., Depas, M., Vereecke, G., Heyns, M. M., and Philipossian, A., Solid State Technology, 38(7):109 (July, 1995). 165. Heyns, M. M., Arnauts, S., Bearda, T., Claes, M., Cornelissen, I., DeGent, S., Doumen, G., Fyen, W., Loewenstein, L., Lux, M., Mertins, P., Mertins, S., Meuris, M., Onsia, B., Rohr, E., Schaekers, M., Teerlinck, I., Van Doorne, J., Hoeymissen, J., Vereecke, G., Vos, R., and Wolke, K., Proceedings 7th International Symposium, SCP Global Technologies, Boi- se, Idaho (May, 2000). 166. Tardif, F., Lardin, T., Paillet, C., Joly, J. P., Fleury, A., Patruno, P., Levy, D., and Barla, K., in ref. 8: 95-20, p. 49. 1: Overview of Silicon Wafer Cleaning, Kern 85

167. Tardif, F., Lardin, T., Boelen, P., Novak, R., and Kashkoush, I., Proc. 3rd International Symposium on Ultraclean Processing of Silicon Surfaces (UCPSS), (Heyens, M. Meuris, M., and Mertens, P., eds.), p. 175 Antwerp, Belgium (1996). 168. Tardif, F., Lardin, T., Sandrier, B., Boelen, P., Matthews, R., Kashkoush, I., and Novak, R., in ref. 8: 97-35, p. 15. 169. Osaka, T., Okamoto, A., Kuniyasu, H., and Hattori, T., J. Electrochem. Soc., 145(9):3278 (1998). 170. Osaka, T., Okamoto, A., Kuniyasu, H., and Hattori, T., in ref. 8: 2001-26, p. 3. 171. Hattori, T., MICRO, 21(1):49 (January/February, 2003). 172. Sano, K.-I., and Izumi, A., in ref. 8: 2003-26, p. 34. 173. Verhaverbeke, S., and Truman, K., in ref. 8: 2001-26, p. 31. 174. Verhaverbeke, S., Kuppurao, S., Beaudry, C., and Truman, J. K., Semicon- ductor International, 25(8):91 (July, 2002). 175. Rosato, J. J., Lu, Y., Baiya, E. G., Yalamanchili, M. R., and Hanson, E., MICRO, 21(7):57 (July, 2003). 176. Skidmore, K., Semiconductor International, 12(8):80 (1989). 177. Burggraaf, P., Semiconductor International, 13(11):52 (1990). 178. Busnaina, A. A., and Kern, F. W., Jr., Solid State Technology, 30(11): 111(1987). 179. Menon, V. B., Clayton, A. C., and Donovan, R. P., Microcontamination, 7(6):31, (1989). 180. Tonti, A., in ref. 8: 92-12, p. 41. 181. Rosato, J. J., Walters, R. N., Hall, R. M., Lindquist, P. G., Spearow, R. G., and Helms, C. R., in ref. 8: 94-7, p. 140. 182. Fyen, W., Holsteyns, F., Lauerhaas, J., Bearda, T., Mertens, P. W., and Heyns, M. M., in ref. 8: 2001-26, p. 91. 183. Shadman, F., Proceedings 8th International Symposium, SCP Global Tech- nologies, Boise, Idaho (May, 2001). 184. Hebda, A. D., Romero, D. M., Seif, D. M., and Peterson, T. W., in ref. 8: 99-36, p. 569. 185. Loewenstein, L. M., and Mertens, P. W., in ref. 8: 97-35, p. 89. 186. Fahremkrug, J. A., Rosato, J. J., Olson, C. R., and Lindquist, P. G., Proceed- ings 6th International Symposium, SCP Global Technologies, Boise, Idaho (May, 1999). 187. Chang, C. K., Nguyen, V., Zhang, Q., and Foo, T. H., in ref. 8: 2003-26, p. 27. 188. Christenson, K. K., in ref. 8: 94-7, p. 153. 189. Walter, A. E., Paczewski, R. M., Parker, J. W., Chiang, A., Donigan, M. S., and Shih, H., MICRO, 14(5):46 (May, 1996). 190. Mayer, A. Shwartzman, S., J. Electronic Materials, 8:885 (1979). 191. Shwartzman, S., Mayer, A., and Kern, W., RCA Review, 46:81 (1985). 192. Rosato, J. J., Yalamanchili, M. R., Baiya, E. G., and Hanson, D. J., MICRO, 20(6):61, (June, 2002). 193. Ohmi, T., Mishima, H., Mizuniwa, T., and Abe, M., Microcontamination, 7(5):25, 108 (1989). 194. Mishima, H., Ohmi, T., Mizuniwa, T., and Abe. M., IEEE Trans. on Semi- conductor Manufacturing, 2(4):121 (1989). 195. Mishima, H., Yasui, T., Mizuniwa, T., Abe, M., and Ohmi, T., IEEE Trans. on Semiconductor Manufacturing, 2(3):69 (1989). 86 Introduction and Overview

196. Olesen, M. B., Proc. Institute of the Institute of Environmental Sciences, Ann. Tech. Mtg., p. 229, Mount Prospect, IL (1990). 197. Anabuki, K., Yamashita, Y., and Nawata, T., ECS Extended Abstracts, 90-2:443, The Electrochemical Society, Pennington, NJ (1990). 198. Marra, J., Extended Abstracts., 3rd Symposium on Particles in Gases and Liq- uids: Detection, Characterization and Control, p. 52, San Jose, CA (1991). 199. Mertens, P. W., and Marent, K., Solid State Technology, 43(9):113 (Septem- ber, 2000). 200. Commercial Etch Tool: Excalibur™, FSI International, also in Muscat, A. J., Thorsness, A. G., and Montano-Miranda, G., J. Vac. Sci. Technol., A19:1854 (2001). 201. Park, H., Ko, D., Apte, P., Helms, C. R., and Saraswat, K. C., Electrochemi- cal and Solid State Letters, 1(2):77 (1998). 202. Tong, J. K., Martin, J. S., Rogers, T. C., and Syverson, D. J., in ref. 8: 95-20, p. 235. 203. Butterbaugh, J. W., Hiatt, C .F., and Gray, D. C., in ref. 8: 94-7, p. 374. 204. Torek, K., and Ruzyllo, J., and Kamieniecki, E., in ref. 8: 94-7, p. 384. 205. Ma, Y., and Green, M. L., in ref. 8: 95-20, p. 115. 206. O’Brian, S., Bohannon, B., Bennett, M. H., Tipton, C., and Bowling, A., in ref. 8: 94-7, p. 233. 207. Chen, J. H., Lei, T. F., Chen, C. L., Chao, T. S., Wen, W. Y., and Chen, K. T., J. Electrochem. Soc., 149(1): G63 (2002). 208. Vermeulen, W. J. C., Kwakman, L. F. T., Werkhoven, C. J., Granneman, E. H. A., Verhaverbeke, S., and Heyns, M., in ref. 8: 94-7, p. 241 209. Muscat, A. J., and Thorsness, A. G., Montano-Miranda, G., and Finstad, C., in ref. 8: 2001-26, p. 221. 210. De Larios, J. M., and Borland, J. O., in ref. 8: 94-7, p. 347. 211. Hwang, D. K., Ruzyllo, J., and Kamieniecki, E., in ref. 8: 94-7, p. 401. 212. Lawing, A. S., Muscat, A. J., Sawin, H. H., and Butterbaugh, J. W., in ref. 8: 95-20, p. 150. 213. Chang, J. P., Eng, Jr., J., Sapjeta, J., Opila, R. L., Cox, P., and Pionetta, P., in ref. 8: 99-36, p. 129. 214. Lester, M. A., Semiconductor International, 23(14):46, (December, 2000). 215. Schwab, B. D., Gifford, R. W., and Butterbaugh, J. W., in ref. 8: 2001-26, p. 233. 216. George, M. A., Hess, D. W., Beck, S. E., Ivankovits, J. C., Bohling, D. A., Felker, B. S., and Lane, A. P., in ref. 8: 94-7, p. 272. 217. Beck, S. E., George, M. A., Young, K. M., Moniot, D. A., Bohling, D. A., Badowski, A. A., and Lane, A. P., in ref. 8: 95-20, p. 166. 218. George, M. A., Hess, D. W., Beck, S. E., Young, K., Bohling, D. A., Voloshin, G., and Lane, A. P., J. Electrochem. Soc., 143(10):3257 (1996). 219. Beck, S. E., Robertson, III, E. A., George, M. A., Bohling, D. A., Moniot, D. A., Waskiewicz, J. L., and Young, K. M., Electrochemical and Solid-State Letters, 1(5):235 (1998). 220. Beck, S. E., Robertson III, E. A., George, M. A., Moniot, D. A., Waskiewicz, J. L., Bohling, D. A., Young, K. M., and Badowski, A. A., in ref. 8: 97-35, p. 336. 221. Mattox, D. M., Precision Cleaning (PC), p. 11 (June 1996). 222. Singer, P., Semiconductor International, 19(8):83 (August, 1996). 1: Overview of Silicon Wafer Cleaning, Kern 87

223. Boitnott, C., Solid State Technology, 37(10):51 (October, 1994). 224. Walkup, R. E., Saenger, K. L., and Selwyn, G. S., J. Chem. Phys., 84:2668 (1986). 225. Fujimura, S., Suzuki, M. T., Shinagawa, K., and Nakamura, M., J. Vac. Sci. Technol., B12:2409 (1994). 226. Hirose, K., Shimada, H., Shimomura, S., Onodera, M., and Ohmi, T., J. Electrochem. Soc., 141(1):192 (1994). 227. Panda, S., Ranade, R., Mathad, G. S., J. Electrochem. Soc., 150(10):G612 (2003). 228. Cheng, C.-C., and Oncay, J., Semiconductor International, 18(7):185 (July, 1995). 229. Li, H., Baklanov, M., Boullart, W., Conard, T., Brijs, B., Maex, K., and Froyen, L., J. Electrochem. Soc., 146(10):3843 (1999). 230. Hsu, T., Anthony, B., Qian, R., Irby, J., Banerjee, S., Tash, A., Lin, S., Marcus, H., and Magee, C., J. Electronic Materials, 20(3):279 (1991). 231. Carter, R. J., Schneider, T. P., Montgomery, J. S., and Nemanich, R. J., J. Electrochem. Soc., 141(11):3136 (1994). 232. Narayanswami, N., J. Electrochem. Soc., 146(2):767 (1999). 233. Wu, J. J., Syverson, D., Wagener, T., and Weygand, J., Semiconductor Inter- national, 19(9):113 (August, 1996). 234. Butterbaugh, J. W., Loper, S., Thomes, G., and Sheu, D., in ref. 8: 99-36, p. 335; also in MICRO, 17(6):33 (June, 1999). 235. Butterbaugh, J. W., MICRO, 20(2):23, (February, 2002). See also: Kirkpatrick, B. K., Williams, E. C., Lavangkul, S., and Butterbaugh, J. W., in ref. 8: 2001-26, pp. 258. 236. Sherman, R., Precision Cleaning (PC) p. 290 (May, 1996). 237. Cline, C. M., Precision Cleaning (PC) p. 11 (October, 1996). 238. Hill, L., West, Y., Sherman, R., and Sloane, J., Precision Cleaning (PC), p. 26. (July/August, 1999). 239. Jackson, D., Carver, B., Precision Cleaning (PC), p. 17 (May, 1999). 240. Kosic, T., and Palser, J. L., Solid State Technology, 41(5):S7 (May, 1998). 241. Hakanson, A., Garg, N., Borden, M. R., and Chung, H. F., MICRO, 18(3):7 (March, 2000). 242. Case, C., and McClain, J., MICRO, 22(1):33 (January/February, 2004). 243. Moslehi, B., MICRO, 22(4):66 (May, 2004). 244. Darvin, C. H., and Lienhart, R. B., Precision Cleaning (PC), p. 28 (Febru- ary, 1998).

245. Multiple papers on scCO2 cleaning: Solid State Phenomena, Vol. 92 (2003). 246. Multiple papers on scCO2 cleaning: International SEMATECH Wafer Clean and Surface Prep Workshop (May 2003).

247. Multiple papers on scCO2 cleaning: in ref. 8: 2003-26, pp. 214–289 and in Proc. First International Surface Cleaning Workshop, Northeastern Univer- sity, Boston, MA. (November, 2002). 248. Koontz, D. E., Thomas, C. O., Craft, W. H., and Amron, I., Symposium on Cleaning of Electronic Device Components and Materials, ASTM STP, 246:136 (1959). 249. Feder, D. O., and Koontz, D. E., Symposium on Cleaning of Electronic De- vice Components and Materials, ASTM STP, 246:40 (1959). 88 Introduction and Overview

250. Wolsky, S. P., Rodriquez, P. M., and Waring, W., J. Electrochem. Soc., 103:606 (1956). 251. Sotnikov, V. S., and Belanovskii, A. S., Russian J. of Phys. Chem. 34:1001 (1960). 252. Larrabee, G. B., J. Electrochem Soc., 108:1130 (1961). 253. Kern, W., Semiconductor Products, (early name for Solid State Technology magazine), Part I:22 (October, 1963); Part II:22 (November, 1963). 254. Kern, W., RCA Engineer, 9(3):62 (1963). 255. Kern, W., RCA Review, 31:207 (1970); 31:234 (1970); 32:64 (1971). 256. Kern, W., Solid State Technology, vol. 15, Part I:34 (January, 1972); Part II:39 (February, 1972). 257. Kern, W., J. Electrochem. Soc., 109:700 (1962). 258. Henderson, R. C., J. Electrochem. Soc., 119:772 (1972). 259. Meek, R. L., Buck, T. M., and Gibbon, C. F., J. Electrochemical Soc., 120:1241 (1973). 260. Amick, J. A., Solid State Technology, 47(11):47 (1976). 261. Murarka, S. P., Levinstein, H. J., Marcus, R. B., and Wagner, R. S., J. Appl. Phys. 48:4001 (1979). 262. Gluck, R. M., ECS Extended Abstracts, 78-2:640 The Electrochemical Society, Pennington, NJ (1978). 263. Peters, D. A., and Deckert, C. A., J. Electrochemical Soc., 126:883 (1970). 264. Philips, B. F., Burkman, D. C., Schmidt, W. R., and Peterson, C. A., J. Vac. Sci. Technol., A-1(2):646 (1983). 265. Goodman, A. M., Goodman, L. A., and Gossenberger, H. F., RCA Review, 44(2):326 (1983). 266. Watanabe, M., Harazono, M., Hiratsuka, Y., and Edamura, T., ECS Extended Abstracts, 83-1:221, The Electrochemical Society, Pennington, NJ (1983). 267. Bansal, I. K., Microcontamination, 2(4):35 (1984). 268. Bansal, I. K., Solid State Technology, 29(7):75 (1986). 269. Ishizaka, A., and Shiraki, Y., J. Electrochem. Soc., 133(4):666 (1986). 270. Wong, C. Y., and Klepner, S. P., Appl. Phys. Lett., 48(18):1229 (1986). 271. Grundner, M., and Jacob, H., Appl. Phys., A-39:73 (1986). 272. Becker, D. S., Schmidt, W. R., Peterson, C. A., and Burkman, D., Microelectronics Processing: Inorganic Materials Characterization, (Casper, L. A. ed.), Ch. 23: 368–376, ACS Symp. Series No. 295, American Chemical Society (1986). 273. Kawado, S., Tanigaki, T., and Maruyama, T., Semiconductor Silicon 1986, Proc. Fifth International Symp. on Silicon Mater. Sci. Technol., (Huff, H. R. Abe, T., and Kolbesen, B. eds.), 86-4:989, The Electrochemical Society, Pennington, NJ (1986). 274. McGillivray, I. G., Robertson, J. M., and Walton, A. J., Semiconductor Sili- con 1986, Proc. Fifth International Symp, on Silicon Mater. Sci. Technol., (Huff, H. R. Abe, T., and Kolbesen, B. eds.), 86-4:999, The Electrochemical Society, Pennington, NJ (1986). 275. Lampert, I., ECS Extended Abstracts, 87-1:381 The Electrochemical Society, Pennington, NJ (1987). 276. Gould, G., and Irene, E. A., J. Electrochem. Soc., 174(4):1031 (1987). 277. Ruzyllo, J., J. Electrochem. Soc., 174(4):1869 (1987). 278. Probst, V., Bohm, H. J., Schaber, H., Oppolzer, H., and Weitzel, I., J. Electro- chem. Soc., 135(3):671 (1988). 1: Overview of Silicon Wafer Cleaning, Kern 89

279. Peterson, C. A., Particles on Surfaces 1: Detection, Adhesion, and Removal, (Mittal, K. L. ed.), pp. 37–42, Plenum, New York, NY (1988). 280. Morita, E., Yoshimi, T., and Shimanuki, Y., ECS Extended Abstracts, 89-1:352, The Electrochemical Society, Pennington, NJ (1989). 281. Yoshimi, T., Morita, E., and Shimanuki, Y., ECS Extended Abstracts, 89-1:354, The Electrochemical Society, Pennington, NJ (1989). 282. Gould, G., and Irene, E. A., J. Electrochem. Soc., 136(4):1108 (1989). 283. Bowling, R. A., J. Electrochem. Soc., 132(9):2208 (1985). 284. Menon, V. B., Michaels, D. L., Donovan, R. P., Hollar, L. A., and Ensor, D. S., Proc. Institute of Environmental Sciences, Ann. Mtg., King of Prussia, PA (May 2–6, 1988). 285. Vig, J. R., Treatise on Cleaning and Surface Technology, (Mittal, K. L. ed.), 1:1–26, Plenum Press, New York, NY (1987). 286. Kaneko, T., Suemitsu, M., and Miyamoto, N., Jpn. J. of Appl. Phys. 28(12):2425 (1989). 287. Claevelin, C. R., and Duranko, G. T., Semiconductor International, 10(12):94 (1987); and Novak, R. E., Solid State Technology, 31(3):39 (1988). 288. Clements, L. D., Busse, J. E., and Mehta, J., Semicond. Fabrication Technolo- gy and Metrology, (Gupta, D. C., ed.), ASTM STP 990, ASTM, Philadelphia, PA (1988). 289. Duranko, G., Syverson, D., Zazzera, L., Ruzyllo, J., and Frystak, D., Physics

and Chemistry of SiO2 and Si–SiO2 Interfaces (Deal B. E., and Helms, C. R. eds.), pp. 429–436, Plenum Publishing Corp., New York, NY (1988). 290. Fountain, G. G., Hattangandy, S. V., Rudder, R. A., Posthill, J. B., and Marku- nas, R. J., MRS Symp. Proc., 146:139 (1989). 291. Burrows, V. A., Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Christman, S. B., Appl. Phys. Lett., 53(11):998 (1988). 292. Hahn, P. O., Grundner, M., Schnegg, A., and Jacob, H., Appl. Surf. Sci., 39:436 (1989). 293. Zazzera, L. A., and Moulder, J. F., J. Electrochem. Soc., 136(2):484 (1989). 294. Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Burrows, V. A., J. Vac. Sci. Technol., A7(3):2104 (1989). 295. Van den Meerakker, J. E. A. M., and Van der Straaten, M. H. M., J. Electro- chem. Soc., (37):1239 (1990). 296. Tanaka, K., Sakurai, M., Kamizuma, S., and Shimanuki, Y., ECS Extended Abstracts, 90-1:689, The Electrochemical Society, Pennington, NJ. (1990). 297. Miyashita, M., Itano, M., Imaoka, T., Kawanabe, I., and Ohmi, T., ECS Extended Abstracts, 91-1:709, The Electrochemical Society, Pennington, NJ (1991). 298. Ohmi, T., Tsuga, T., and Takano, J., ECS Extended Abstracts, 92-1:388, The Electrochemical Society, Pennington, NJ (1992). 299. Ohmi, T., ECS Extended Abstracts, 91-1:276, The Electrochemical Society, Pennington, NJ (1991). 300. Heynes, M., Hasenack, C., De Keersinaecker, R., and Falster, R., Microelec- tronic Engineering 10:235 (1991), see also; Heyns, M. M., Microcontami- nation, 9(4):29 (1991). 301. Sakurai, M., Ryuta, J., Morita, E., Tanaka, K., Yoshimi, T., and Shimanuki, Y., ECS Extended Abstracts, 90-1:710, The Eletrochemical Society, Pennington, NJ (1990). 90 Introduction and Overview

302. Rubloff, G. W., SEMICON/Korea 90, Tech. Proc., Ch. II, pp. 3–11, SEMI, Mountain View, CA (Dec. 6–7, 1990). 303. Grundner, M., Gräf, D., Hahn, P. O., and Schnegg, A., Solid State Technology, 34(2):69 (1991). 304. Hirose, M., Yasaka, T., Kanda, K., Takakara, M., and Miyazaki, S., in ref. 8: 92-12, p. 1. 305. Chabal, Y. J., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth, and Processing, Symp. B, Paper 6.1, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992). 306. Kniffi n, M. L., Beerling, T. E., and Helms, C. R., J. Electrochem. Soc., 139:1195 (1992). 307. Poliak, R., Matthews, R., Gupa, P. K., Frost, M., and Triplett, B., Microelec- tronics, 10(6):45, 93 (1992). 308. Lowell, L., Solid State Technology, 34(4):149 (1991). 309. Menon, V. B., and Donovan, R. P., in ref. 8: 90-9, p. 167: also in Microcon- tamination, 8(11):29, 66 (1990). 310. Syverson, W. A., Fleming, M. J., and Schubring, P. J., in ref. 8: 90-9, p. 10. 311. Tong, J. K., Grant, D. C., and Peterson, C. A., in ref. 8: 92-12, p. 18. 312. Doshi, V., Hall, L., and Davison, J., J. Electrochem. Soc., 140(9):2648 (1993). 313. Davison, J., Solid State Technology, 35(3):S1 (March, 1992). 314. Davison, J., Solid State Technology, 35(7):S10 (July, 1992). 315. Helms, C. R., and Deal, B. E., in ref. 8: 92-12, pp. 267. 316. Deal, B. E., McNeilly, M., Kao, D. B., and deLarios, J. M., in ref. 8: 90-9, p. 121; also in Solid State Technology, 33(7):73 (1990). 317. Ohmi, T., Miki, N., Kikuyama, H., Kawanabe, I., and Miyashita, M., in ref. 8: 90-9:95. 318. Onishi, S., Matsuda, K., and Sakiyama, K., ECS Extended Abstracts, 91-1: 519, The Electrochemical Society, Pennington, NJ (1991). 319. Isoff, R., Semicond. International, 14(12):50 (1991). 320. Wong, M., Moslehi, M. M., and Reed, D. W., J. Electrochem. Soc., 138:1799 (1991). 321. Nobinger, G. L., Moskowitz, D. J., and Krusell, W. C., Microcontamination, 10(4):21, 68 (1992). 322. Deal, B. E., and Helms, C. R., Proc. on Chemical Surface Preparation, Pas- sivation, and Cleaning, Growth and Processing, Symp. B, Paper 6.2, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992). 323. Izumi, A., Matsuka, T., Takeuchi, T., and Yamano,A., in ref. 8: 92-12, p. 260. 324. Comfort, J. H., in ref. 8: 92-12, p. 428. 325. Reif, R., Proc. on Chemical Surface Preparation, Passivation, and Clean- ing, Growth and Processing, Symp. B, Paper 7.1, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992). 326. Lier, M., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth and Processing, Symp. B, Paper 1.1, Spring Mtg. of MRS, San Fran- cisco, CA (April 12–16, 1992). 327. Kalem, S., Lamb, H. H., Yasuda, T., Ma, Y., and Lucovsky, G., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth and Processing, Symp. Paper 2.2, Spring Mtg. of MRS San Francisco, CA (April 12–16, 1992). 1: Overview of Silicon Wafer Cleaning, Kern 91

328. Tasch, A., Banerjee, S., Hsu, T., Qian, R., Kinosky, D., Irby, J., Mahajan, A., and Thomas, S., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth, and Processing, Symp. B, Paper 1.4, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992); also in ref. 8: 92-12, p. 418. 329. Hattangandy, S. V., Rudder, R. A., Mantini, M. J., Fountain, G. G., Posthill, J. B., and Markunas, R. J., MRS Symp. Proc., 165:221 (1990). 330. Frystak, D., and Ruzyllo, J., in ref. 8: 92-12, p. 58. 331. Chang, E. Y., Proc. on Chemical Surface Preparation, Passivation and Cleaning, Growth and Processing, Symp. B, Paper 5–18, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992). 332. Gluck, R. M., in ref. 8:92-12, p. 48. 333. Ivankovits, J. C., Bohling, D. A., Lane, A., and Roberts, D. A., in ref. 8: 92- 12, p. 105. 334. Wong, Liu, D., Moslehi, M., and Reed, D., Electron Device Letters 12:425 (1991). 335. Ito, T., Sugino, R., Watanabe, S., Nara, Y., and Sato, Y., in ref. 8: 90-9, p. 114. 336. Ito, T., Sugino, R., Sato, Y., Okuno, M., Osawa, A., Aoyama, T., Yamazaki, T., and Arimoto, Y., Proc. on Chemical Surface Preparation, Passivation, and Cleaning, Growth and Processing, Symp. B, Paper 3.1, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992); and also in Semicon/Korea 91 Tech Proc., Seoul, Korea. Ch. III, pp. 44–52, SEMI, Mountain View, CA (Sept. 26–27, 1991). 337. Vig, J. R., in ref. 8: 90-9, p. 105. 338. Pearton, S. J., Ren, F., Abernathy, C. R., Hobson, W. S., and Luftman, H. S., Appl. Phys. Lett., 58(13):1416 (April 1, 1990). 339. Kopt, R. F., Kinsella, A. P., and Ebert, C. W., J. Vac. Sci. Technol., B9(1):132 (1991). 340. Bedge, S., and Lamb, H. H., Proc. on Chemical Surface Preparation, Pas- sivation, and Cleaning, Growth and Processing, Symp. B, Paper 3.2, Spring Mtg. of MRS, San Francisco, CA (April 12–16, 1992). 341. McDermott, W. T., Ockovic, R. C., Wu, J. J., and Miller, R. J., Microcon- tamination, 9(10):33, 94 (1991). 342. Bok, E., Kelch, D., and Schumacher, K. S., Solid State Technology, 35(6):117 (June, 1992). 343. Allen, S. D., Scientifi c American, 26(6):86 (1990). 344. Allen, S. D., “Laser Assisted Particle Removal,” Tech. Report, University of Iowa (1990); also Lee, S. J., Imen, K., and Allen, S. D., Paper presented at the American Vacuum Society National Symp., Seattle, WA (Nov. 11–15, 1991). 345. Sohn, H.-S., Butterbaugh, J. W., Olson, E. D., Diedrick, J., and Lee, N.-P., MICRO, 23(5):67 (June, 2005). 346. Toda, M., and Uryuu, S., in ref. 8: 2003-26, p. 1. 347. Hattori, T., in ref. 8: 97-35, p. 3. 348. Verhaverbeke, S., Beaudry, C., and Boelen, P., in ref. 8: 2003-26, p. 23. 349. Chiarello, R., Semiconductor International, 24(3):81 (March, 2001). 350. Lester, M. A., Semiconductor International, 24(10):48 (September, 2001). 351. Hall, R., Rosato, J., Lindquist, T., Jarris, T., Parry, T., and Walters. R., Semi- conductor International, 19(12): 151 (November, 1996). 92 Introduction and Overview

352. Peters, L., Semiconductor International, 21(2):71 (February, 1998). 353. Martyak, J., MICRO, 17(1):41, (January, 1999). 354. Donovan, R. P., and Morrison, D. J., Semiconductor International, 21(2):71 (February, 1998). 355. Mohindra, R., and Kern, W., Semiconductor International, 20(8):191 (July, 1997). 2 Overview of Wafer Contamination and Defectivity

Twan Bearda

IMEC, Ultra Clean Processing, Leuven, Belgium

Paul W. Mertens

IMEC, Ultra Clean Processing, Leuven, Belgium

Stephen P. Beaudoin

Purdue University, School of Chemical Engineering, West Lafayette, IN, USA

2.1 Wafer Contamination

This chapter provides an overview of the types of contamination and defects that are encountered during integrated circuit (IC) manufacturing and discusses their detrimental effects. Also discussed is how to balance the cleaning process to optimally remove the unwanted contamination and not contribute to undesired defectivity. The contamination encountered during the manufacturing process is present on the wafer, in the clean- room, or in the process chemicals and water. The criterion for a substance to be considered a contaminant is that it causes uncontrolled variations in the electrical performance of the device or in the device fabrication pro- cess. The contamination and defects may be observed visually or may only be detected with sophisticated analytical equipment during the inspection process or at the fi nal device test. According to the above defi nition, some (but not all) contaminants and defects have an impact on device performance. If the contamination causes a device to function improperly under predefi ned conditions, the contamination is said to have induced an electrical failure or defect on the integrated circuit (IC). Each failure detracts from the overall perfor- mance of the fi nished IC device, and a high frequency of failures can lead to a non-functioning IC device. It is important to note that defects may

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 93–164 © 2008 William Andrew Inc.

93 94 Introduction and Overview have other causes than contamination, such as poorly tuned process condi- tions or unexpected process variations. In this chapter, only defects that are related to contamination and defects that are unwillingly introduced by cleaning will be considered.

2. 1.1 Classifi cation of contamination and defects It is possible to distinguish between groups of contaminants and defe cts that have similar behavior. Such a classifi cation helps in organizing contamination control, but it should be noted that several classifi cation schemes exist and every scheme has its limitations. This chapter will follow the conventional classifi cation of contamination, which is largely based on detection methods. Also discussed in this chapter are several alternative classifi cations of defectivity.

2. 1.1.1 Classifi cation according to detection method Many analytical tools are available to detect the presence of contami- nation. Chapters 8 and 10 discuss the methods for detecting particles on the surface of the wafer and in chemicals and H2 O. The following types of contaminants are classifi ed in this chapter according to the analytical techniques discussed in these chapters: Metallic contamination: contamination consisting of (or containing) metal- lic species. Although the effect on devices may be dramatically different, usu- ally for in-line monitoring no distinction is made between the different forms in which the contamination can be present (i.e. as an oxide, a silicide, pure metal, etc.). Common methods for detecting metallic contamination are total refl ection X-ray fl uorescence (TXRF), atomic absorption spectrometry (AA), and inductively coupled plasma – mass spectrometry (ICP-MS). Particle contamination: contamination that is usually observed using light scattering tools. For this reason, a more correct name is “ light-point defects” (LPD). Recently it has become possible to distinguish between substrate defects that scatter light (crystal originated particles or pits, COP) and actual material deposits. In this chapter, the term “ particles ” refers to the latter type of contamination. Organic contamination: contamination containing a large amount of C or slight amount of C on the surface, plus the bonding structure associated with the C. One method for detecting surface contamination is thermal desorption– MS, other methods include X-ray photoelectron spectroscopy and Auger electron spectroscopy (AES). 2: Wafer Contamination and Defectivity, Bearda et al. 95

Figure 2.1-1 The effects of particle count and size on the equivalent metal concentration on a Si wafer surface. Used with permission from authors.

Surface defectivity: defectivity associated with roughness of the Si and fi lm surface or line edge roughness. Typically, atomic force microscopy (AFM) and associated techniques are used. Atmospheric molecular contamination (AMC) and moisture: contami- nation that has molecular dimensions and can therefore not be removed with normal HEPA (high-effi ciency particle air) or ULPA (ultra-low pen- etration air) fi lters. AMC is usually monitored with ion mass spectrometry and capillary electrophoresis. Some contaminants may fall in more than one category. For example, particles may consist of either organic or metallic material, or contain both, and thus arbitrarily be classifi ed as particulate, metallic or organic contamination. Figure 2.1-1 shows the effects of metallic compound par- ticles with a density of 5 g/cm 3 and a molecular mass of 100 amu (atomic mass unit) on the average metal concentration in atoms/cm2 on a 300-mm wafer. As can be seen, a high concentration of metals on the wafer sur- face an result either from large numbers of small particles or from small numbers of large particles. As a result, to minimize particle-derived metal contamination on wafers, both the size and number of contaminant particles must be minimized.

2. 1.1.2 Classifi cation according to material Recent years have seen a diversifi cation of materials that are used in the fabrication of IC devices. Initially, the materials were limited to Si and the dopants necessary to control the semiconducting properties, SiO2 - based insulation layers, Si3 N4 barriers, and sacrifi cial layers. For inter- connect metallization, Al or Al/Cu alloys were used. Metal silicides were 96 Introduction and Overview

introduced to lower contact resistance. Later, TiN barriers and W plugs were used followed by Cu metallization and low-k (low dielectric constant) dielectrics. Metallic oxides and metal gate electrodes replaced the memory cell and the transistor. While the use of these materials improves device performance, their presence in sensitive device regions can have a negative impact, as it takes only a very small number of metal atoms to dramatically change the electrical properties of device structures. Many metal atoms diffuse very rapidly through both Si and SiO2 layers, which highlights the importance of controlling metal contamination during device level pro- cessing and maintaining barrier integrity during interconnect processing. Therefore, rather than being based on the detection method, a classifi ca- tion system may be based on the contaminant composition. In general, the contamination may be similar or dissimilar to the substrate material. This has important consequences for the cleaning method that is to be used to remove the contamination. If the contamination consists of a different material than the substrate then it can, in principle, be removed by a material-selective cleaning method. Such methods may involve selective dissolution of the contaminant, selec- tive dissolution of the substrate surrounding the contaminant, chelation of the contaminant, solution modifi cation to create repulsion between the con- taminant and substrate, or chemical reaction to convert the contaminant to a soluble or volatile product. This “ chemical cleaning ” relies on the chemical properties of the substrate, the contaminant, and the cleaning agent. In prac- tice, the material selectivity is fi nite, and side effects can occur that may in some instances be harmful. If the side effects become unacceptable, another cleaning agent must be found, or the chemical cleaning process must be enhanced or replaced by a physical cleaning force. As the wafer surface of the device becomes more complex, for example, when the surface is pat- terned and multiple materials are exposed or when gate-level features are exposed, the range of acceptable chemical cleaning options is limited. If the contamination consists of the same material as the substrate, the use of chemical cleaning agents is restricted, as it will not be possible to dis- solve contaminants without dissolving substrate material. At the same time, depending on the state of the wafer surface and the type of contamination, it is possible that there are no acceptable chemical cleaning methods. Physical cleaning methods, which are cleaning methods that employ physical forces for removal of contamination, will have to be applied. Many current clean- ing methods rely on a combination of chemical and physical methods. An example of such a combined method is undercut cleaning, in which dilute HF is used to mildly etch the wafer surface surrounding an adherent contam- inant particle, ultimately leading to particle removal; Chapter 4 discusses 2: Wafer Contamination and Defectivity, Bearda et al. 97 this method. During such cleaning processes, the isotropic chemical reac- tion on the wafer changes the particle-to-wafer contact area. As the contact area is reduced, repulsive electrostatic interactions between the particle and wafer become more important, until fi nally a condition is reached at which the electrostatic repulsion, a physical force, is strong enough to eject the particle from the surface [1 –3 ]. Purely mechanical cleaning methods, which often rely on the transfer of momentum from a cleaning medium such as an aqueous solution, a brush, or impinging particles of frozen gas, are not selective. The force they exert on the contaminant is also exerted on the wafer surface. In some cases, this can lead to damage of sensitive device structures. Many methods of cleaning rely both on physical and chemical methods, such as the removal of par- ticulate contamination with dilute HF, which uses electrostatic repulsion between the particle and the substrate and the undercutting of the SiO2 to lift off the particle.

2. 1.1.3 Classifi cation according to force of adhesion The force of interaction between contamination and a substrate deter- mines if, and how strongly, the contamination will adhere. The forces that play a role in this interaction can be used in a classifi cation scheme. The interaction forces may be physical in nature, such as hydrophobic, van der Waals and electrostatic forces. The interaction forces also may be chemi- cal in nature, such as chemical bonding of contaminants on the substrate, or forces arising from the degree of hydration. Among these alternatives, chemical bonding interactions are the strongest. Contamination that is bound to a wafer through chemical bonds generally cannot be removed using physical methods unless the surface layer of the wafer is removed. Contamination that is bound to the wafer surface through hydrophobic, van der Waals, or electrostatic effects generally can be removed by both physical and chemical methods. Chapter 3 discusses the physics of adhesion.

2. 1.1.4 Classifi cation according to size Contamination on wafer surfaces may have characteristic dimensions that span several orders of magnitude with respect to the size of the particle or concentration of the contaminant. Molecular contamination is unwanted atoms or molecules on the wafer. These contaminants may be physically adsorbed to the wafer surface or may be chemically bound. For example, organic molecules from the cleanroom atmosphere may adsorb onto the 98 Introduction and Overview wafer surface, while thin layers of oxidized Cu may result from the etch- ing and post-etch cleaning of vias. The sizes of particulate contaminants may range from nm to µ m. Particles typically are not chemically bonded to wafer surfaces, but rather are held to the surface by a combination of hydrophobic, van der Waals, and electrostatic forces. Process-derived debris on the wafer surface, such as residues from etching or resist strip processes, may have characteristic sizes ranging from nm to µ m, as well. Most of the material debris is not chemically bonded either to the wafer or to itself, although the components of the debris in direct contact with the wafer surface may undergo bonding interactions. The ease of removal is based on a particles size; the smaller the particle, the harder it is to remove. Size relationship to yield is discussed in Section 2.1.2 .

2.1.1.5 Classifi cation according to yield and reliability Yield is defi ned as the fraction of devices that are functional at the end of the fabrication process. The defects causing device failure at this stage are often referred to as “ killer defects. ” However, devices can also fail dur- ing their operational life due to “ latent defects. ” Reliability is the ability of a device to perform its intended function for a certain period of time. Yield and reliability are often assumed to be correlated, the latent defect density being a small fraction of the killer defect density [4 , 5 ]. As in any manufacturing business the profi tability of IC devices is directly related to the cost of processing and to the number of devices that can be produced. At the same time, the performance of the chips is linked to the selling price. Device failures, which degrade performance, may lead to chip failures and must be minimized. Such defects can often be traced to uncontrolled or unwanted fl uctuations in the fabrication process [6 , 7 ]. Contamination may be a cause of device failure. Cleaning of contamination from wafer surfaces, detection of contamination on wafer surfaces or in process systems, and determination of the cause of contamination and the method of transport to the wafer surface are crucial to the optimization of IC fabrication schemes. Not every contaminant causes a defect that infl uences device perfor- mance [8 ]. This depends strongly on the contaminant properties such as its composition and location within the device, the design parameters such as the feature linewidths and pattern density in the vicinity of the con- taminant, the process and operating parameters such as the ambient tem- perature and pressure, the thermal budget following the introduction of the contaminant, and the process chemicals to be applied following the 2: Wafer Contamination and Defectivity, Bearda et al. 99 introduction of the contaminant. Even if the optimum device performance is affected, the decision regarding failure depends on the device perfor- mance specifi cations [9–11 ]. The determination of “ critical contamination levels ” is therefore not straightforward.

2. 1.2 Yield models, reliability, and relationship to defectivity Models are used within the IC manufacturing community to relate defectivity to the device yield. Yield is defi ned as the fraction of devices that are functional at the end of the fabrication process. As stated in Section 2.1.1.5, not all particles and other contaminants lead to a decrease in yield and not all decreases in yield can be attributed to particles and contaminants; nevertheless, any contamination can be referred to as a defect. The defects causing device failure are often referred to as killer defects; these defects are detected during the fi nal test process for the IC device. Latent defects refer to defects that cause the devices to fail during their operational life time, after the device has been tested, assembled, and packaged for consumer use. Hence, ‘reliability’ is the ability of a device to perform its intended function for a certain period of time. Since latent defect density and killer defect density are related it follows that their spatial distribution should also be correlated. That is, if killer defects are clustered, then latent defects are also expected to be clustered [12 ]. During device operation, the latent defects may grow to critical defect sizes by Arrhenius growth, i.e. the growth rate depends on factors such as temperature, voltage, etc. [13 , 16 ]. The usefulness of such a defect growth model is questionable, because the reported activation energies show a sig- nifi cant spread. Moreover, the dominant failure mechanism under typical test conditions (high temperature, high voltage, and high moisture) may be different from the failure mechanism under operating conditions. Apart from the time dependence, reliability defects can be treated in a similar manner as killer defects. Fundamental to most yield and reliability modeling is the assump- tion that the defects are small compared to the device area. Large defects such as scratches are typically not considered, possibly because they are relatively rare and usually not random. Note that in the following equa- tions where the impact of one defect type is considered, there is a region where material is added or material is missing. To calculate the impact of several defect types, the yields for each individual defect type should be multiplied. 100 Introduction and Overview

2.1.2.1 Statistical yield models Poisson distribution : This model defi nes yield as shown in Eq. (2.1-1) :

yield = e – A D0 Eq. (2.1-1)

where A is the area of the device and D0 is the defect density. The model assumes that defects occur randomly and independently from each other on the wafer surface. In practice this is not always true and some sort of defect clustering occurs. If defects are clustered, then multiple defects, each of which is adequate to produce chip failure, may be located on the same chip. That is, for a given number of defects the actual yield value is normally higher than what is predicted by the Poisson model. To account for defect clustering, a weighting function f( D ) can be used [17, 19]:

∞ yield =∫ efDD–AD0 () d Eq. (2.1-2) 0

For a discussion on different forms of f ( D ) refer to references [10 , 19 ]. Negative Binomial yield model: An alternative to the Poisson model is the negative binomial yield model, as shown in Eq. (2.1-3) :

− AD a =+ 0 Eq. (2.1-3) yield 1 a

The cluster factor a describes the clustering behavior of the defects, i.e. the probability of a defect occurring at a site (any specifi c location within the chip architecture) increases with the number of defects already present at that site. When a → ∞, the Poisson model is obtained. When a < ∞ and for the same average defect density, the model gives higher yield values than the Poisson model. Often a = 2 is chosen [10 , 20 ]. Weibull distribution: The Weibull distribution is widely used to describe the lifetime distributions of systems that fail due to the “ weakest link.” The cumulative probability function F ( t ) is expressed as:

b t −γ Ft( )=−1exp − Eq. (2.1-4) h

2: Wafer Contamination and Defectivity, Bearda et al. 101 where g is a location parameter, h is a scale parameter, b is a shape param- eter, and t is the time. Depending on the value of b , a variety of behaviors can be described: • b <1: the failure rate decreases in time; • b = 1: the failure rate is constant in time and the distribution is equal to the exponential distribution; • b >1: the failure rate increases in time. In the absence of defects, the intrinsic device reliability dominates, z ( t ), and the Weibull plot:

z(t) = ln[1–ln F(t)] Eq. (2.1-5)

is a function of ln( t ) and shows a straight line with slope bi . If defects are present, both intrinsic and extrinsic device failures occur, and the Weibull distribution shows two straight lines for intrinsic and extrinsic failures with slopes bi and be , respectively. Using Eq. (2.1-1) , and considering that:

yield = 1 – F Eq. (2.1-6) it is shown that:

ln[]−−− ln(1FAD ) ln = ln Eq. (2.1-7)

where A is the device area and D is the defect density. This means that the Weibull distribution scales with device area, and that reliability predictions for small devices can be made on the basis of reliability measurements on large devices.

2.1.2.2 Defect size distribution It is generally assumed that defects larger than a certain critical size cause device failures. Usually, this critical defect size is calculated as a fraction of the minimum feature size [20 ]. As the minimum feature size shrinks, the critical defect size decreases as well. Unfortunately, small defects are more frequently observed than large defects, which means that the defect den- sity D 0 increases as feature scales decrease. Chips with small features are thus more vulnerable than chips with large features simply because there are more defects. It should be noted that with defect size, the defi nition is the “ effective ” electrical defect size, which should not be confused with the 102 Introduction and Overview physical particle size, as defects are not always particles. There are studies that have correlated the physical and electrical particle sizes [19 , 21 ]. To account for the defect size dependence, the defect density D ( d ) is defi ned as a function of defect size d . Often a power law is used of the form:

d p Dd()= D 0 0  Eq. (2.1-8) d

where D 0 is the defect density at a defect size d > d 0 . This function breaks down near d = 0 and an alternative distribution function must be found. However, little is known about the impact and the distribution of such small defects, and the power law continues to be used down to the critical defect size [11]. If d0 is chosen equal to the critical defect size, then D 0 represents the total density of critical defects. For the power parameter p, typically a value of about 2 is used [22–24], which corresponds to the aerosol size dis- tribution in air. However, values as large as p = 4 have also been used [25], and it should be noted that the defect size distribution on the wafer is not necessarily the same as the aerosol size distribution in air [26]. Shrinking feature sizes by a factor g allows a reduction of the chip area by g 2 . This has two consequences. First, the probability of failure is lower than if the chip area were constant. This partly compensates for the yield loss caused by the increase in defect density [25]. For example, assuming that p = 2 and that the critical defect size is proportional to the minimum feature size, the yield will remain constant. Second, the number of chips per wafer is increased. Even if the yield decreases by shrinking feature sizes, the total number of functioning chips per wafer may still be a greater number than without shrink [27 ].

2. 1.2.3 Effective area Some areas of a chip are more sensitive to defects than other areas. For this reason, an effective chip area A eff ( d ) can be defi ned that represents the area of the chip that is sensitive to defects of size d . For defects smaller than the criti- cal defect size A eff is assumed to be zero. For larger defects A eff increases to a maximum that equals the chip area. For chips containing many parallel lines an analytical expression for A eff ( d) can be used [28]. Such equations are espe- cially useful for chips containing a regular array of features, such as memory chips. Other chip types, such as microprocessors, exhibit a larger variation in feature sizes, and calculation of the effective area is more complicated. How- ever, the larger features are relatively insensitive to defects. For more accurate 2: Wafer Contamination and Defectivity, Bearda et al. 103

estimates for A eff , line lengths and chip layout must be taken into account [29 , 30]. Using the effective area Aeff ( d) and the defect density D ( d) in the yield models described above, the defect density equation is

∞ ∂Dd( ) = ( ) AD0eff∫ A dd d Eq. (2.1-9) 0 ∂d where A is now an average effective value [25 ].

2. 1.3 Mechanisms of contamination The process of wafer contamination may be described in terms of the mass and momentum transport processes that control the contami- nant motion. According to the principle of mass conservation, the rate of i C change of the concentration of contaminant→ in solution i is described by the gradient of the contaminant fl u x J i and the net rate of generation/ consumption, Si :

+ − − SiOH2  SiO s = qN 00+ ++[]− Eq. (2.1-10) SiOH2 SiOH SiO

→ The contaminant fl ux Ji in any direction results from diffusion, convec- tion, and the effects of any electric fi eld on a charged species and may be described based on the Nernst – Planck equation:

  F JCuDCzC=−∇+ ∇f Eq. (2.1-11) ii i iiiRT

where Di is the diffusion coeffi cient of i in solution, zi is the valence of species i, F is Faraday’s constant, R is the ideal gas constant, f is the local → electrostatic potential, and u is the velocity vector of the solution [31 ]. When the fl ux to a wafer surface is desired, the vector components lead- ing to transport to the wafer surface must be considered. The fi rst term on the right-hand side of Eq. (2.1-11) describes the contaminant transport due to the convective fl ow of the solution. The second term describes the diffusion of i due to spatial variations in the concentration of i in solution, and the third term describes the motion of the contaminant in the electric 104 Introduction and Overview

fi eld. At the interface with a substrate, at x = x0 , deposition and removal of contamination is in balance with the net fl ux:

JxtkCxtkt(),,=− () s ( ) Eq. (2.1-12) iis00,+−i where k + and k– are the characteristic rates for deposition and removal, and ss,i is the concentration of contaminant i on the wafer surface. Note that in Eq.

(2.1-12), s s ,i has units of mole i per unit volume of solution, ss ,i has units of moles i per unit area of wafer surface, k + has units of length per time, and k – has units of 1/time. Depending on the values for k + , k – and the net fl ux Ji , the depo- sition/removal process can be either reaction rate limited or transport limited. In the case of Eqs. (2.1-10)– (2.1-12), the term “ reaction ” refers to the partitioning of i onto the wafer from solution, whether by physical adsorp- tion or by chemical reaction, and to the partitioning of i off the wafer surface and into solution. If the contamination process is reaction rate lim- ited, then the rate of transport of contamination to the wafer surface is fast compared to the rate of the partitioning of contamination onto the wafer. In such cases, the interactions between the contamination and wafer are of paramount importance, including either chemical bonding interactions or chemical adsorption interactions. In certain cases, equilibrium between deposition and removal may be established, such that there is no net fl ux of contamination to the wafer surface, and the level of contamination on the wafer no longer change with time. If the contamination process is transport limited, then the rate of par- titioning of contamination onto the wafer is fast compared to the rate at which the contamination is transported to the wafer surface. In such cases, the rate of contamination→ is dictated by the physical properties of the system, such as D i , U i , u , and C i. In the special case where diffusion is the main transport mechanism, the diffusion length Ldiff describes the distance that contaminants can travel through the medium in time Δ t :

LDt=∆2 diff,i i Eq. (2.1-13)

For a given change in Cs , Eqs. (2.1-10)– (2.1-12) indicate that there will be a corresponding change in C i . The ratio of Δ C s to Δ C i defi nes a hypotheti- cal equivalent layer thickness based on the contamination deposited on or removed from the surface:

∆C L = − s, i Eq. (2.1-14) ex, i ∆C i 2: Wafer Contamination and Defectivity, Bearda et al. 105

Equations (2.1-13) and (2.1-14) are especially useful for describing con- tamination mechanisms. If L ex,i < L diff,i , then the exchange is reaction rate ≈ limited. If L ex,i L diff,i, then the exchange is diffusion limited. If L ex,i > L diff,i , then other transport mechanisms such as convection control the contami- nation process.

2. 1.3.1 Contamination transport through air Cleanrooms are designed to ensure a continuous airfl ow that removes air- borne contamination from sensitive areas such as the environment around the production equipment. The airfl ow in a cleanroom is often referred to as lami- nar, but it is actually turbulent. A more precise description is unidirectional airfl ow. In the cleanroom it is important to avoid vortices or dead zones where the air is not refreshed (for example, in corners, under tables, etc.) and where contaminants can accumulate. Perforated fl oor tiles, variable airfl ow veloci- ties, and curtains can sometimes help to optimize the airfl ow. Placement of equipment can also have an impact, for example due to heat generation [32 ]. For this reason, modeling is an important aspect of cleanroom design.

2. 1.3.2 Contamination transport through liquids Wafers come into contact with liquids during chemical mechanical pol- ishing (CMP), cleaning, drying, and etching steps. In a CMP environment, contaminant transport to the wafer surface results from the slurry convec- tion, contaminant diffusion and electrophoresis, and the applied force of the polishing pad against the wafer. In a liquid environment, contaminants are generated in situ when particles adhering to the wafer surface are rede- posited during the wet processing step. Contaminants at the wafer surface can dissolve and redeposit, resulting in contaminant redistribution and cross-contamination of wafers and process equipment. Over time, con- tamination accumulates in the bath, thus aggravating this problem [33 ]. In a static immersion tank the transport of contaminants to the wafer surface is generally believed to occur by diffusion. If a laminar fl ow is present within the tank, contaminants are removed more effi ciently, as the entire bath volume is smoothly swept by the fl uid fl ow. If turbulence is present, the non-uniform velocity fi eld may result in the creation of “ dead spots” within the bath which are not routinely drained by the fl ow and which may become reservoirs for contaminants. Due to the viscosity of H 2 O, a boundary layer of several mm exists near the wafer surface. In this region, the fl uid velocity parallel to the wafer surface is assumed to vary linearly from a value of zero (relative to the 106 Introduction and Overview velocity of the wafer) at the wafer surface to a value equal to the bulk fl uid velocity at the outer edge of the boundary layer. The fl uid velocity normal to the wafer surface within this layer is assumed to be zero. In such cases, the contaminant transport to the wafer surface is assumed to occur via diffusion, and it is usually assumed that the wafer contamination process is diffusion- limited. As with static tanks, this could imply dissolution and redeposition of contamination [34 ]. The diffusivity may be enhanced if additional energy is applied in the form of heating or megasonics. Megasonics also introduces additional convection and decreases the boundary layer thickness [35 ], result- ing in more effi cient removal of contamination. Wet cleaning methods that reduce the boundary layer are discussed in Chapter 4.

2. 1.3.3 Basic aspects of wafer surfaces During front-end-of-line processing, typically two types of surfaces exist on a Si wafer: H-terminated Si and oxidized Si. The Pourbaix diagram of Si ( Figure 2.1-2 ) shows that in aqueous solutions Si forms stable, passivating

Figure 2.1-2 Potential–pH equilibrium diagram for the system Si–H2O at 25°C and 1 bar, showing the regions of stability for Si and SiO2 and the predominance areas of ions in aqueous solution [36]. Copyright National Association of Corrosion Engineers (NACE) international 1974. Used with permission. 2: Wafer Contamination and Defectivity, Bearda et al. 107

OH OH OH OH Si Si Si Si O O OO OO O Si Si Si Si Si Si Si Si (a)

H H HH HH H Si Si Si Si Si Si Si Si (b)

Figure 2.1-3 Schematic representation of a hydroxylated/hydrophilic surface (left) and a hydrogenated/hydrophobic surface (right). Used with permission from the authors. oxide fi lms. Immersion of Si in oxidizing solutions accelerates this process. The oxide thickness can vary between 0.6 and 1 nm depending upon the solution. Because the oxidized surfaces become hydroxylated during expo- sure to air, they generally have a low contact angle. They are also easily contaminated with metallic contamination. Only at very high pH, the SiO2 will dissolve by the forming soluble silicates. Hydrogenated Si surfaces as shown in Figure 2.1-3 can be obtained by exposure to non-oxidizing ambients such as HF, caustic solutions with low oxidation potential (e.g. Si polishing), or H2 ambient during high temperature anneals. An advantage of H-passivated surfaces is that low non-noble metallic contamination levels are easily obtained. However, noble metallic contamination is facilitated through charge transfer reac- tions at the interface, and due to their hydrophobic nature these surfaces are very sensitive to particle contamination. In addition it is diffi cult to prevent the formation of water droplets and water marks during dry- ing of mixed hydrophobic/hydrophilic surfaces. Hydrogenated surfaces are unstable with respect to oxidation. The oxidation by O 2 is typically catalyzed by H2 O. Oxidized Si is usually hydroxylated and the surface is terminated by silanol groups (Si– OH). These polar silanol groups will form H-bonds with neighboring H2 O molecules (hydration). In aqueous solutions, silanol groups can also act as a weak base or acid [37 , 38 ] according to:

≡+↔≡+ + =− SiOH H SiOH2 pK+ 1.9 ≡↔≡+−+ =− Eq. (2.1-15) SiOH SiO H pK− 7 108 Introduction and Overview

Due to this protonation/deprotonation behavior the silicon surface will bear a negative or positive surface charge s 0 [39 ]:

+ − − SiOH2  SiO s = qN 00+ ++[]− Eq. (2.1-16) SiOH2 SiOH SiO

with q the elementary charge and N0 the surface density of adsorption sites. The Point of Zero Charge (PZC) is defi ned as the pH of the solution where + – the surface bears no net charge, i.e. for Si [SiOH2 ] = [SiO ]:

− = ppKK+− pHPZC Eq. (2.1-17) 2

For Si, pHPHZ = 2.5. Ions in the solution can dehydrate and specifi cally adsorb to the charged surface sites, forming a sheet of charge, which is called the Inner Helm- holtz Plane (IHP). Alternative names are Stern layer, Helmholtz layer and compact layer. The charges are balanced by a charge redistribution in the semiconductor and in the liquid. In the liquid, this diffuse layer starts at the Outer Helmholtz Plane (OHP), which is typically one monolayer of

H 2 O from the IHP because the ions are hydrated. Beyond the OHP, the concentration of ions varies exponentially with electrostatic potential j until both reach their equilibrium in the bulk of the solution. For example, the concentration of H+ ions can be written as:

++ qj HH=−exp Eq. (2.1-18) bulk  kT

Using the Poisson equation, and assuming that only monovalent ions are present, the integrated charge in the diffuse layer per unit area is:

2e kT qj kT q s ==sinhd with L dDLq2 kT qCe D Eq. (2.1-19)

with j d the potential at the OHP and C the concentration of ions in solu- tion. It should be noted that the dielectric constant e close to the surface deviates from the dielectric constant of bulk H2 O due to the polarization 2: Wafer Contamination and Defectivity, Bearda et al. 109

of H 2 O molecules. The constant LD is the Debye – Huckel length, and is a measure for the range over which electrostatic interaction occurs. A very similar analysis can be done for the charge redistribution in the semicon- ductor, resulting in a Debye length:

2kT q L = Eq. (2.1-20) D qn( + p) e

The expression for the charge s s in the semiconductor depends on its sign and magnitude, and will not be given here. However, it is clear that the interaction of the Si surface with contaminants depends not only on pH of the solution and its ionic strength, but also on the substrate doping type and concentration. The number of adsorbtion sites for Si substrates is reported to vary in the range 1012 – 10 13 cm –2 but this also depends strongly on prep- aration method [38, 40]. The interactions described here are not restricted to Si, but also occur at other surfaces such as silica, Si3 N 4 , Al2 O 3, etc.

2. 2 Behavior and Impact of Contamination

2. 2.1 Particle contamination 2. 2.1.1 Origins of particle contamination Particles are always present in the atmosphere. Outside cleanrooms, particulate contamination may be visible as smog, fog or dust, having varying origins, such as emissions from human activities, desert sand, moisture, and volcanic ash. The particle size may vary over a wide range, from less than 10 nm to over 100 µ m. Moreover, large particles may break up into smaller particles, while small particles may agglomerate to form a larger particle. The following categories of particles are usually distinguished: Nucleation mode particles with diameters less than 100 nm are mainly formed by condensation of hot gases from combustion sources (e.g. diesel motors), sulfate, ammonia and volatile organic compounds (VOC). They can reach high concentrations, especially in urban areas. Accumulation mode particles are approximately 0.1 – 1 µ m in diameter. These particles represent the largest fraction of the total surface area in an aerosol. Nucleation mode particles and gaseous species can adsorb on this area, causing the particle to grow. 110 Introduction and Overview

“ Coarse mode ” particles are larger than 1 µ m in diameter, and originate from mechanical processes (wear), soil and dust. Examples of wear-generated particles in a cleanroom are ball bearings, wafers sliding in carriers, and wafer clamping mechanisms, among others [41]. While accumulation mode particles may grow to this size, this is not likely to occur in a cleanroom environment. In aerosol science, experimental particle size distributions often closely follow a lognormal size distribution. However, it has also been observed that in many cases a power law with parameter a can approximate particle size distributions:

Cda ==( ) ( ) 00 dNfdd d with fd  ad0 d − Eq. (2.2-21) d a 1 or CC= 0 0  d where C is the concentration of particles with a diameter larger than d . It is observed that usually a ≈ 3. Assuming that the particles are spherical, this observation implies that the total particle volume for each incremental change in diameter remains constant:

= 1 3 ddVdNp Eq. (2.2-22) 6

Figure 2.2-4 . shows an application of this in the monitoring of air quality. The different categories of particles can be clearly discerned. The power law size distribution is adopted by standards describing clean- room class specifi cations. The current standard ISO 14644-1 (International

Figure 2.2-4 Example of particle volume density as a function of particle diameter [42]. Used with permission from aeronet.gsfc.nasa.gov and MUMM/ RBINS. 2: Wafer Contamination and Defectivity, Bearda et al. 111

Standards Organization) assumes the following particle size distribution function [23 ]:

0.1 2.08 C = 10m m  Eq. (2.2-23) d

3 C m is the number of particles per m with diameter d in µ m. The refer- ence diameter is 0.1 µ m. The factor m denotes the cleanroom classifi cation number, such as class 10 and is determined from Eq. (2.2-23). The ISO standard replaces the Federal Standard 209 [24 ], the terminology of which is still more widespread:

0.5 2.2 Cn= 35.31 n  Eq. (2.2-24) d where n is the allowed number of particles per ft 3 at 0.5 µ m particle diameter. The factor 35.31 converts the US standard to SI units (particles per m3 ). A comparison of the ISO standard and the Federal Standard 209 is shown in Figure 2.2-5, along with actual data obtained from a class 1000 cleanroom. For particles smaller than 300 nm, the particle count does not obey the power law, Eq. (2.2-23) .

Figure 2.2-5 Comparison of different cleanroom classes. Also shown are actual monitoring data from a class 1000 cleanroom. Used with permission from the authors. 112 Introduction and Overview

Typically, two types of fi lters are used in the cleanroom. HEPA fi lters are rated to 99.99% effi ciency for particles 300 nm and larger in diam- eter and ULPA fi lters rated to 99.999% effi ciency for particles 120 nm in diameter. HEPA fi lters have minimum effi ciency at 300– 400 nm, smaller particles are more easily removed because they exhibit signifi cant Brown- ian motion and are more easily captured by the fi lter.

2. 2.1.2 Effects of particulate contamination The detrimental impact of particles is one of the reasons microelectronic devices are fabricated in the controlled environment of a cleanroom. Par- ticle count is one of the most important criteria for process control. At the same time, there is surprisingly little experimental evidence for the detri- mental impact of particles. In the following, the effects of particles present on the front and back surfaces of wafers are discussed.

Front surface particles The most prominent area of concern related to patterning is the pres- ence of front surface particles; these particles distort the pattern on the wafer. The critical particle diameter is the diameter above which a par- ticle becomes a “ killer defect,” i.e. affects the functionality of the device. Usually the critical particle diameter is determined as ½ the minimum feature size [20], but there is little experimental evidence to support this. Intuitively, for technology that is at its very limits for the defi nition of small patterns, the ½ feature size criterion should be more than suffi cient. However, theoretical treatments of 248-nm lithography indicate that a ¼ feature size criterion might be more appropriate [43 , 44 ]. The possible detrimental effects of front surface particles are not restricted to distortion of the lithographic pattern. Local masking could occur during (anisotropic) etching or ion implantation. Many defect impact studies have focused on back end of line processing [4, 21, 26], because of the relatively high pattern densities and because of the availability of simple test devices such as meanders and forks. As a result, opens and shorts are reported as the most dominant defects. The particle diameter is often comparable to, or much larger than, the layer thickness that is used for processing. For this reason it is anticipated that particles have an effect on the properties of device layers [45]. The impact may be a function of particle material and size, and layer thickness, composition and deposition method. 2: Wafer Contamination and Defectivity, Bearda et al. 113

Back surface particles The impact of back surface particle contamination is diffi cult to deter- mine when processing single side polished wafers. The main reason is that the roughness of the wafer back surface makes optical detection dif- fi cult except for very large particles. Moreover, it is generally believed that small particles will hide in the surface roughness of the back surface and therefore have limited effect. The use of double side polished wafers has signifi cantly improved the detection limits for back surface particles, and simultaneously has increased the concern. Additionally, if particles that originate on the substrate back surface are transferred to a chuck or electrode in a processing tool, they may cause systematic yield loss for many wafers processed after the contaminated wafer. However, systematic studies on the effects of back surface particle contamination are sparse, one such is Bearda et al. [46 ]. Back surface particles are reported to be a source for front surface par- ticle contamination by “ fall-on ” from the back surface of one wafer that is adjacent to the front surface of another wafer [47, 48]. However, when comparing the gravitational force and the van der Waals adhesion force, as discussed in Chapter 3, it is readily seen that the “ fall-on ” mechanism is only likely for particles larger than ∼100 µ m. Another mechanism for yield loss could be a degraded contact from electrostatic chucks [47 ]. An often-quoted effect of back surface particles is the formation of “ hot spots” during lithographic pattern defi nition [47–50]. The vacuum that holds the wafer on the chuck causes a local deformation of chuck, particle and wafer due to the presence of the particle. The local forces are so large, that a plastic deformation of the particle, chuck, or substrate occurs [51]. Only with particles larger than 10 µ m, the deformation can be great enough to cause focusing problems during lithographic expo- sure. Figure 2.2-6 shows the deformation of particles. During CMP, sim- ilar effects may be anticipated. It should be noted that “ hot-spots ” can be several mm in diameter, and can therefore no longer be considered as point defects, as there is a fi nite probability of one defect affecting several devices. The impact of back surface particles is often not determined by the particle morphology or size, but by the particle composition. Often the particles contain metallic species that can diffuse into the wafer and affect the electrical performance of the devices. Tools employing back surface handling can acquire contaminants and pass them to other wafers then in their turn contaminate other tools and wafers. The feasibility of this mechanism is illustrated by common practice: cycling a number of 114 Introduction and Overview

103 ∆q = –105 Pa = 2R

max ( µ m) max upper limit w

100 ITRS spec 2004

σ 2009 y, part max wafer deflection 10 max wafer 1 GPa 0.1 Gpa ITRS model 0.01 GPa 10–3 10–3 100 103 particle radius R (µm)

Figure 2.2-6 Maximum wafer defl ection as a function of particle radius, assuming plastic particle deformation. Different values for the particle yield σ strength y,part are shown [46]. Reprinted with permission from Japanese of Applied Physics, Bearda, T., Mertens, P. W., Holsteyns, F., De Bisschop, P., Compen, R., van Meer, A., and Heyns, M. M. Vol. 44 Page 7409 (2005).

dummy wafers through the handling system can reduce handling induced contamination. Back surface contamination occurs not only in the form of particles, metallic and organic contaminants are also detrimental. One source of back surface contamination is through wafer handling. Copper, in particular must be removed from the wafer back surface and edge bevel to avoid dedicated toolsets.

Particles on lithographic media Particulate contamination present on mask surfaces can cause defects to print onto the wafer patterned with the contaminated masks, especially if a mask without a pellicle is used. As the wavelength of light used to pattern devices shrinks, defects on the masks that are nm-scale will print defec- tive devices, and adherent particle contaminants will act as defects in this case [20 ]. 2: Wafer Contamination and Defectivity, Bearda et al. 115

2. 2.2 Metallic contamination Wafers may become contaminated with metals as particles or in molecu- lar or atomic form. Both types of contamination usually occur in a liquid medium, such as a cleaning or etching mixture. As discussed in Chapter 9, the properties of the substrate, for example a Si surface with a native oxide, are important. Also important are the composition of the aqueous solution the chemical processes occurring within the solution and on the substrate surface and the composition of the metallic contamination in the aqueous solution.

2.2.2.1 Metallic contamination from aqueous media Pourbaix diagrams provide information about the stable speciation of metals in aqueous media. The diagrams are derived for specifi c ionic concentrations, pressures and temperatures. They represent a thermody- namic equilibrium, and do not take into account kinetic behavior. For example, Figure 2.2-7 shows the Pourbaix diagram of Ca in H2 O and shows that trace amounts of Ca can be expected to be present as Ca2+ . In other cases, metals are present as cations at low pH and as hydroxides at high pH. The use of Pourbaix diagrams is subject to the condition that complex- ing species are not present, and insoluble salts are not formed. Obviously this is not always true. Table 2.2-1 lists the solubilities of some sparingly soluble metal hydroxides and metal fl uorides. These salts will precipitate if the concentrations are high enough. This is especially the case for hydrox- ide salts at high pH, but also for certain fl uoride salts. The solubilities in 2 wt% (weight%) HF seem quite high compared to typical levels in cleaning mixtures, however, the solubility may in some cases be an issue in contami- nation analysis, when the contaminant is collected using the vapor phase decomposition (VPD) technique, as discussed in Chapter 10. A metal complex is a metal ion surrounded by other molecules called ligands. Most metal ions in water actually exist as water complexes, such 2+ 3+ as M(H 2 O)6 or M(H 2 O) 6 , where M represents the metal ion. Depending on the chemistry, the H2 O molecules can be replaced by other ligands such – – + as F , Cl , and NH 3 . A list of complexes and their formation constants is shown in Table 2.2-2. Some practical implications of complex formation include lower Cu contamination levels (compared to other contaminants) when a substrate is immersed in an aqueous solution containing ammonia, – or the formation of CuCl 2 in HF/HCl mixtures [52 , 53 ], which prevents electrochemical reduction of the Cu2+ at Si surfaces. 116 Introduction and Overview

-2 -1 0 123456 789 10 11 131214 15 16 1.4 1.4 b CaO 1.2 2 1.2 EM 1 0.8 0.5 0.6 5 4 0.6 0.4 Ca 0.4 0.2 0 - 2- 4 - 6 0.2 0 a 0 - 0.2 Ca(OH) - 0.2 2 - 0.4 - 0.4 - 0.6 - 0.6 - 0.8 - 0.8 - 5 - 3 - 1 - 4 - 1 - 0 - 1.2 - 1.2 - 1,4 - 1.4 - 1.6 - 1.6 - 1.8 - 1.8 - 2 - 2 - 2.2 - 2.2 Ca H2 - 2.4 - 2.4 - 2.6 - 2.6 - 2.8 - 2.8 0 7 - 2 - 3 - 4 - 3 - 6 3 - 3.2 Ca - 3.2 -2-10123456789101112 13 14 15 16

Figure 2.2-7 Pourbaix diagram of Ca in water at 25°C [54]. Lines a and b enclose the region of stability for water. The Ca concentrations vary from 10−6 – 100 M. Copyright National Association of Corrosion Engineers (NACE) International 1974. Used with Permission.

2. 2.2.2 Metallic ion exchange reactions

When SiO x is exposed to an aqueous chemistry, an equilibrium is estab- lished between protonated and deprotonated silanol (SiOH) groups. The effect on surface charge has been discussed previously in Section 2.2.1.3. When metallic cations are present in the solution, they will not only be affected by the surface charge, but they will also participate in the surface reaction:

+−()+ ≡+SiOH(s) Mtnn (aq) ↔≡+ SiOMt1 (s) H+ (aq) Eq. (2.2-25) ii

In this case, the adsorption process is referred to as chemisorption, as the metal ions chemically react with the surface upon adsorption. It should 2: Wafer Contamination and Defectivity, Bearda et al. 117

–4 HF 2% sp K 5.16E-11 2 ppb 5.16E-11 2 3.45E-11 2 ppb 3.45E-11 2 ppm 3.04E-2 >1000 2 6.60E-11 200 ppb 6.60E-11 200 ppm 2.36E-6 200 2 2 3 2 Fluorides Dissolved Maximum p H = 10 Dissolved Dissolved Formula p H = 7

p H = 2 >1000 ppm >1000 ppm <1 ppt >1000 ppm >1000 ppm >1000 ppm >1000 ppm >1000 ppm 2 ppb <1 ppt <1 ppt >1000 ppm 35 ppb 300 ppb 160 ppm 300 ppm CaF <1 ppt >1000 ppm <1 ppt <1 ppt >1000 ppm 300ppt >1000 ppm >1000 ppm CrF >1000 ppm >1000 ppm FeF 15 ppm >1000 ppm <1 ppt 1 ppm 200 ppm MgF 3 ppb <1 ppt ZnF − 34 − 06 − 15 − 31 − 20 − 17 − 39 − 12 − 13 − 16 − 17 Maximum sp K 5.61 × 10 2.00 × 10 5.92 × 10 4.80 × 10 5.02 × 10 3.00 × 10 2 2 3.00 × 10 6.30 × 10 4.87 × 10 2.79 × 10 5.48 × 10 2 2 2 2 3 3 2 3 2 Li LiF 1.84E-3 500 ppm 500 1.84E-3 LiF Ca Ca(OH) Cr Cr(OH) Fe Fe(OH) Li Mg Mg(OH) Ni Ni(OH) Zn Zn(OH) Co Co(OH) Metal Hydroxides Metal Hydroxides Formula Formula Al Al(OH) Cu Cu(OH) Fe Fe(OH) Mn Mn(OH) Table 2.2-1 Table and Fluorides [55]. Included are calculated of Sparingly Soluble Metal Hydroxides Solubility Products a dissociation constant of 7.2 × 10 HF, for some typical conditions (compiled by authors). For maximum levels was used. Used with permission from CRC Press Inc. was 118 Introduction and Overview

Table 2.2-2 Overview of Metal Complexes and their Formation Constants [56]. The selection was made based on the criterion that more than 0.01 wt% of the metal ions would be complexed with a ligand concentration of 1.0 M and a total metal concentration of 1.0 ×10–8 M. Smith, R. M., and Martell, A. E., Critical Stability Constants, Vol. 4: Inorganic Complexes, New York/ London, Plenum Press (1976). Reprinted with kind permission of Springer and Business Media.

Metal Hydroxide log K Ammonia, Fluoride, log K and Chloride

– 3– Al [Al(OH)4] 18.6 [AlF6] 19.8 2+ 3+ Co [Co(OH)] 5.2 [Co(NH3)6] 4.2 2– 2+ Co [Co(OH)4] 5.4 [Co(NH3)6] 4.4 + Cr [Cr(OH)2] 5.4 [CrF3] 10.2 2– - Cu [Cu(OH)4] 5.6 [CuCl2] 5.5 + Cu [Cu(NH3)2] 10.6 2+ Cu [Cu(NH3)4] 11.8 – Fe [Fe(OH)4] 7.7 [FeF3] 11.9 2– Fe [Fe(OH)4] 9.6 Mn [Mn(OH)]2+ 11.7 [MnF]2+ 5.7 2– Mn [Mn(OH)4] 17.3 – 2+ Ni [Ni(OH)3] 14.4 [Ni(NH3)6] 8.3 Ti [Ti(OH)]2+ 52.8 2– Ti [Ti(OH)4] 18.3 V [V(OH)]2+ 25.8 3– V [VO(OH)2] 18.0 [VO2F4] 7.0 2– 2+ Zn [Zn(OH)4] 33.9 [Zn(NH3)4] 8.9

+ + be noted that the aqueous H species would typically exist as H 3 O in solution. Metal ions are thus in competition with H + for adsorption on the surface, and will in turn infl uence the surface charge. The adsorption is in balance with the energy required to disrupt cation and surface hydration, and with the electrostatic interaction between cation and surface. In the simplifi ed case in which all metallic cations are monovalent, the surface concentration N SiOMti of a metal Mt i can be calculated based on Eq. (2.2-25) [57 ]

+ − qkTjd N KMiMt e SiOMti = i ++−−−1 + N ++qkTjjdd qkT +qkT j d 0 1HeKK+−  He∑ KMt Mte i i Eq. (2.2-26) 2: Wafer Contamination and Defectivity, Bearda et al. 119

Figure 2.2-8 Experimental results [58] and the model of Eq. (2.2-26) for NCa/ Nt as a function of Ca2+ weight–concentration. Used with permission from Semiconductor FabTech.

The parameter values can be obtained by fi tting experimental data to Eq. (2.2-26). Figure 2.2-8 shows the agreement between experimental data [58 ] and the model in a conventional deposition plot.

2. 2.2.3 Metallic redox reactions When the Si surface is H-terminated, noble metals such as Au, Ag, Cu, Pt, Ir, Ru, and Pd can deposit in the elemental state. The mechanism behind this deposition is a charge transfer reaction at the Si/liquid interface, resulting in reduction of the metals and oxidation of the Si. The reduction of the noble metals can take place by either the release of conduction band electrons or by the injection of valence band holes. The reaction rate is determined by the overlap between occupied electron energy states at the silicon surface and unoccupied electron energy states of the metal redox system. The reaction therefore strongly depends on substrate doping type and level.

Figure 2.2-9 shows schematically two different metal redox systems M 1 0 0 and M2 with their respective redox levels E M1 and E M2 . Figure 2.2-10 shows the position of the conduction and valence band edge of the Si sub- strate in contact with the dilute HF solution and the redox potential of the different noble metal redox systems. As an example, we consider the reduction of Cu2+ ions in two consecutive charge transfer steps:

Cu20+−+→ e Cu + ,E = 0.153 V +− +→0 = Eq. (2.2-27) Cu e Cu,E 0.521 V 120 Introduction and Overview

Si Mi

e- E0 M n+ + e- ↔ M (n-1)+ ECB M1 1 CB 1

M M

e- n+ EVB 0 M + e- ↔ M (n-1)+ E M2 2 VB 2

E (V)

Figure 2.2-9 Comparison of the electrochemical electron energy levels in a semiconductor and the unoccupied and occupied electron energy states of two n+ (n-1)+ n+ (n-1)+ 0 0 different redox systems M1 /M1 and M2 /M2 with E M1 and E M2 their respective standard redox potential [59]. Used with permission from Ivo Teerlinck and University of Ghent.

E (V vs. SHE)

ECB -0.5

0 E0 Cu+2/Cu+

0.5 0 + 0 EVB E Cu /Cu E0 Ag+/Ag0 1

1.5 E0 Au+/Au0

2

Figure 2.2-10 Energy level diagram showing the position of the redox Fermi levels associated with Cu, Ag, and Au relative to the band-edges of Si in 1 M HF solution [59]. Used with permission from Ivo Teerlinck and University of Ghent. 2: Wafer Contamination and Defectivity, Bearda et al. 121

0 Because the redox potential E Cu2+/Cu+ lies well above the valence band edge of Si, the reduction of Cu2+ will mainly proceed over the conduction band. The reduction of Cu + occurs by valence band hole injection. Holes are also required for the oxidation of silicon. Because both types of charge carriers take part in the reaction, the supply of minority carriers to the surface will be rate limiting. This explains the strong dependence on the illumination conditions: in the dark, the minority carrier concentrations are low resulting in little Cu outplating and Si etching. Under illumination, however, electron-hole pairs are generated. In p-type Si, photogenerated electrons are used for the reduction of the Cu2+ ions, while for n-type Si photogenerated holes are consumed by the Si oxidation. Thus for both p- and

8 6 4

01020nm 2 0 2 4 0 6 8 µm

8 6 4

01020nm 2 0 2 4 0 6 8 µm

Figure 2.2-11 Atomic force microscopy (AFM) plots of a p-type Si wafer surface after 10 min immersion in a 0.5% HF solution containing 100 ppb Cu ions. The immersion was performed in (a) the dark or (b) under illumination [59]. Used with permission from Ivo Teerlinck and University of Ghent. 122 Introduction and Overview n-type Si wafers, the Cu outplating will be enhanced under illumination, as shown in Figure 2.2-11 [ 59 ]. For redox systems with a more positive reduction potential, such as Ag+ / Ag or Au+ /Au, reduction by valence band hole injection becomes more likely. Since in this case the deposition is not limited by the minority carrier concentration, the deposition kinetics become independent upon the illu- mination conditions and a larger metal deposition is expected in darkness compared to Cu. The electrochemical oxidation of Si results in a rough surface [60 – 62 ]. The exact mechanism behind this is not completely understood and depends on many parameters such as redox potentials, Fermi levels and charge carrier density in the silicon substrate. Some evidence exists that the oxidation of Si proceeds according to:

Si + 2HF + 2H++ ↔ SiF +2H 2 Eq. (2.2-28)

The unstable SiF 2 reacts further with HF and/or H 2O molecules to form 2– SiF 6 .

2.2.2.4 Behavior of metallic contamination Whereas particulate contamination is often considered “ inert ” during device processing, metallic contamination is highly reactive. During heat treatments, metal compounds such as metal oxides, silicates and sili- cides may be formed [ 63 ]. Alternatively, contaminants can dissolve in the Si substrate crystal structure, evaporate, or sublimate. An example is the behavior of Fe contamination during thermal oxidation [64 ]; if the ramp-up is performed in an ambient containing O2 , Fe is immobilized at the wafer surface. If, on the other hand, the ramp-up ambient is pure N2 , Fe diffuses into the Si substrate. The reactivity thus strongly depends on the contaminant, the substrate material, and the process used. The heat of formation of several metal oxides and silicides can be found in reference [65 ]. The following sections describe the behavior of metals in Si and dielectric materials.

Metals in silicon Metallic impurities may dissolve in the Si and diffuse to sensitive device areas [66]. Figure 2.2-12 shows the diffusivities of numerous metals in Si. Many of these impurities do not dissolve as neutral species, but are present 2: Wafer Contamination and Defectivity, Bearda et al. 123

10-3

Ni Cu 10-6

Co Cu /s)

2 Zn 10-9 N1

V Fe, Mn 10-12 Sc,

Diffusivity (cm Cd, In Ti 10-15 Cr

10-18 0.5 1.0 1.5 2.0 2.5 3.0

1000/T (K-1)

Ir Li

Ag Yb

Bi Mo

Al Pr Ba Ag Pt Sr

0.5 1.0 1.5 2.0

1000/T (K1)

Figure 2.2-12 Diffusivities of metals in Si. Compiled by the authors from data found in references [69–71]. 124 Introduction and Overview as charged ions. This means that the dopant type and concentration in the Si infl uences the behavior of the impurities, both directly by complex for- mation and indirectly by interaction with the electrostatic potential (Fermi level). Well documented is the pairing of Fe+ and Cu + with negatively charged B atoms in p-type Si [67 , 68 ], and Mn– B and Co– B complexes are known to form [66 ]. Such interactions reduce the effective diffusivities of the impurities and increase their solubility. Metals in Si have a fi nite solubility that decreases with decreasing tem- perature, as shown in Figure 2.2-13. If the metal concentration exceeds the solubility, the dissolved metals will precipitate, usually by silicide formation. Energy is needed for precipitation due to the volume expansion: for example, during the formation of Cu3 Si, the volume increase is estimated to be a factor of 2– 3 [72 ]. If Si self-interstitials, which are Si atoms at off-lattice sites in the crystal matrix, are present, precipitation is reduced [ 73 ]. In addition, Cu3 Si precipitates carry a charge that depends on the Fermi level of the substrate, resulting in electrostatic interaction with positively charged interstitial Cu. Because of this additional energy needed, precipitation does not start when Si is supersaturated, but occurs at a lower temperature [ 68 , 74 ]. The nucleation barrier for precipitation is reduced at specifi c sites such as crystal defects in the Si, including dislocations and oxide precipitates.

1021

Mg Ca 1018

Zn Ni 1015 ) Fe -3 Cu Cr Pd Ti 1012 V Mn solubility (cm solubility 109 Pt 106

Co 103 0.50 0.75 1.00 1.25 1.50

1000/T (K-1)

Figure 2.2-13 Solubilities of various metals in Si. Compiled by the authors from data in reference [71]. 2: Wafer Contamination and Defectivity, Bearda et al. 125

However, precipitation is possible in the device region and at the sub- strate surface due to the presence of crystalline lattice stress, surface defects, and interfaces of Si [75 , 76 ]. Haze formation on Si surfaces can be due to precipitation of metals [ 77 ]. In general, fast cool-down rates result in more and smaller precipitates than slow cool rates. This is a reversible process: of the temperature is raised, precipitation will re-dissolve into the Si [78 ]. The removal of metallic solutes from the silicon crystal is also known as “ gettering. ” Apart from gettering by defects, impurities can also be gettered by regions with a higher solubility than the Si, such as highly doped regions, and interfaces to polySi and Al. This is referred to as segregation gettering. Because segregation occurs below the solubility limit, it is possible to obtain lower concentrations by segregation gettering than by precipitation.

Metals in dielectric materials

The solubility and diffusivity of metals in SiO x and SiN x are much lower than those compared to Si [79 , 80 ]. Figure 2.2-14 shows the diffusivity of

10-3 Ag Al Ca 10-6 Cu Fe Mn /s) 2 10-9 Mo Ni Cu Pb -12 Ru 10 Ru V Ag Sc diffusivity (cm Cu Ti Fe Ti -15 Ni 10 Ca Mn Pb V Al (UL) UL = Upper Limit 10-18 Sc, Ti, Mo (UL) 0.50 1.00 1.50 2.00

1000/T

Figure 2.2-14 Diffusivities of various metals in SiO2. Compiled by the authors from data found in references: Al, Ca, Mn, Mo, Sc, and Ti [80], Ag and Cu [88], Cu, Pb, and Ru [89], Fe [87, 90], Ni [91], and Ti [92]. 126 Introduction and Overview

various metals in SiO2 . Some metals interact with SiO2 to form metal oxides or silicates, thus localizing the contaminant. This causes some dielectric lay- ers to form effective diffusion barriers. However, the mobility can increase signifi cantly if an electric fi eld is applied. The metal is ionized and injected into the dielectric, where it drifts toward the cathode. This is a concern in Cu metallization [ 81 ], since Cu diffusion can result in a leakage path and even- tually in dielectric breakdown [ 82 ]. This necessitates the use of a diffusion barrier; typical dielectric diffusion barriers are TiN and TaN. Mobile ions such as alkaline metals cause fl atband shifts in gate oxides and other dielec- trics. The mobilities of these ions, especially Na+ and K +, are extremely high and detrimental to devices (see Section 2.2.2.5 ). Low-k (low dielectric constant) materials exhibit similar behavior to

SiO 2 , except that the interaction with contaminants may be different. As a result, some metals may be present as ions in low- κ materials, whereas they form silicates in SiO2 . For example, drift has been observed for Al and Ta in an organosiloxane polymer, whereas no drift was seen in SiO 2 [83 ]. Since the metals can only drift when they are ionized, the drift rate depends on the ionic radius and on the potential of the metal. This implies a dependence on the contamination source, because in some processes (e.g. ion implantation or plasma processing) the contaminant may be introduced in ionic form. The drift rate also depends on the low-k fi lm type and quality. Generally speaking, dense materials with a high degree of cross-linking and polarity have lower drift rates [84 ]. Diffusion of metals through oxides is important for silicon-on-insulator (SOI) substrates. Most contaminants are likely to accumulate at the buried

SiO2 layer once they diffuse through the Si top layer [85, 86]. The qual- ity of the oxide layer may play an important role; damage sites and point defects may either enhance or retard the diffusion [87 ].

2. 2.2.5 Effects of metallic contamination Metallic contamination has detrimental effects on device performance. In some cases, low levels of the metallic impurity may not contribute to catastrophic device failure; however, the contaminant may affect device performance. Monitoring the metallic contamination is important to estab- lish the ambient levels that are found in a cleanroom, and to recognize excursions from that level. The presence of metallic contamination on a wafer surface can affect chemical reactions at the surface. Examples are changes in oxidation rates due to Al contamination [93 , 94 ], polySi deposition rates [95 ] and an 2: Wafer Contamination and Defectivity, Bearda et al. 127 increase of Si etch rates due to W or Ni contamination [96 ]. Room tem- perature oxidation of Si has been observed due to the presence of Cu3 Si precipitates [97, 98]. Some phenomena caused by metallic contamination, such as additional oxidation, may cause device failures, even when the metallic contaminants would be relatively harmless. The impact of metal- lic impurities on gate oxides is often explained in terms of retarded oxide growth or oxide thinning [99, 100]. However, there is limited experimental evidence to validate this hypothesis. Metallic contaminants in SC-1 (described in Chapter 4) cleaning solutions can catalyze decomposition of the H2 O2 in the mixture, which reduces bath lifetime ( Figure 2.2-15 ). The resulting gas bubbles may adhere to the sub- strate, thus masking the etching behavior of the SC-1 bath. This results in an increase of the surface roughness, as shown in Figure 2.2-16 [101]. Although in this particular experiment the roughness was shown not to have an effect on device properties [102 ], the roughness increase affects the smallest size of particles that can be detected with light scattering tools and atomic force microscopy, as discussed in Chapters 8 and 10. For a more complete discus- sion on the effects of surface roughness, refer to Section 2.3.1-6 .

Bulk silicon defects The presence of defects in bulk Si disturbs the periodicity of the lat- tice and thus introduces energy levels that are located within the bandgap, which can enhance the generation and recombination of minority carriers. Generation can be observed if the concentration of mobile charge carriers is low, a depletion condition. The generation lifetime can be written as [104 , 105 ]:

2 EE− t = cosh ti Eq. (2.2-29) gen vNs  kT th 0 t σ where v th and 0 are the thermal velocity and the capture cross section of the defect, respectively, Nt is the volume density of defects, and Et - Ei represents the position of the defect energy level relative to the intrinsic Fermi level. For a depletion region with width W the generation current density is:

qn W J = i Eq. (2.2-30) gen t gen 128 Introduction and Overview

1.0 clean 0.8

0.6

0.4 1ppb Fe, Al, Zn

0.2 3ppb

normalised H2O2 concentration H2O2 normalised Fe, Al, Zn 0.0 0 500 1000 1500 bath lifetime (min)

Figure 2.2-15 H2O2 concentration in a static SC-1 bath at 50°C as a function of bath lifetime [103]. Used with permission from IMEC.

44.96 nm 0 µm 50 µm

50 µm 25 µm

25 µm

µ 0 µm 0 m

Figure 2.2-16 AFM plot of Si surface after immersion of a hydrophobic wafer into a 3 ppb Fe-contaminated SC-1 solution, 1:1:5 by volume [101]. Reproduced by permission of ECS – The Electrochemical Society from Mertens, P. W., Baeyens, M., Moyaerts, G., Okorn-Schmidt, H. F., Vos, R., Waele, R., Hatecher, Z., Hub, W., De Gendt, S., Knotter, M., Meuris, M., and Heyns, M.M., 5th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing. 2: Wafer Contamination and Defectivity, Bearda et al. 129

This current can be measured, for example, as a diode leakage current or as a transient of the depletion capacitance of an metal oxide semiconductor (MOS) capacitor. Recombination can be observed if excess carriers are injected. With n and p the electron and hole concentrations, and assuming low injection conditions (concentration of minority charge carriers remains lower than the concentration of majority charge carriers), the so-called ‘Shockley– Read – Hall ’ recombination lifetime is given by:

1 2n EE− t =+1i coshti Eq. (2.2-31) rec vNs  np+  kT th 0 t The distance that a minority charge carrier can diffuse before recombining depends on both the diffusivity and the recombination lifetime:

LD= t Eq. (2.2-32) rec The recombination lifetime affects, e.g. the diffusion current in diode char- acteristics [ 89 , 106 , 107 ]. The expressions for generation and recombination lifetime are plotted in Figure 2.2-17 . The defect levels that are closest to the middle of the bandgap are most effective in reducing the lifetime. The defects can be metal silicide precipitates, but also point defect complexes. The energy lev- els of many types of defects have been determined [ 108 , 109 ]. An example is the formation of Fe – B pairs in B-doped Si, which reduces the minority carrier lifetime. As a matter of fact, the Fe – B pairs can be dissociated by annealing at temperatures >200° C, resulting in a further reduction in life- time. This difference in lifetimes has been calibrated, and has become a standard method to determine the concentration of Fe in Si [ 110 ].

Defects in dielectrics Gate dielectrics are characterized by their excellent insulating and capacitive properties. Metallic impurities on the wafer surface usually degrade these properties by locally reducing the tunnel barrier or by intro- ducing traps, thus forming a leakage path for charge carriers [63 , 89 ]. In other cases, impurities can locally retard oxidation rates, a phenomenon known as oxide thinning [ 99 , 100 ]. Similarly, a retardation of the gate dielectric deposition rate could occur. If the impurities cause a signifi cant surface morphology change, as may be the case for metallic particles, the 130 Introduction and Overview

103 τ τ gen rec ) t N

0 2

σ 10 th

101

100 1014 cm-3 lifetime (normalized, v doping level: 1015 cm-3 10-1 -0.56 0 0.56 position of state in bandgap (E-E ) [eV] t i

Figure 2.2-17 Normalized bulk generation and recombination lifetimes at room temperature in silicon, as a function of the position of the energy level within the bandgap [104]. Sze, S. M., Physics of Semiconductor Devices. Used with permission by the authors.

electric fi eld across the dielectric will be non-uniform [ 111 ]. Each of these mechanisms results in a local increase of the tunnel current density, which degrades the reliability of the dielectric. When defects are present at the gate dielectric interface, charging of the defects affects the number of charge carriers in the channel of MOS transistors, resulting in ‘fl icker noise’ or carrier scattering. Generation and σ σ recombination velocities gen and rec can be defi ned in a manner analogous to Eqs. (2.2-29) and (2.2-31). However, surface generation and recombi- nation is generally more complex than bulk generation and recombination [105]. Whereas bulk defects usually exhibit distinct energy levels within the bandgap, surface defects introduce a broad distribution of defect levels in the interface states. Moreover, the generation/recombination activity of the surface defects is higher in depletion than in inversion or accumulation. 2: Wafer Contamination and Defectivity, Bearda et al. 131

There are many publications discussing the effect of specifi c metallic contaminants on gate dielectrics. Among the most frequently investigated contaminants are Fe, Cu, and Ni. Iron is especially regarded a harmful contaminant, being frequently observed in production lines and causing device failures from concentrations of 1 × 10 11 atoms/cm2 . The data for Cu are signifi cantly more scattered, owing to the large diffusivity and hence the large dependence on processing conditions. Hence, the com- parison of results found in different publications is not straightforward. In general it may be stated that metallic contaminants located near the gate dielectric will signifi cantly affect the dielectric reliability. As a rule of thumb, harmful contaminants diffuse slowly in Si and/or form compounds at the surface such as oxides, silicates or silicides. Examples are group II, alkaline earth, elements (such as Mg, Ca, Sr, and Ba) and light transition metals (Sc– Cu). Note that this rule does not always hold: although, e.g. Al and Hf diffuse slowly and form metal oxides at the surface [93 , 112 ] they are generally not perceived as very harmful contaminants. A special class of defects consists of ionized metallic impurities in dielectrics, which can drift under infl uence of an electric fi eld. Best known are Na + , K + and Li+ contamination, usually of human origin or from packaging materials. In state-of-the art cleanroom facilities, these contaminants have largely been eliminated by elimination of their sources. However, Cu, used for interconnects, is known to drift in oxides. Some of the consequences are variations in the surface potential, the threshold voltage, and local distortion of the electric fi eld. The contamination can be detected by stressing the dielectric at fi elds of opposite polarity, followed by a capacitance measurement. The charge density r can be obtained from the shift in fl atband voltage, V FB :

∆=−1 tox x ( ) Eq. (2.2-33) VrFB ∫ xdx Ct0 ox ox with x the position in the oxide, t ox is the oxide thickness, and C ox is the oxide capacitance.

2. 2.3 Atmospheric molecular contamination Besides particles, there is a range of other species that may contaminate the wafer surface through the air. These species have molecular dimen- sions and are thus known as atmospheric molecular contamination (AMC). The main sources for AMC are outgassing of construction materials and tools, emissions from process chemicals, and make-up air contaminated 132 Introduction and Overview by urban pollutants. Because of their molecular dimensions, AMC are dif- fi cult to fi lter out by conventional HEPA or ULPA fi lters; design of the airfl ow in the cleanroom is thus critically important in order to localize the contamination and prevent spreading it to sensitive areas. In addition, chemical fi lters can be used consisting of active carbon or impregnated materials [ 113– 115 ] can be used to remove organic contamination. Most of all, AMC has driven continuous improvements in construction materials. In SEMI Standard F21-95 [116 ], different types of AMC are distinguished: Acids: corrosive materials whose chemical reactions are those of electron acceptors. Bases: corrosive materials whose chemical reactions are those of electron donors. Condensables: chemical substances capable of condensation on a clean surface. Dopants: chemical elements that modify the electrical properties of a semiconductor material.

It should be noted that this classifi cation does not cover all atmospheric molecular contamination. For example, moisture is typically not consid- ered as “ condensable ” and airborne metal contamination [117] and does not fi t the classifi cation either. Moreover, some contaminants fi t in more than one group, such as organophosphates that can be considered both condensables and dopants. The different types of AMC in addition to moisture are discussed in Sections 2.2.3.1 to 2.2.3.5 .

2. 2.3.1 Acids The main sources for molecular acid contamination are chemical baths used for wet wafer processing. Typical examples are HF, HCl, HBr, HNO3 , H2 SO 4 , and H3 PO 4 . Sulfuric acid can also be formed from SO2 in combina- tion with moisture in the ambient atmosphere. Acids can deposit on the wafer surface by means of adsorption. It has been observed that HF and HCl have a strong affi nity for deposition on Al and Cu layers [118 ]. Acids can cause corrosion of metal lines, which readily occurs at acid concentrations of a few ppb in the atmosphere. Therefore, a low level of molecular acid contamina- tion is critical prior to metal sputtering and in all process steps exposing metal layers. Also, trace levels of HF may end up in the air recirculation system and give rise to dopant contamination, as discussed in Section 2.2.3.4. In the case of acid, base and condensable contamination, it is often obser ved that deposition on surfaces causes hazing, especially in the 2: Wafer Contamination and Defectivity, Bearda et al. 133

presence of moisture [119 – 121 ]. This phenomenon is known as time-de- pendent haze (TDH). It affects particle detection on wafers, and also leads to non-uniform transmittance of optical components in photolithography equipment and light scattering tools. The contamination on Si substrates is generally easy to rinse off in H2 O or evaporates during a heat treatment.

2. 2.3.2 Bases Airborne amines originating from processing mixtures containing chemicals such as HMDS (hexamethyl disilazane), TMAH (tetramethyl ammonium hydroxide), NMP (N -methylpyrrolidone), or NH4 OH are the most common source of basic AMC. In addition, paints and adhesives may contain out-gassing solvents with alkaline properties. Low concentrations of amine contamination are critical for correct processing of chemically amplifi ed photoresists. Since these resists rely on the photogeneration of acids, the presence of as little as 10 ppb of amines in the cleanroom air can already cause its diffusion into the photoresist and neutralization of the photogenerated acids [122 ]. The result is the so-called “ T-topping ” or “ skin-formation ” of the photoresist, as shown in Figure 2.2-18, leading to an increase of linewidth [123 , 124 ].

Figure 2.2-18 Impact of airborne amines in cleanroom air on 248-nm photoresist after exposure. Micrograph (a) shows a photoresist coating that was not exposed to amines; micrograph (b) shows a photoresist coating that was exposed to a contamination level of 15 ppb for 15 minutes [124]. Used with permission from SPIE; D., Ercken, M., and Borgers, T., "Molecular base sensitivity studies of various DUV resists used in semiconductor fabrication," Optical Microlithography XIV, Vol. 4346 (1988). 134 Introduction and Overview

2. 2.3.3 Condensables The name condensable is mainly used for volatile organic chemicals (VOC). Apart from contamination from human sources, there are many other airborne organic contaminants. A recognized source is outgassing from construction materials, especially materials with a high porosity such as PTFE (polytetrafl uoroethylene, also known as Tefl on ™ ). Other dominant contaminants in cleanroom air are toluene, xylene and aliphatic compounds [125 ], however, these are normally not found on wafer sur- faces. Instead, additives such as plasticizers, adhesives and corrosion inhibitors [126 , 127 ] are often reported. Other sources for condensables are pump oil and cleaning solvents, such as isopropyl alcohol (IPA). Pro- longed exposures to a high vacuum ambient may cause deposition of vacuum silicone oil [ 102 ). Photoresist is an obvious source for non-volatile organic contamination. However, upon exposure to UV (ultraviolet) light volatile species may be formed [126, 128, 130] that can outgas in vacuum tools and that can deposit on optical components, thereby affecting the optical properties of the litho- graphic system. Incomplete evaporation or combustion of organic additives, such as trichloroethane (TCA), trichloroethylene (TCE) and dichloroethylene (DCE) during low-temperature oxidation can result in unwanted deposition of organic species on the wafer [131 ]. Examples are shown in Table 2.2-3 , along with their applications and some properties [ 125 , 132– 134 ]. It is assumed that condensables with low boiling points (below 150° C), or with low molecular weights, are of little importance because their resi- dence time on the surface is relatively short [ 133 ]. Although the precise mechanism of adsorption is not understood, several other factors are known to play a role as well. A theoretical study has shown that the interaction between the Si surface and hydrocarbon molecule is expected to be stron- ger for larger molecules [ 135 ]. The adsorption and desorption character- istics of a number of organic contaminants has been studied [136 , 137 ]. There is a general tendency that polar molecules adhere more strongly to substrates than non-polar molecules [119 , 125 , 132 , 138 ], especially if the surface also contains polar groups [ 139 ]. This may explain why dif- ferent surface preparation techniques yield different amounts of adsorbed molecules [ 140 ]. Usually, organic contamination reacts in an oxidizing atmosphere or in aqueous or solvent cleaning mixtures, and is therefore easily removed. The effect of organic contamination prior to gate oxidation is minimal [141 , 142 ]. However, detrimental effects, such as SiC formation, may occur if the temperature ramp-up is conducted in an inert ambient, even 2: Wafer Contamination and Defectivity, Bearda et al. 135 lters depen- ( Continued ) (time dent haze), Corrosion on and in wafer fi HEPA graphy defects

chemicals Process Salt formation 7647-01-0 36 57 7664-39-3 167 20 20 917 999-97-3 161 125 13.8 Process T-topping, 13.8 125 161 999-97-3 Process 2 NOH 75-59-2 18 91 60-65 7697-37-2 63 83 63 83 63 7697-37-2 4 NSi 3 ) NO 872-50-4 99 202 0.35 202 99 NO 872-50-4 7664-41-7 35 27 557 27 35 7664-41-7 3 19 9 3 H H 6 5 Chemical CAS No. amu Boiling Vapor Application Affects Vapor Application Boiling amu No. CAS Chemical TMAH (CH TMAH uoric acid uoric HF acid HNO acid -Methlypyrro- NMP C NMP n -Methlypyrro-

Formula Point Pressure Point (Torr/25°C) Category Name (°C) Acids Formula Hydrochloric acid HCl lidone disilazane Tetramethyl- photolitho- chemicals Hydrofl Nitric Bases Hexamethyl C HMDS Ammonia NH ammonium hydroxide Table 2.2-3 Table [153]. Compiled by the Wafers Molecular Contaminants and their Effects on Airborne Examples of Common data. authors from available 136 Introduction and Overview poor layer adhesion lters lters n i retardants voltage shifts voltage retardants HEPA fi HEPA Plasticizers Hydrophobic Flame Counter doping, Flame fi Counter HEPA − 7 − 5 − 6 − 3 − 7 − 7 − 9 − 3

85-68-7 84-66-2 312 370 222 298 8.3 × 10 2.1 × 10 P 13674-84-5 328 P >270 115-96-8 2.0 × 10 285 330 6.1 × 10 4 4 117-81-7 391 387 1.4 × 10 84-74-2 278 340 103-23-1 2.0 × 10 371 417 57-11-4 8.5 × 10 285 383 7.2 × 10 O O 4 4 4 4 4 2 3 3 O O O O O O 1330-20-7 106 138.5 8.0 Cl Cl 38 28 22 Paint 20 21 111 97 Solvents 14 60 92 42 0.35 O 71-23-8 108-88-3 182 94 36 O 108-95-2 8 8 10 6 18 12 H H H H H H H H H H H H 24 16 19 12 22 3 7 8 18 6 9 6 Chemical CAS No. amu Boiling Vapor Application Affects Vapor Application Boiling amu No. CAS Chemical

z O y Si x alcohol IPA C IPA alcohol adipate C adipate acid C acid benzyl BBP C BBP benzyl bers C B phosphate silicate TCEP Trischloroethyl phosphate Boron fi sables wafer surface, surface, wafer sables Formula Point Pressure Point (Torr/25°C) Category Name (°C) phthalate DOP Conden- Dioctyl C Formula C DEP phthalate phthalate Butyl Diethyl Dioctyl Isopropyl Toluene C Xylene C Phenol C Dopants Trischloropropyl TCPP C Dibutyl phthalate DBP C DEHP DBP hexyl phthalate phthalate Diethyl Dibutyl Stearic Table 2.2-3 Table [153]. Compiled by the Wafers Molecular Contaminants and their Effects on Airborne Examples of Common data. (cont’d) authors from available 2: Wafer Contamination and Defectivity, Bearda et al. 137 with minimal amounts of C left on the wafer, or if the organic contamina- tion is not completely burned off or vaporized during rapid thermal pro- cessing [ 119 , 138 ]. This can affect the gate oxide integrity (GOI) [132 ]. Other detrimental effects may be observed in processes involving surface reactions: CVD (chemical vapor deposition), especially when performed in reducing ambient [ 126 , 142 , 144 ], variations in etching [ 119 , 138 , 145 ], wetting of the substrate by an increase or decrease of the contact angle making the surface more hydrophilic or hydrophobic [119 , 120 , 126 , 127 ] and corrosion of metal layers [127 ].

2. 2.3.4 Dopants Contamination on the Si may occur due to inadvertent exposure to trace levels of impurities that are used to dope the Si to make the p- and n-regions of the wafer. For example, trace levels of HF may enter the air recirculation system and etch the borosilicate glass in HEPA fi lters [146 ].

The resulting BF 3 boron contamination on the wafers can give rise to local variations in doping levels, and thus fl uctuations in threshold voltage. Sim- ilar doping defects can also be caused by outgassing of organophosphates from polyurethane sealants in the HEPA fi lters or from fl ame retardants [133 , 147 , 148 ].

2. 2.3.5 Moisture

Relative humidity is defi ned as the ratio of the actual H 2O vapor pressure, p , over the saturation vapor pressure, p s , at the prevailing temperature:

p RH = Eq. (2.2-34) p s and where RH is the relative humidity. The saturation vapor pressure of water as a function of temperature is shown Figure 2.2-19 . The relative humidity of cleanroom air is controlled close to 40%. This is required to keep electrostatic charging within limits [149 ]. The moisture adsorbs on the Si surface, which increases the surface conductivity and thus allows charge to dissipate through a natural conductance path to the ambient atmosphere. Moisture adsorption is a material specifi c process and can occur at vary- ing rates; for Si wafers the equilibrium is reached within minutes [140].

Borophosphosilicate glass (BPSG) [150 ], SiO2 , and high- k layers such 138 Introduction and Overview

100

saturation limit 80

60

40

20

water vapor pressure (Torr) typical cleanroom conditions 0 0 1020304050 Temperature (°C)

Figure 2.2-19 Water vapor pressure as a function of temperature [55]. In typical cleanroom conditions, a relative humidity of approx. 40% is used, which ∼ corresponds to a H2O vapor pressure of 20 Torr or 6000 ppm by mass. Used with permission from CRC Press Inc.

as ZrO2 and HFO 2 [151 ] also adsorb moisture. The amount of H 2 O mol- ecules adsorbed on fully hydroxylated non-porous SiO2 at 25° C and 40% RH is about 5 molecules/nm2 . This is approximately a monolayer, ∼ 5.6 ± 0.2 molecules/nm2 . Adsorption involves H-bonding to surface OH groups (silanols) but is physical in nature, versus chemical, as it is completely reversible by heating the surface. If the SiO2 is submitted to a thermal treatment the amount of H2 O molecules adsorbed is lower if the tempera- ture of the treatment is above 300– 400 ° C. Partially dehydroxylated SiO 2 will slowly rehydroxylate when exposed to H2 O vapor. Low- k dielectric materials are usually hydrophobic, and not much moisture is adsorbed. However, after exposure to plasma, as in the case of photoresist stripping, polar silanol groups are formed at the surface, result- ing in adsorption of H 2 O that increase the effective dielectric constant of the layer. For this reason repair of the low-k layer is done by removing the thin damaged layer [152]. Alternatively, the layer is sealed, i.e. the pores are closed at the surface to reduce the exposed area where H2 O can adsorb. Chapter 7 discusses the process of repairing and sealing the low-k material. 2: Wafer Contamination and Defectivity, Bearda et al. 139

2. 3 Sources of Defects and Contamination

It is possible to trace the origin of contamination. However, in the current chapter it is our purpose to understand how contamination ends up on a wafer. Contamination takes place under certain conditions in a certain medium, some of which have already been discussed. In the present section, we will discuss why contaminants end up in a medium that is in contact with a substrate. For some media this has already been previously discussed (e.g., particles and airborne molecular contamina- tion in cleanroom air). In the following we will discuss several media and process tools that can be sources of contaminants.

2. 3.1 Cleaning-related defects 2. 3.1.1 Megasonic damage Megasonic energy is used to enhance the removal of contaminants, pri- marily particulate contamination. It is a physical force, and as such it is non-selective with regard to device structures; hence not only contaminants but also device structures may be attacked. The mechanism underlying megasonic-induced damage is not completely understood, but it is often assumed that a damage threshold for a specifi c energy density exists. This threshold would depend, among others, on the pitch and dimensions of the device structures [154 ]. Chapter 3 discusses the causes of megasonic damage. A systematic study is complicated because the megasonic energy density is not uniform, but exhibits a pattern that is strongly dependent on the piezoelectric transducer confi guration; Chapter 4 discusses various arrangements of these transducers. Also, it has been argued that damage primarily occurs with delicate structures and at weak spots in the device features, such as polySi grain boundaries or areas with poor layer adhe- sion, which implies a dependence on process control [155 ].

2. 3.1.2 Transient effects during rinsing When a substrate is transferred from a cleaning mixture to a rinse bath, it carryovers a chemical layer that contains cleaning agents and possi- bly contaminants. When the wafer is immersed in pH-neutral water, the carry-over layer changes from acid or alkaline pH to neutral pH. This may cause contamination in the carry-over layer to redeposit on the sub- strate surface [156]. In the case of rinsing after amine-based cleaning, 140 Introduction and Overview the pH value may increase to high values causing corrosion of metal layers. Assuming only diffusive transport and a static rinse tank, the con- + centration of either H3 O ions or contaminants at the surface C ( t ) can be approximated by [157]:

( )− Ct Crinse dd ≈

where C rinse is the concentration in the rinse bath and C0 the initial concentration in the carry-over layer. The thickness d of the carry-over + layer is typically 20 µ m. The diffusivity, D , of H3 O in water is D = 1 × 10 –4 cm 2 /second; in contrast, for a particle of 0.1 µ m diameter D = 4.37 × 10–8 m 2 /second and for most metal ions D = 1 × 10 –5 cm 2/second. Thus, the pH value will initially change very quickly, before the contaminants diffuse away from the surface; afterwards the change will proceed more slowly.

2. 3.1.3 Drying residues

In the last stage of the drying process, H 2O evaporates and leaves behind any non-volatile residues that were present in the evaporating H 2 O layer. In the case of spin-drying, the thickness of this water layer is of the order of 5 µ m, whereas for Marangoni drying it is two orders of magnitude lower [158], Chapters 1 and 4 outline the different drying techniques. The residues may be metallic or particle contamination, or cleaning agents that were not com- pletely removed. One type of residue is known as “ watermarks, ” which origi- nates from silica that is formed and dissolved during the rinse cycle [159 ]:

+→ Si O22 SiO Eq. (2.3-36) SiO+→ H O H SiO 22 23 Watermarks usually occur in hydrophobic regions and become more pronounced if a hydrophobic/hydrophilic pattern is present on the sub- strate. Possible effects include local variations in oxide thickness, adhesion problems, and increased contact resistance [160 ]. When small amounts of HF are present, either from the ambient or from insuffi cient rinsing, the watermarks have a more crystalline morphology due to the formation of

H2 SiF6 . It has been shown that watermarks can be suppressed by using N2 saturated rinse water at low pH [161 ]. 2: Wafer Contamination and Defectivity, Bearda et al. 141

2. 3.1.4 Pattern collapse

In the last stage of the drying process, small amounts of H 2O can give rise to capillary forces between structures. The magnitude of these forces depends on the distance between the structures and the surface tension of the medium. For high aspect ratio structures they can be large enough to cause pattern collapse, a deformation of the structures, which usually results in failure. The equivalent capillary force Fcap that is exerted on the upper edge of the line can be written as [162 ]:

F gqcos cap = Eq. (2.3-37) ls where q is the contact angle, g is the liquid surface tension, and l , h and s are the length, width, and spacing of the lines, respectively. Figure 2.3-20 shows an example of pattern collapse of dense structures. If the capillary forces on both sides of the lines are in equilibrium, and if the spacing varies, or if liquid removal is non-uniform, a resultant force exists which causes a deformation d of the line:

F 3 = 4 cap h d  Eq. (2.3-38) El w where E is the Young’s modulus. Equation (2.3-38) is only valid for small deformation; as it does not take into account that the deformation reduces both the spacing s and the contact angle q, with a further increase of Fcap . If the forces become large enough, the plastic yield strength and the adhesion of the lines may become important [162]. To avoid pat- tern collapse, surfactants such as isopropyl alcohol (IPA) may be used to

δ h Fcap Fcap

h

w s w

Figure 2.3-20 Schematic view of line structures and capillary forces. Used with permission from authors. 142 Introduction and Overview decrease the surface tension. For the same reason, the use of supercritical

CO2 has been investigated as a replacement for H2 O in certain process steps [163].

2. 3.1.5 Substrate charging A particular concern with drying is triboelectric charging of the sub- strate [164], because substrate charging enhances particle deposition and can also initiate a fast charge transfer (electrostatic discharge), resulting in excessive junction leakage, oxide breakdown, or metal fusing [149 ]. If different regions of the substrate are at different potentials, corrosion can occur. Triboelectric charging is an issue with spin-drying and brush cleaning, and it is also known to occur during Marangoni drying. Even tool malfunctioning due to substrate charging has been reported as a problem [165]. Substrate charging can be controlled in a number of ways. Air ionizers are able to neutralize substrate charge, but there have been concerns about their contribution to particle contamination levels. Humidity provides a conducting path to ground by forming a H2 O layer on surfaces; however, it also increases the risk of corrosion or forming time dependent haze. The use of antistatic and dissipative materials (e.g. polycarbonate) in tools has a similar effect, but again the particle performance of these materials has been debated. Guidelines for acceptable static charge levels are available in the SEMI E78 standard [166 ].

2. 3.1.6 Surface roughness Because cleaning can involve etching of the substrate, it usually causes a slight increase in surface roughness. The roughness can become more pronounced if impurities are present either in the cleaning mixture or on the substrate itself. An example is the decomposition of H2 O2 in metal-contaminated SC-1 cleaning mixtures; the decomposition results in evolution of H2 gas bubbles that adhere to the wafer surface and cause inhomogeneous etching of the substrate [167 ], as shown in Figure 2.2-16 . Another example is the local dissolution of Si in Cu-contaminated HF mixtures, as discussed in Section 2.2.2.3. Surface roughness is most eas- ily detected as an increase in haze level using light scattering tools. A direct consequence of this reaction is an increase in the minimum size of particles that can be detected. 2: Wafer Contamination and Defectivity, Bearda et al. 143

The impact of roughness on devices continues to be a concern. How- ever, it should be noted that many studies on the topic artifi cially increase the roughness to above 1 nm RMS (root mean squared) values, which is large compared to what is typically required in production lines [20]. It is known that the tunnel current through gate dielectrics increases due to roughness, usually this is attributed to distortion of the electrical fi eld [168 , 169 ], but an alternative explanation in terms of oxide thickness variations has also been proposed [170 ]. The effect of fi eld distortion is expected to be largest in the Fowler– Nordheim tunneling regime, where it induces variations in the tunnel distance. In contrast, in the direct tunneling regime the tunnel distance is constant, and fi eld distortion has a less pronounced effect. For this reason, and because thermal oxidation has a slightly planarizing effect on roughness, roughness may be expected to have a larger impact on deposited (high- k) layers than on thermally grown ultra-thin oxides [171]. It has also been noted that roughness affects the surface mobil- ity of charge carriers [172 ]. Under strong inversion and high fi elds the mobility is limited by scattering due to roughness at the surface. It is –2 found that, on Si substrates, the roughness limited mobility m µ N s , where N s is the carrier density in the inversion layer [173, 174]. Scat- tering at the buried oxide interface also occurs in SOI devices, which becomes signifi cantly more pronounced if the Si thickness decreases [175, 176].

2. 3.1.7 Corrosion Corrosion of metal lines is a widespread problem for microelectronic device fabrication [16 ]. It is an electrochemical reaction, and thus involves both oxidation and reduction reactions. Corrosion proceeds due to a potential that exists between two metal regions; this may be a galvanic potential, or it may be due to charging of the regions. Charging occurs during operation of the device, but it may also occur during device pro- cessing, e.g. after plasma treatment or stripping [177 , 178 ]. The dynamics of electrochemical corrosion are described by the Nernst equation:

∆=∆−0 GGRTQln Eq. (2.3-39) where Q is the reaction coeffi cient for the general reaction: 144 Introduction and Overview

abAB+→+ cd CD aacd Eq. (2.3-40) Q = CD aaab AB

a b c d The constants a A , a B , a C , and a D are the activities of the respective spe- cies. For solids and liquids, the activities are taken as unity. For gases the activities are usually taken as the partial pressure, and for dissolved spe- cies as the molar concentration. The free energy change ∆ G is related to the electrical oxidation potential U by Faraday’s law:

∆=−GnFU Eq. (2.3-41) where F is the Faraday constant and n is the number of electrons participat- ing in the reaction. The corrosion of metals is determined by the oxidation potential, as shown in Table 2.3-4 , but is also promoted by the presence of mechanical stress or grain boundaries. Possible oxidation reactions at the anode are:

Mt→+ Mtn+−n e +→−− + Mtnn OH Mt(OH)n e Eq. (2.3-42) Mt+→++nnn H O MtO H+− e 2 n

Depending on the conditions the metal can either form an oxide layer or dis- solve. The formation of a metal oxide layer, as in the case of Al, Ni, Ti, and steel (Ni, Cr, and Fe), can protect the metal for further corrosion, but at the same time it increases the contact resistance. Dissolution of the metal line obviously is undesirable because ultimately it can lead to open circuits. The accompanying reduction reaction at the cathode can be a reduc- tion of dissolved metal cations, reduction of adsorbed H2 O molecules, or formation of metal hydroxides:

+−+→ 2H 2e H2 ++→+− O22 4H 4e 2H O ++→−− O22 2H O 4e 4OH Eq. (2.3-43) Mtn+−+→n e Mt Mt++ (nx )H O + x e−− → Mt(OH)x +x H (g) +n H + 22nx+ 2 2: Wafer Contamination and Defectivity, Bearda et al. 145

Table 2.3-4 Oxidation/Reduction Potentials of Metals [55]. Used with permission from CRC Press Inc.

Reaction Product Electrode Potential (eV)

+ – → O2 + 4H + 4e 2H2O 1.229 – → O2 + 2H2O + 4e 4OH 0.401 – → – O2 + 2 H2O + 2e H2O2 + 2OH –0.146 – → – 2H2O + 2e H2 + 2OH –0.8277 + – → 2H + 2e H2 0 Au+ + e– → Au 1.692 Au3+ + 3e– → Au 1.498 – → – Cl2(g) + 2e 2Cl 1.35827 Pt2+ + 2e– → Pt 1.18 Pd2+ + 2e– → Pd 0.951 Ag+ + e– → Ag 0.7996 Cu+ + e– → Cu 0.521 Cu2+ + 2e– → Cu 0.3419 Fe3+ + 3e– → Fe –0.037 Pb2+ + 2e– → Pb –0.1262 Sn2+ + 2e– → Sn –0.1375 Ni2+ + 2e– → Ni –0.257 Co2+ + 2e– → Co –0.28 Cd2+ + 2e– → Cd –0.403 Fe2+ + 2e– → Fe –0.447 Cr3+ + 3e– → Cr –0.744 Zn2+ + 2e– → Zn –0.7618 Cr2+ + 2e– → Cr –0.913 Mn2+ + 2e– → Mn –1.185 Ti3+ + 3e– → Ti –1.37 Ti2+ + 2e– → Ti –1.63 Al3+ + 3e– → Al –1.662

Metal deposition is undesirable because it can cause short circuits between metal lines, whereas formation of soluble metal hydroxides can cause open circuits.

The charge transport required for these reactions occurs in the H 2 O layer that is adsorbed on the surface, moisture, therefore, has a strong impact on the corrosion rate. It can originate in the cleanroom environment, but it can also penetrate the device package during operation. The pH of the 146 Introduction and Overview

H2 O layer is reduced near the anode and increased near the cathode. As the dissolved metals migrate toward the cathode, the pH increases and metal precipitates may form. It should be noted that at low pH or in absence of O 2 (in reducing ambient) the passivation metal oxide fi lm might be unstable, thus increasing the dissolution rate of the metal. Especially for Cu, the dissolution at pH 2 – 5 is very rapid and the formation of a passivation oxide layer is hindered [179 , 180 ]. Contamination from the acid and base chemicals should also be

considered. Species adsorbed on the metal, such as NO x , SO 2 , HF, Cl2 , HCl, H 2S, and amines, have an effect on pH and thus affect corrosion rates. Also, besides attracting moisture from the ambient (hygroscopic action), these species increase the conductivity of the adsorbed H2 O layer, and thus accelerate corrosion [181]. Moreover, many of these contaminants can attack metal oxides to form soluble metal salts [179 ].

2. 3.2 Process gases In general, process gases used for microelectronic manufacturing can be manufactured with suffi cient purity (99.9999%). The most common

impurities in gases are O2 , CO2 and, especially for specialty gases, reaction by-products. The distribution of process gases from the manufacturing facility to the point-of-use (POU) brings into play several mechanisms that can load the gases with more contaminants. These mechanisms are strongly related to the design and implementation of the distribution sys- tem. The main causes for additional process gas contamination are leakage from the environment, and insuffi cient purging after system maintenance. Material outgassing can also be considered a contributor; however, baking of a new system greatly reduces this factor. The main concern with process gases is moisture. Trace amounts can accumulate on the inner surface of the tubing. This is the case, e.g. if the surface is rough, if dead space is present that cannot be adequately purged, or if improper welding results in stress or cracks in the surface [182 ]. Obviously, the choice of material is important for reducing mois- ture accumulation. Normally, electropolished steel with a smooth surface is used. Similarly, steel with a high Mn content is best avoided [183]; the high vapor pressure of Mn causes its evaporation and redeposition during welding of the tubing. The redeposited Mn increases the surface rough- ness and enhances adsorption of moisture. Moisture adsorption can also be induced if the gas experiences a transition from high to low pressures (Joule – Thomson effect) [173 ]. Moisture accelerates corrosion, especially 2: Wafer Contamination and Defectivity, Bearda et al. 147 if the gas is corrosive such as HCl (see Section 2.3.1.7 ). Metallic corrosion products can contaminate the gas fl ow as both particles and volatiles (e.g. MoCl, FeCl, and TiCl), depending on their relative vapor pressure [173 ]. It has been pointed out that reaction by-products in specialty gas, such as used in etching or layer deposition, may have a different vapor pres- sure than the specialty gas itself [184]. The result of this is that the impu- rity fraction delivered by the system varies with time. Moreover, process gases are not the only possible origin of impurities in the process ambient .

Impurities such as moisture and O 2 can also originate from the cleanroom air during wafer loading, and from any exposed surface in the process chamber, including the wafer itself [185 ]. These impurities can directly affect process control rather than induce localized defects. Examples are layer deposition rates, etching rates, and silicidation.

2. 3.3 Process liquids and photoresist As with process gases, a distinction can be made between the purity of incoming chemicals at the “ point of distribution ” (POD), and the purity of the chemicals at the POU. Currently, most commonly used aqueous- based chemicals (NH 4OH, HCl, HF, H 2O 2, and H 2SO 4) are available with specifi cations <100 ppt for cations. For ultra-pure H2 O (UPW) the levels are 1– 2 orders of magnitude lower, whereas in organic solvents and photoresist, the levels are often 2– 3 orders of magnitude higher. The contaminant concentration in liquid chemicals can increase due to leaching of cations and hydrocarbons (plasticizers and additives) from construction materials. PFA (perfl uoroalkoxy) and PTFE (polytetrafl uo- roethylene or Tefl on) are preferred materials for distribution systems and fi lters, whereas wetbenches and tool parts are often made of PVC (poly- vinyl chloride) and PP (polypropylene). Not only are these materials com- patible with a wide range of chemicals, but they also have a high density, low porosity and therefore low contact area with the liquid. Typical leach rates for metals are 1 × 1012 atoms/day per cm2 area of material [186 ,

187], with more aggressive chemicals (concentrated HF, H2 SO4 /H2 O2 mixtures) having higher leach rates. Because the leach rate decreases over time, materials are usually preconditioned before installation. Quartz components contain <1 ppm for most metallic contaminants, with somewhat higher concentrations of Al. Cleaning solutions such as SC-1 may etch the quartz at rates of ~ 0.1 nm/min [188] thereby releasing some 1 × 10 11 atoms/day per cm2 of quartz or less than 1ppt into the cleaning solution. 148 Introduction and Overview

Another detrimental contaminant in UPW is dissolved O2 gas. Sources for O 2 contamination can be gaseous permeation of the distribution system, or exposure to air in the process tool. Usage of a N 2 blanket can prevent this. Dissolved O2 causes oxidation of hydrogenated surfaces, which affects layer deposition. In connection with this should also be mentioned the contamination of UPW by silica, which can be caused by redissolution of oxide layers on the wafer. As we have seen in Section 2.2.3.1, dissolved silica can cause drying residues. It should be noted that little is known about the interaction of contaminants with Si substrates in non-aqueous chemistries. It has been suggested that metals can form complexes in photoresists, and that adsorption on Si surfaces occurs in a similar manner as in aqueous solutions [189]. More recently, it has been found that in commonly used solvents the contamina- tion level is dominated by the evaporation rate of the solvent [51 ]. With supercritical CO2 under consideration as a medium for certain applications, a signifi cant number of studies are currently being carried out on the funda- mental interactions of contaminants with various organic solvents, Chapter 7 discusses the use of supercritical fl uids for surface conditioning.

2. 3.4 Ion implantation When a material is exposed to energetic species, as is the case with ion implantation, small amounts of the material may be sputtered off and redeposited elsewhere. Apart from the processed wafer, the exposed material can be any tool part. Typical contaminants are Fe, Cr, Al, Na, Cu, Mo, and W, often in particulate form [190 ]. Because the sputtered material becomes energetic as well, it may be implanted several tens of nm into the substrate in the case of ion implanters [191, 193]. This means that subsequent cleaning does not always help in removing the contamination. Instead, a screening oxide is usually deposited, which is etched off along with the contamination. There are several mechanisms that participate in the contamination process: Contaminants are sputtered off , ionized and accelerated toward the wafer. This is the case if the residence time of the contaminants is long enough for ionization. In practice this does not occur often and the con- taminants adsorb elsewhere in the system. Direct line of sight sputtering onto the wafer occurs when there is a straight path from the sputtered contaminant to the wafer. The most impor- tant contributors to this mechanism are tool parts such as clamps, Faraday cups and chamber walls. Typical contaminants are Al and stainless steel 2: Wafer Contamination and Defectivity, Bearda et al. 149 components such as Fe, Ni, and Cr [191, 194–197]. The contamination level increases with implantation dose but also depends on the sputter rate by the primary implanted species. Multi-step sputtering involves the repeated sputtering and redeposition of contamination within the system until it reaches the wafer surface. This actually is equilibrium between sputtering and redeposition rates, and an equilibration effect may be expected if the plasma chemistry or the implanted species is changed [197]. Like the direct sputtering mech- anism, this mechanism induces tool-related contamination. However, it is also responsible for cross-contamination between wafers. Examples are the sputtering of Co and Cu during contact and via etching [82, 198], or the memory effect after changing the implantation source [193]. Over years, the contamination due to sputtering has been substan- tially reduced by application of low-contamination liner materials such as Tefl on ™ [192 ], quartz [196 ], Si [194 , 197 , 199 ] and graphite [192 ]. However, the wafer itself is still a source of contamination. Also, con- taminants that originate from the ion source and having the same mass/ charge ratio as the implanted ion will not be screened by the analyzing magnet. Table 2.3-5 shows a number of interfering ions. In the case of plasma doping, the analyzing magnet is absent and all ionized impurities will be co-implanted. For this reason it is preferred to dedicate one process chamber for each implanted species [192 ].

2.3.5 Plasma reactors The comments that were made for ion implantation regarding sputtering of contaminants are equally valid for plasma processing (etching, deposition,

Table 2.3-5 Overview of Common Co-implantation Species and their Sources

Primary Beam Contaminant Source Reference

+ 98 2+ BF2 Mo Sputter source [200, 201[ W2+ Chamber walls [200] 11B+ Collisions and [202] + dissociation of BF2 28 +14 + Si N2 Air leak 31P+ 62Ni2+ 150 Introduction and Overview or resist ashing), except that the contaminants are not implanted as deeply into the substrate [203–205 ]. However, there are several other types of contamination associated with plasma processing.

2.3.5.1 Particle contamination Particles may be formed inside the reaction chamber due to fl aking of deposited material from the chamber walls, material sputtered, or reaction of process gases with any material in the chamber. The smallest particles are formed from the process gases at the substrate surface. After formation, they travel away from the surface, and grow by agglomeration [206–208 ]. Finally, they become trapped in the plasma sheath. The motion of the par- ticles is governed by a combination of thermophoresis (i.e., a temperature gradient) and electrostatic interaction [209–211 ]. It should be noted that most particles would be charged, which will affect the way they interact with the plasma. Once the plasma is turned off, the particles can fall down on the substrate [212 , 213 ]. Particles formed in plasma deposition tools can increase surface roughness.

2. 3.5.2 Post-etch residue and resist During reactive ion etching, using perfl uorocompounds (PFC), poly- meric residues are formed that deposit on the substrate and chamber parts during etching. Sometimes, it is a desirable feature of the technology that these polymers also deposit on IC circuit sidewalls and protect the struc- ture from lateral etching. However, when not removed, the residues can cause an increase of interconnect resistance and current leakage [214] and other undesirable electrical problems. The post-etch residues typically consist of a combination of C, F, O, and Si and any other material that is exposed to the plasma during etching [215, 216] that may be sputtered onto the wafer surface. The inclusion of metallic or other non-volatile species makes removal of the residues more diffi cult. Chapter 6 outlines the post-etch polymers that may be encountered. Plasma stripping can removes some of the residues; for complete removal of the residues an additional liquid cleaning step involving solvents or aqueous based solutions is usually required. Each of these methods has several negative effects, making residue removal very complex [217 ]. Chapter 6 describes the plasma stripping and cleaning processes and Chapter 4 deals with wet cleaning and stripping techniques. 2: Wafer Contamination and Defectivity, Bearda et al. 151

Oxygen plasma not only removes residues, but also oxidizes the sub- strate. This may increase the contact resistance of conductor layers, thus making an additional cleaning step necessary. Resist stripping with O 2 plasma is successfully performed on many fi lms without detrimental effects. However, exposure to O 2 plasma reduces the hydrophobicity of organic low- k dielectrics, such as CDO (carbon-doped oxide). Porous low- k dielectrics rendered hydrophilic will then take up moisture, which is diffi cult to remove, resulting in an increase of the dielectric constant. Additionally, C is abstracted from the CDO material, causing a further increase of the dielectric constant. Treatment may be required to repair the damaged low-k layer [152 , 216 ].

Alternatives to oxygen plasma, such as argon sputter cleans, NF 3 or reducing chemistries (He – H2 , N 2 – H2 , and NH3 ) exist [215 , 218 ] (see also Chapter 6). However, such chemistries may also attack chamber parts and cause particle contamination [219 ] or damage the underlying low-k layer. The wet cleaning mixtures that are used after oxygen plasma stripping often contains amines and organic solvents. Without countermeasures, the amines can cause severe corrosion of metal layers [ 127 , 177– 179 , 214 ]. Corrosion can reduce yield due to an increase of contact resistance, or undercutting of dielectric layers. Therefore, corrosion inhibitors are frequently added. Sulfuric acid/hydrogen peroxide mixture (SPM) is used to remove the remaining organic residue after plasma stripping typically when metals are not present. A specifi c problem with SPM is its high viscosity, making it diffi cult to rinse off the chemical after clean. This then may give rise to time-dependent haze (see Section 2.3.1). The use of SPM is further discussed in Chapters 1 and 4. In addition, the entire process from etching with PFC chemistry to sol- vent-based liquid cleaning poses an environmental waste problem and a risk for safety and health [220]. Alternative etching formulations [221] and aqueous cleaning mixtures [179 , 216 ] are therefore desirable. Also the use of supercritical CO2 for residue removal is investigated, refer to Chapter 7. The large range of formulations, with different physical and chemical properties, requires a careful choice of tool materials and fi lters to ensure optimum resist stripping while avoiding degradation or contami- nation issues [222 ]. A particular diffi culty with the evaluation of residue removal cleaning effi ciency is the diffi culty in evaluating the cleanliness of vias and deep trenches. For representative results, cross-section scanning electron micro- scope (SEM) at different positions of the wafer may be required [216]. An additional complication is that residues can react over time with ambient air, giving rise to uncontrolled variations in cleaning performance. Also, 152 Introduction and Overview thickness variations of photoresist and density variations of vias can impact the amount of residues in the vias [20].

2. 3.6 Wafer handling, transport and carriers In many cases physical contact between the wafer and a tool is required, either to transport the wafer from one place to another (using vacuum tweezers or end effectors) or to hold the wafer in a fi xed place (using wafer carriers, chucks, etc.). If a wafer is contaminated, it may transfer part of the contamination to the tool, which can then spread the contamination to other wafers. For this reason, it is desirable to minimize the contact area between the wafer and the tool. Important factors are the contact force, the material properties, and the surface morphology of the tool and the wafer. Physical contact is also a direct source of contamination caused by abrasive or adhesive wear. The area of contact between two solid surfaces is limited to points of contact between surface asperities. The load applied to the surfaces will be transferred through these points of contact and the local- ized forces can be very large. This results in plastic fl ow of the softer mate- rial (either tool or wafer), which generates particles and scratches. The total volume Vwear of material that is generated by wear can be approximated by

FL = load VKwear Eq. (2.3-44) H

where F load is the normal force at the interface, L is the sliding distance, H is the hardness of the material, and K is a wear coeffi cient that depends on material properties, temperature, and the presence of lubricants. For example, it has been found that particle contamination from PEEK (poly- ether – etherketone) is signifi cantly below that of PP (polypropylene) or PBT (polybutylene terephthalate) [41 , 223 ].

2. 3.7 Thermal processing In the past, contaminants originating from heater elements were known to diffuse through the quartz tube and deposit on the wafer surface [224 ]. Currently, through careful design and selection of materials, furnaces are usually very clean. Instead, processes can induce contamination, such as fl aking of deposited material from the furnace walls, or gas phase particle formation. Also, contamination on wafers can evaporate at elevated 2: Wafer Contamination and Defectivity, Bearda et al. 153

temperatures and redeposit elsewhere in the furnace. Using the vapor pres- sure of the contaminant [65] and the ideal gas law, one can easily obtain the maximum equilibrium metal concentration in the gaseous phase. If all gas-phase contaminants in a 1 cm thick layer above the wafer surface would deposit on the wafer, then one can determine the surface concentra- tion on the wafer. According to this rough estimate, a vapor pressure of approximately 1 × 10 –4 Pa results in approximately 1 × 1010 atoms/cm2 on the wafer surface. At a temperature of 1000 ° C, many species have a lower vapor pressure. Notable exceptions are group I and group II metals (Na, K, Ca, Mg, Sr, and Ba), and Al, Zn, Pb, and Mn. Some metal oxides and also metal chlorides have higher vapor pressures (addition of Cl during dry oxi- dation is actually used to remove residual contaminants from the wafer).

2. 3.8 Vacuum processing Vacuum systems are essential for enabling plasma processing and thin layer deposition. They allow a precise control over processing conditions and eliminate trace amounts of contamination such as particles, moisture and unwanted gases. The use of ultra-high vacuum wafer carriers has actu- ally been proposed for better control over wafer contamination [225 ]. Vacuum systems operating under high and ultra-high vacuum condi- tions are very sensitive to contamination in the vacuum chamber. In most cases, the contamination originates from outgassing of construction mate- rials (hydrocarbons), from permeation of gases through seals (N 2 , O2 and H 2O), or desorption of gas molecules that are adsorbed on the wafer or the chamber walls (H2 , moisture). Moisture can originate from venting with gas containing moisture, but also from the wafer surface itself. The most obvious effect of these contaminants is to increase the pump down time. However, contaminants may also adsorb on surfaces, for example when using SEM vacuum systems a reduction in the resolution is often observed. For sputter systems, contaminants can adsorb on the target while the system is idle, so that the fi rst few nanometers of a sputtered layer is relatively rich in contaminants [226]. Hydrocarbons deposited on wafers can affect subsequent processing steps [207]. Adsorbed contami- nants, such as AMC, can cause corrosion of metallic components of the vacuum system or of metal layers on the wafer itself. Adsorption can be dominated by physical (van der Waals) forces or by chemical forces. In contrast to chemical adsorption, physical adsorption is always reversible and not limited to adsorption of one monolayer. The rate of adsorption of molecules at a surface is proportional to the fl ux of incident molecules Jc and to the condensation coeffi cient a c : 154 Introduction and Overview

a p ==c RJccca Eq. (2.3-45) 2pmkT where p is the partial pressure and m is the molecular mass. Using Eq.

(2.3-45) , and assuming ac = 1, the time that it takes for monolayer adsorp- tion can be estimated (refer to Table 2.3-6). The condensation coeffi cient depends on properties of the adsorbing molecule and of the surface. It also depends on the amount already adsorbed at the surface; it is often assumed that ac is proportional to the surface fraction (1–q ) still available for adsorption: a c = a 0 (1 – q ). Also, chemical adsorption is often charac- terized by a potential barrier, which can be accounted for by assuming an

Arrhenius behavior of a c . The rate of desorption is proportional to the amount of molecules adsorbed at the surface:

NN ==cc−QRT/ Rd e Eq. (2.3-46) tt 0

Table 2.3-6 Overview of Vacumm Applications in Semiconductor Manufacturing

Process Type Pressure range Time required (Torr) (Pa) for monolayer adsorption (sec)

wet processes 579 105 oxidation, high-temperature epi Lithography 1 – 10–3 102 – 10–1 chemical vapor 10–3 – 10–5 10–1 – 10–3 10–3 – 10–1 deposition low-T epi plasma etch metallization (Sputtering) ion implantation 10–6 – 10–8 10–4 – 10–6 100 – 102 metrology (SEM) <10–9 <10-7 103 – 105 molecular beam epitaxy 2: Wafer Contamination and Defectivity, Bearda et al. 155 where t is a time constant which describes the average residence time of the molecule at the surface. In this equation, t depends on the period of –13 vibration of the adsorbed molecule (typically t 0 = 1 × 10 seconds) and the bonding enthalpy Q. For physical adsorption, Q = 5 – 40 kJ/mol while for chemical adsorption Q = 40 – 800 kJ/mol.

The net rate of change is given by Eqs. (2.3-45) and (2.3-46) as R c – Rd . In equilibrium when R c – Rd = 0, the concentration of adsorbed molecules can be calculated:

atp = c0 −QRT/ Nc e Eq. (2.3-47) 2pmkT

References

1. Bowling, R., J. Electrochem. Soc., 132:2208 (1985). 2. Busnaina, A. A., Lin, H., Moumen, N., Feng, J.-W., and Taylor, J., IEEE Trans. Semiconductor Manufacturing, 15:374 (2002). 3. Kumar, D. H., Patel, H. E., Kumar, R. V. R., Sundararajan, T., Pradeep, T., and Das, S. K., Phys. Rev. Lett., 93:144301 (2004). 4. Bruls, E., IEEE Trans. Semiconductor Manufacturing, 8:121 (1995). 5. Kuper, F., van der Pol, J., Ooms, E., Johnson, T., Wijburg, R., Koster, W., and Johnston, D., IEEE Proc. Int. Reliability and Physics Symp., p. 17 (1996). 6. Pecht, M. and Ramappan, V., IEEE Trans. Components, Hybrids, and Manu- facturing Technology, 15:1160 (1992). 7. Tang, S. M., IEEE Proc. Annual Reliability and Maintainability Symposium, p. 185 (1996). 8. Bichebois, P., IEEE Workshop on Defect and Fault-tolerance in VLSI Systems, p. 124 (1996). 9. Kooperberg, C., IEEE J. Solid-State Circuits, 23:887 (1988). 10. Stapper, C. H. and Rosner, R. J., IEEE Trans. Semiconductor Manufacturing, 8:95 (1995). 11. Kuo, W. and Kim, T., Proc. IEEE, 87:1329 (1999). 12. Barnett, T. S., Singh, A. D., and Nelson, V. P., IEEE Trans. Reliability, 52:296 (2003). 13. Kim, T. and Kuo, W., IEEE Trans. Semiconductor Manufacturing, 12:485 (1999). 14. Lall, P., IEEE Trans. Reliability, 45:3 (1996). 15. Lakshminarayanan, V., Test & Measurement Europe, p. 21 (August/Septem- ber 2000). 16. Osenbach, J. W., Semicond. Sci. Technol., 11:155 (1996). 17. Stapper, H. C., IBM J. Res.Develop., 31:641 (1987). 18. Michalka, T. L., Varshney, R. C., and Meindl, J. D., IEEE Trans. Semicon- ductor Manufacturing, 3:116 (1990). 156 Introduction and Overview

19. Hess, C., Stashower, D., Stine, B. E., Verma, G., Weiland, L. H., Miyamoto, K., and Inoue, K., IEEE Proc. ICMTS, Intl. Conference on Microelectronic Test Structures, p. 57 (2000). 20. The International Technology Roadmap for Semiconductors , ITRS, Semi- conductor Industry Association, Austin, TX (2006). 21. Skumanich, A., and Ryabova, E., IEEE Proc. Int’l. Conference on Micro- electronic Test Structures, p. 179 (2002). 22. Stapper, H. C., IBM J. Res. Develop., 27:549 (1983). 23. ISO14644-1: International Organization for Standardization, Cleanrooms and Associated Controlled Environments—Part 1: Classifi cation of Air Cleanliness (1999). 24. FS-209E: Institute of Environmental Sciences, Federal Standard 209E, Airborne Particulate Cleanliness Classes in Clean-rooms and Clean Zones (1992). 25. Maly, W., Heineken, H. T., and Agricola, F., Semiconductor International, 7:148, (1994). 26. Nagaishi, H., Fukui, M., Asakura, H., and Sugimoto, A., IEEE Trans. Semi- conductor Manufacturing, 16:446 (2003). 27. Heineken, H. T. and Maly, W., Proc. Conf. Design, Automation, and Test in Europe, (Washington, D.C.), p. 563, IEEE Computer Society (1998). 28. Stapper, H. C., IBM J. Res. Develop., 28:461 (1984). 29. Heineken, H. T. and Maly, W., Proc. ICCAD. Digest of Technical Papers, IEEE, p. 368 (1996). 30. Christie, P. and de Gyvez, J. P., IEEE Trans. VLSI Systems, 11:55 (2003). 31. Deen, W. M., Analysis of Transport Phenomena, Oxford University Press, New York, p. 455 (1998). 32. Tsai, C.-H., Wang, C.-M., and Lo, W.-C., IEEE Semiconductor Manufacturing Technology Workshop, p. 230 (2000). 33. Osaka, T., and Hattori, T., IEEE Trans. Semiconductor Manufacturing, 11:20 (1998). 34. Suni, I. I., Electrochem. and Solid-State Letters, 1:94 (1998). 35. Lin, H., Busnaina, A. A., and Suni, I. I., Surface Engineering, 18:233 (2002). 36. Pourbaix, M., Atlas of Electrochemical Equilibria in Aqueous Solutions, Houston, Texas: National Association of Corrosion Engineers (1974). 37. Okorn-Schmidt, H., IBM J. Res. Develop., 43: 351 (1999). 38. Lee, W., Torek, K. J., Palsulich, D. A., and Weston, L., Proc. Mat. Res. Soc. Symp., 477:57, Materials Research Society (1997). 39. Fung, C. D., Cheung, P. W., and Ko, W. H., IEEE Trans. Electon. Dev., 33: 8 (1986). 40. Kühnhold, R., and Ryssel, H., Sensors & Actuators B68:307 (2000). 41. Srinivasan, R. S., IEEE Proc. Int. Symp. Semiconductor Manufacturing, p. 45 (1997). 42. aeronet.gsfc.nasa.gov. Oostende, Belgium, July 2002. 43. Kamoshida, M. M., Inui, H., Ohta, T., and Kasama, K., J. Appl. Phys., 78:4238 (1995). 44. Kamoshida, M. M., Inui, H., Ohta, T., and Kasama, K., J. Appl. Phys., 88:4303 (2000). 45. Paillet, C., Papon, A. M., Joly, J. P., Tardif, F., Levy, D., Barla, K., and Patru- no, P., 4th International Symposium on Cleaning Technology in Semiconductor 2: Wafer Contamination and Defectivity, Bearda et al. 157

Device Manufacturing, (Novak, R. E., and Ruzyllo, J., eds.), 95-20:575, The Electrochemical Society, Pennington, New Jersey (1995). 46. Bearda, T., Mertens, P. W., Holsteyns, F., De Bisschop, P., Compen, R., van Meer, A., and Heyns, M. M., Jap. J. of Appl. Phy., 44:7409 (2005). 47. Cheema, L. A., Olmer, L. J., Patterson, O. D., Lopez, S. S., and Burns M. B., Advanced Semiconductor Manufacturing 2002 IEEE/SEMI Conference and Workshop, p. 64 (2002). 48. Hu, S.-H. and Wu, T.-M., IEEE Trans. Semiconductor Manufacturing, 16:660 (2003). 49. Saravanan, C., Liu, Z., Yang, W., Swisher, M.F., and Tang, A. MICRO, 22(3):29 (April, 2004). 50. Liu, Z. Saravanan, C. Yang, W., Tang, A., and Bowman B., Proc. 5th Int. Conf. Microelectronics and Interfaces, p. 20, American Vacuum Society, New York (2004). 51. Bearda, T., Vander Mot, I., Van den Broeck, K., Van Hoornick, N., Van Hoeymissen, J., and Mertens, P., Solid State Phenomena, 103–104:269 (2004). 52. Morinaga, H., Hoshino, T., Omura, Y., Kitagawa, M., and Aoki, M., Clea- ning Technology in Semiconductor Device Manufacturing VI (Hattori, T., Novak, R. E., and Ruzyllo, J., eds.), 99-36:585, Electrochemical Society, Pennington, NJ (1999). 53. Mori, Y., Uemura, K., and Shimanoe, K., J. Electrochem. Soc., 142:3104 (1995). 54. Pourbaix, M., Atlas of Electrochemical Equilibria in Aqueous Solutions, Houston, Texas: National Association of Corrosion Engineers (1974). 55. Lide, D. R. (ed.), Handbook of Chemistry and Physics, 77th ed. CRC Press Inc. (1996-1997). 56. Smith, R. M., and Martell, A. E., Critical Stability Constants, Vol. 4: Inor- ganic Complexes, New York/London, Plenum Press (1976). 57. Loewenstein, L., Charpin, M. F., and Mertens, P. W., J. Electrochem. Soc., 146:719 (1999). 58. Misra, A., Schmidt, B. L., Hall, L., Sees, J., and Hurd, T. Q., Semiconductor Fabtech, 9:173 (1999). 59. Teerlinck, I. Ph.D Thesis, University of Ghent (2002). 60. Morinaga, H., Suyama, M., and Ohmi, T., J. Electrochem. Soc., 141:2834 (1994). 61. Teerlinck, I., Gomes, W. P., Strubbe, K., Mertens, P. W., and Heyns, M. M., Electrochemical Processing in ULSI Fabrication and Semiconductor/Metal Deposition II Proc., 99-9:156, (Andricacos, P. C., Searson, P. C., Reidsema- Simpson, C., Allongue, P., Stickney, J. L., and Oleszek, G. M., eds.), The Electrochemical Society, Pennington, New Jersey (1999). 62. Choi, G.-M., and Ohmi, T., J. Electrochem. Soc., 148:G241 (2001). 63. Takiyama, M., Ohtsuka, S., Hayashi, S., and Tachimori, M., Proc. 7th Int. Symp. Silicon Materials Science and Technology, 94-10:346, The Electro- chemical Society (1994). 64. Mertens, P. W., Rotondaro, A. L. P., Meuris, M., Schmidt, H. F., Heyns, M. M., and Gräf, D., Proc. IES 40th Annual Technical Meeting, p. 325, Institute of Environmental Science (1994). 65. Smith, A. L., Wada, K., and Kimerling, L. C., J. Electrochem. Soc., 147:1154 (2000). 158 Introduction and Overview

66. Myers, S. M., Seibt, M., and Schröter, W., J. Appl. Phys., 88:3795 (2000). 67. Istratov, A. A., Hieslmair, H., and Weber, E. R., Appl. Phys., A69:13 (1999). 68. Istratov, A. A., and Weber, E. R., J. Electrochem. Soc., 149:G21 (2002). 69. Wöhlbier, F. H., Vol. 47 of Diffusion and Defect Data, Solid State Data, Aedermannsdorf, Trans Tech Publications Inc. (1986). 70. Fisher, D. J. (ed.), Diffusion in Silicon, 10 Years of Research, Trans Tech Publications Inc. (1998). 71. Schröter, W. and Seibt, M., Solubility and Diffusion of Transition Metal Im- purities in c-Si, in Properties of Silicon (Hull, R., ed.), p. 543, INSPEC, London (1999). 72. Maex, K., and van Rossum, M., Properties of Metal Silicides, INSPEC, London (1995). 73. Vermeire, B., and Parks, H. G., Proc. Adv. Semicond. Manuf. Conf., p. 367 (2000). 74. Falster, R., Semiconductor Fabtech, 13:187 (2001). 75. Matsukawa, K., Kimura, Y., Yamamoto, H., and Mashiko, Y., Proc. IEEE Int. Rel. Phys. Symp., 39:299 (2001). 76. Istratov, A. A., Huber, W., and Weber, E. R., J. Electrochem. Soc., 150:G244 (2003). 77. Seibt, M., and Graff, K., J. Appl. Phys., 63:4444 (1988). 78. Smith, A. L., Wada, K., and Kimerling, L. C., J. Electrochem. Soc., 147:1154 (2000). 79. McBrayer, J. D., Swanson, R. M., and Sigmon, T. W. J. Electrochem. Soc., 133:1242 (1986). 80. Francois-Saint-Cyr, H. G., Stevie, F. A., McKinley, J. M., Elshot, K., Chow, L., and Richardson, K. A., J. Appl. Phys., 94:7433 (2003). 81. Shacham-Diamand, Y., Dedhia, A., Hoffstetter, D., and Oldham, W. G., J. Electrochem. Soc., 140:2427 (1993). 82. Gonella, R., Motte, P., and Torres, J., 2000 IEEE Int. Integrated Reliability Workshop Final Report, p. 189 (2000). 83. Mallikarjunan, A., Murarka, S. P., and Lu, T.-M., Appl. Phys. Lett., 79:1855 (2001). 84. Loke, A. L. S., Wetzel, J. T., Townsend, P. H., Tanabe, T., Vrtis, R. N., Zussman, M., Kumar, D., Ryu, C., and Wong, S. S., IEEE Trans. Electron Devices, 46:2178 (1999). 85. Puga, M. M. S., Hummel, R. E., and Burk, D. E., Semicond. Sci. Technol., 7:1058 (1992). 86. Furihata, J.-I., Nakano, M., and Mitani, K., Jpn. J. Appl. Phys., 39:2251 (2000). 87. Kononchuk, O., Korablev, K. G., Yarykin, N., and Rozgonyi, G. A., Appl. Phys. Lett., 73:1206 (1998). 88. McBrayer, J. D., Swanson, R. M., and Sigmon, T. W., J. Electrochem. Soc., 133:1242 (1986). 89. Sakurai, H., Iwase, M., Shimizaki, A., and Nadahara, S., Proc. Semicond. Manuf. Symp., p. 163 (2001). 90. Ramappa, D. A., and Henley, W. B., J. Electrochem. Soc., 146:3773 (1999). 91. Goshtagore, R. N., J. Appl. Phys., 40:4374 (2001). 92. Isenberg, J., Reber, S., and Wartab, W., J. Electrochem. Soc., 150:G365 (2003). 2: Wafer Contamination and Defectivity, Bearda et al. 159

93. Lim S., Machuca, F., Liao, H., Chiarello, R. P., and Helms, R. C., J. Electro- chem. Soc., 147:1136 (2000). 94. Chiarello, R. P., Liao, H., Helms, C. R., and Craig, S., High Purity Sili- con (Claeys, V., C. L., Rai-Choudhury, P., Watanabe, M., Stallhofer, P., and Dawson, H. J.), 98-13:264, The Electrochemical Society, Pennington, New Jersey (1998). 95. Bearda, T., De Gendt, S., Loewenstein, L., Knotter, M., Mertens, P., and Heyns, M., Diffusion and Defect Data, B65-B66:11 (1999). 96. Sebel, P. G. M., Hermans, L. J. F., and Beijerinck, H. C. W., J. Vac. Sci. Technol., A17:755 (1999). 97. Harper, J. M. E., Charai, A., Stolt, L., d’Heurle, F. M., and Fryer, P. M., Appl. Phys. Lett., 56:2519 (1990). 98. Alford, T. L., Jaquez, E. J., Theodore, N. D., Russell, S. W., Diale, M., Adams, D., and Anders, S., J. Appl. Phys., 79:2074 (1996). 99. Honda, K., Ohsawa, A., and Toyokura, N., Appl. Phys. Lett., 45:270 (1984). 100. Wendt, H., Cerva, H., Lehmann, V., and Pamler, W., J. Appl. Phys., 65:2402 (1989). 101. Mertens, P. W., Baeyens, M., Moyaerts, G., Okorn-Schmidt, H. F., Vos, R., Waele, R., Hatcher, Z., Hub, W., De Gendt, S., Knotter, M., Meuris, M., and Heyns, M. M., 5th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, (Novak, R. E. and Ruzyllo, J., eds.) 97-35:176, Electrochemical Society, Pennington, New Jersey, (1997). 102. De Gendt, S., Knotter, D. M., Kenis, K., Mertens, P. W., and Heyns, M. M., J. Electrochem. Soc., 145:2589 (1998). 103. Vos, R., unpublished results, 2002. 104. Sze, S. M., Physics of Semiconductor Devices, Wiley-Interscience, New Jer- sey (1969). 105. Schroder, D. K., IEEE Trans. El. Dev., 44:160 (1997). 106. Murakami, Y., and Shingyouji, T., J. Appl. Phys., 75:3548 (1994). 107. Vanhellemont, J., Simoen, E., and Claeys, C., Appl. Phys. Lett., 66:2894 (1995). 108. Schröter, W., and Seibt, M., Properties of Silicon, (Hull, R., ed.), p. 561, London: INSPEC, (1999). 109. Graff, K., Metal Impurities in Silicon-device Fabrication, Berlin/Heidelberg, Springer Verlag, (1995). 110. Zoth, G., and Bergholz, W., J. Appl. Phys., 67:6764 (1990). 111. Lopes, M. C. V., Hasenack, C. M., and Baranauskas, V., J. Electrochem. Soc., 141:1621 (1994). 112. Vermeire, B., Delbridge, K., Pandit, V., Parks, H. G., Raghavan, S., Ram- kumar, K., Geha, S., and Jeon, J., Proc. Adv. Semicond. Manuf. 2002 IEEE/ SEMI Conference and Workshop, p. 299 (2002). 113. Forsland, M., and O’Reilly, S., Semiconductor Fabtech, 12:129 (2000). 114. Muller, C., Semiconductor Fabtech, 16:1 (2002). 115. Yeh, C. F., Hsiao, C. W., Lin, S. J., Hsieh, C. M., Kusumi, T., Aomi, H., Kaneko, H., Dai, B. T., and Tsai, M. S., IEEE Trans. Semiconductor Manu- facturing, 17:214 (2004). 116. SEMI Standard F21–95. 117. Shimazaki, A., Sakurai, H., Nishiki, K., and Nadahara, S., Techn. Dig. IEDM, p. 333 (2001). 160 Introduction and Overview

118. Fontaine, H., Veillerot, M., and Danel, A., Solid State Phenomena, 103- 104:365 (2004). 119. Budde, W. K., Holzapfel, J. J., and Beyer, M. M., J. Electrochem. Soc., 142:888 (1995). 120. Shive, L. W., Blank, R., and Lamb, K., MICRO, 19(3):59 (March, 2001). 121. Münter, N., Kolbesen, B. O., Storm, W., and Müller, T., Solid State Phenomena, 76-77:115 (2001). 122. MacDonald, S. A., Clecak, N. J., Wendt, H. R., Willson, C. G., Snyder, C. D., Knors, C. J., Deyoe, N. B., Maltabes, J. G., Morrow, J. R., McGuire, A. E., and Holmes, S. J. Proc. SPIE, 1446:2 (1991). 123. Kinkead, D. A., and Ercken, M., Proc. SPIE, 3999:750 (2000). 124. Ruede, D., Ercken, M., and Borgers, T., Proc. SPIE, 4346:1020 (2001). 125. Tamaoki, M., Nishiki, K., Shimazaki, A., Sasaki, Y., and Yanagi, S., Proc. Advanced Semiconductor Manufacturing Conference, p. 322 (1995). 126. Iwamoto, T., Morita, M., and Ohmi, T., Proc. IEDM (1996). 127. Ganesan, G. S., Lewis, G. L., Anderson, T., and Berg, H. M., Proc. Conf. Electronic Components and Technology, p. 68 (1996). 128. Cefalas, A. C., Sarantopoulou, E., Gogolides, E., and Argitis, P., Microelec- tronic Engineering, 53:123 (2000). 129. Hien, S., Angood, S., Ashworth, D., Basset, S., Bloomstein, T., Dean, K., Kunz, R. R., Miller, D., Patel, S., and Rich, G., Proc. SPIE, 4345:439 (2001). 130. Kinkead, D. A., MICRO 19(9):40 (October, 2001). 131. McGeary, M. J., Mertens, P. W., Vermeire, B., Heyns, M. M., Sprey, H., Lubbers, A., and M. Schaekers, Proc. Symp. Environmental, Safety, and Health Issues in IC Production, p. 115, Mater. Res. Soc (1997). 132. Saga, K., and Hattori, T., J. Electrochem. Soc., 143: 3279-3284 (1996). 133. Camenzind, M. J., Ahmed, L., and Kumar, A., Proc. Photonics West (1999). 134. Claes, M., Gendt, S. D., Kenens, C., Conard, T., Bender, H., Storm, W., Bauer, T., Mertens, P., and Heyns, M. M., J. Electrochem. Soc., 148:G118 (2001). 135. Zhu, S. B., J. IEST, p. 30 (1998). 136. Habuka, H., Shimada, M., and Okuyama, K., J. Electrochem. Soc., 148:G365 (2001). 137. Habuka, H., Ishiwari, S., Kato, H., Shimada, M., and Okuyama, K., J. Electrochem. Soc., 150:G148 (2003). 138. Rana, N., Raghu, P., and Shadman, F., J. Electrochem. Soc,. 149:F35 (2002). 139. Saga, K., and Hattori, T., Appl. Phys. Lett., 71:3670 (1997). 140. Mishima, H., Ohmi, T., Mizuniwa, T., and Abe, M., IEEE Trans., Semicon- ductor Manufacturing, 2:121 (1989). 141. Yoshida, T., Imafuku, D., Miyazaki, S., and Hirose, M., Proc. of the 3rd International Symposium on Ultra Clean Processing of Silicon Surfaces (Heyns, M., Meuris, M., and Mertens, P., eds.), p. 305 (1996). 142. De Gendt, S., Knotter, D. M., Kenis, K., Depas, M., Meuris, M., Mertens, P. W., and Heyns, M. M., Jpn. J. Appl. Phys., 37: 4649 (1998). 143. Jimbo, T., Sakai, S., Katuyama, K., Ito, M., and Tomioka, H., Proc. Semi- conductor Manufacturing Conference, p. E5, IEEE (1997). 144. Saga, K., and Hattori, T., J. Electrochem. Soc., 144:L253 (1997). 2: Wafer Contamination and Defectivity, Bearda et al. 161

145. Saga, K., and Hattori, T., J. Electrochem. Soc., 144:L250 (1997). 146. Anderson, S., E-safety Newsletter 42, CESH (July 2004). 147. Lebens, J. A., McColgin, W. C., Russel, J. B., Mori, E. J., and Shive, L. W., J. Electrochem. Soc., 143:2906 (1996). 148. Kumar, A., Ahmed, L., and Camenzind, M. J., MICRO, 19(1):41 (January, 2001). 149. Ohmi, T., Inaba, H., and Takenami, T., Microcontamination, 7(10):29 (1989). 150. Thorsness, A. G., and Muscat, A. J., J. Electrochem. Soc., 150:F219 (2003). 151. Raghu, P., Rana, N., Yim, C., Shero E., and Shadmana, F., J. Electrochem. Soc., 150:F186 (2003). 152. Mor, Y. S., Chang, T. C., Liu, P. T., Tsai, T. M., Chen, C. W., Yan, S. T., Chu, C. J., Wu, W. F., Pan, F. M., Lur, W., and Sze, S. M., J. Vac. Sci. Technol., B20:1334 (2002). 153. www.syrres.com/esc/physdemo.htm 154. Christenson, K. K., Solid State Phenomena, 103-104:147 (2004). 155. Vereecke, G., Holsteyns, F., Arnauts, S., Beckx, S., Jaenen, P., Kenis, K., Lismont, M., Lux, M., Vos, R., Snow, J., and Mertens, P. W., Solid State Phenomena, 103-104:141 (2005). 156. Vos, R., Lux, M., Xu, K., Fyen, W., Kenens, C., Conard, T., Mertens, P., Heyns, M., Hatcher, Z., and Hoffman, M., J. Electrochem. Soc., 148:G683 (2001). 157. Fyen, W., Ph.D thesis, Universiteit Leuven (2003). 158. Fyen, W., Holsteyns, F., Lauerhaas, J., Bearda, T., Mertens, P., and Heyns, M., 7th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, (Ruzyllo, J., Hattori, T., Opila, R., L., and Novak, R. E., eds.), 2001-26:91, Electrochemical Society, Pennington, New Jersey (2001). 159. Gale, G. W., Syverson, W. A., and Brigante, J. A., 5th International Sym- posium on Cleaning Technology in Semiconductor Device Manufacturing, (Novak, R. E. and Ruzyllo, J., eds.) 97-35:31, Electrochemical Society, Pennington, New Jersey (1997). 160. Kim, G., Choi, G., and Song, Y., Solid State Phenomena, 103-104:67 (2004). 161. Miya, K., Kishimoto, T., and Izumi, A., Solid State Phenomena, 103-104:79 (2004). 162. Yoshimoto, K., Stoykovich, M. P., Cao, H. B.,de Pablo, J. J., and Nealey, P. F., J. Appl. Phys., 96:1857 (2004). 163. Goldfarb, D., de Pablo, J., Nealey, P., Simons, J., Moreau W., and Angelo- poulos, M., J. Vac. Sci. Technol., B18:3313 (2000). 164. Inaba, H., Sakata, S., Yoshida, T., Okada, T., and Ohmi, T., IEEE Trans. Semiconductor Manufacturing, 5:234 (1992). 165. Bouhamama, M., and Steinman, A., Semiconductor Fabtech, 12:121 (2000). 166. Semi Standard E78. 167. De Gendt, S., Knotter, D. M., Kenis, K., Mertens, P. W., and Heyns, M. M., J. Electrochem. Soc., 145:2589 (1998). 168. Hegde, R. I., Chonko, M. A. and Tobin, P. J., J. Vac. Sci. Technol., B14:3299 (1996). 169. Lin, H. C., Ying, J. F., Yamanaka, T., Fang, S. J., and Helms, C. R., J. Vac. Sci. Technol., A15:790 (1997). 170. Houssa, M., Nigam, T., Mertens, P. W., and Heyns, M. M., Solid-State Electronics, 43:159 (1999). 162 Introduction and Overview

171. Bearda, T., PhD thesis, University of Twente, 2001. 172. Ohmi, T., Kotani, K., Teramoto, A., and Miyashita, M., Electron. Dev. Lett., 12:652 (1991). 173. Anderson, N., Vereecke, G., Heyns, M. M., and Espitalier-Noel, P., Proc. IEEE Int., Symp. Semiconductor Manufacturing, p. 77 (1997). 174. Takagi, S., Toriumi, A., Iwase, M., and Tango, H., IEEE Trans. Electron. Dev. 41:2363 (1994). 175. Gámiz, F., Roldán, J. B., López-Villanueva, J. A., Cartujo-Cassinello,P., and Carceller, J. E., Appl. Phys., 86:6854 (1999). 176. Esseni, D., IEEE Trans. Electron. Dev., 51:394 (2004). 177. Matsukawa, T., Murata, Y., Kotani, T., Kanemaru, S., and Itoh, J., Jpn. J. Appl. Phys., 41:5108 (2002). 178. Tao, X., Reis, K., Haby, B., Karnett, M., White, N., Watts, C., Delgado, M., Gardner, K., and Harris, K. R., Proc. Advanced Semiconductor Manufacturing Conference, p. 144, IEEE/SEMI (2002). 179. Small, R. J., Gorman, A. M., and Chan, Z., Semiconductor Fabtech, 8:231 (1998). 180. Chiou, W. C., Chen, Y. H., Lee, S. N., Jeng, S. M., Jang, S. M., and Liang, M. S., Proc. Int. Interconnect Technology Conference, p. 127 (2004). 181. Comizzoli, R. B., Frankenthal, R. P., Milner, P. C., and Sinclair, J. D., Science, 234:340 (1986). 182. Kobayashi, H., Proc. Int. Symp., Semicond. Manuf., p. 383, IEEE (1993). 183. Krishnan S., and Laparra, O., IEEE Trans,. Semiconductor Manufacturing 10:273 (1997). 184. Lu, G., Rubloff, G. W., and Durham, J., IEEE Trans., Semiconductor Manu- facturing, 10:425 (1997). 185. Maex, K., Kondoh, E., Lauwers, A., Steegen, A., de Potter, M., Besser, P., and Proost, J., Proc. Symp, Rapid Thermal and Integrated Processing, 7:297, Materials Research Society (1998). 186. Tewarson, A., Jassal, A. S., Ahmed, L., and Camenzind, M., Process Compat- ibility Parameters for Wet Bench Plastic Materials, International Sematech (1999). 187. Gutowski, T., and Shucosky, A., Proc., Semiconductor Pure Water and Chemicals Conference, 2002. 188. Meuris, M., Heyns, M. M., Mertens, P. W., Verhaverbeke, S., and Philipossian, A., Microcontamination, 10(5):31 (1992). 189. Yang, C.-C., Ko, F.-H., Wang, M.-Y., Wang, T.-K., and Wu, S.-C., J. Electro- chem. Soc., 147:3853 (2000). 190. Bala, K., Höpfner, J., and El-Kareh, B., Proc. Electronic Components and Technology Conference, p. 51, IEEE (1995). 191. Todorov, S. S., Bertuch, A. F., Polignano, M. L., and Caputo, D., Proc. Int. Conf. Ion, Implantation Technology, p. 646, IEEE (1998). 192. Walther, S., Liebert, R. B., Felch, S., Fang, Z., and Koo, B. W., Proc. Int. Conf. Ion Implantation Technology, p. 500, IEEE (2000). 193. Cheng, S. J., Chen, W. W., Yang, Y. C., and Hwang, Y. L., Proc. Int. Conf., Ion Implantation Technology, p. 719, IEEE (2000). 194. Frost, M. R., Harrington, W. L., Downey, D. F., and Walther, S. R., J. Vac. Sci. Technol., B14:329 (1996). 2: Wafer Contamination and Defectivity, Bearda et al. 163

195. Balazs, M. K., Materials and Process Characterization of Ion Implantation, Ion Beam Press (1997). 196. Richardson, B., MICRO, 18(4):39 (April, 2000). 197. Downey, D. F., Zhao, Z., Angel, G., Eddy, R. J., and Sullivan, P., Proc. Int. Conf. Ion Implantation Technology, p. 121, IEEE (1997). 198. Hughes, J., Perera, A., Hernandez, I., Parihar, S., Karupanna, K., Vasek, J., Hanna, J., Nagy, A., Lii, T., Reese, M., Rose, J., Arnold, J., Cain, J., Mattay, S., Porter, J., Razumovsky, O., Chesnut, T., Kaiser, A., and Poon, S., Techn. Dig. IEDM, p. 337 (1998). 199. Chu, P. K., Fu, R. K. Y., Zeng, X., and Kwok, D. T. K., J. Appl. Phys., 90:3743 (2001). 200. Liebert, R. B. Angel, G. C., and Kase, M., Proc. 11th Conf. Ion Implantation Technology, p. 135, IEEE (1996). 201. Benton, J. L., Jacobson, D. C., Jackson, B., Johnson, J. A., Boone, T., Eaglesh- am, D. J., Stevie, F. A., and Becerro, J., J. Electrochem. Soc., 146:1929 (1999). 202. Jacobs, C., Karnett, M., Shaw, R., and Pulvirent, L., Proc. Advanced Semi- conductor Manufacturing Conference, p. 232, IEEE/SEMI (1994). 203. Hoff, A. M., and Persson, E. J., 3rd International Symposium on Clean- ing Technology in Semiconductor Device Manufacturing, (Ruzyllo, J. and Novak, R. E., eds.), PV 94-7:587, The Electrochemical Society, Pennington, New Jersey (1994). 204. Brown, S., Ackmann, P., Wenner, V., Lowell, J., Osrout, W., and Willson, C. G., Proc. Advanced Semiconductor Manufacturing Conference, p. 316, IEEE/SEMI (1995). 205. Lee, C. S., Kang, S. Y., Woo, S. I., Baek, J. T., and Yoo, H. J., Jpn. J. Appl. Phys., 36:2096 (1997). 206. Whitby, E., and Hoshino, M., J. Electrochem. Soc., 143:3397 (1996). 207. Fujimoto, T., Okuyama, K., Shimada, M., Fujishige, Y., Adachi, M., and Matsui, I., J. Appl. Phys., 88:3047 (2000). 208. Kim, T., Suh, S.-M., Girshick, S. L., Zachariah, M. R., and McMurry, P. H., Rassel, R. M., Shen, Z., and Campbell, S. A., J. Vac. Sci. Technol., A20:413 (2002). 209. Jellum, G. M., Daugherty, J. E., and Graves, D. B., J. Appl. Phys., 69:6923 (1991). 210. MacGibbon, B. S., Busnaina, A. A., and Fardi, B., J. Electrochem. Soc., 147:2901 (1999). 211. Piazza, R., J. Phys. Condens. Matter, 16:S4195 (2004). 212. Bowling, R. A., and Larrabee, G. B., J. Electrochem. Soc., 136:497 (1989). 213. Ito, N., Moriya, T., and Uesugi, F., Doi, H., Sakamoto, S., and Hayashi, Y., J. Vac. Sci. Technol., B16:3339 (1998). 214. Rosato, J. J., Future Fab, 8:Page (2000). 215. Park, H.-H., Kwon, K.-H., Lee, J.-L., Suh, K.-S., Kwon, O.-J., Cho, K.-I., and Park, S.-C., J. Appl. Phys., 76:4596 (1994). 216. Fury, M. A., Proc. from the Electrochemical International Semiconductor Technology Conference, Shanghai (2004). 217. Baklanov, M. R., Le, Q. T., Kesters, E., Iacopi, F., Aelst, J. V., Struyf, H., Boullart, W., Vanhaelemeersch, S., and Maex, K., Proc. Int. Interconnect Technology Conference (IITC), p. 187 IEEE (2004). 164 Introduction and Overview

218. Matsuo, P. J. ,Standaert, T. E. F. M., Allen, S. D., Oehrlein, G. S., and Dalton, T. J., J. Vac. Sci. Technol., B17:1435 (1999). 219. MacGibbon, B. S., Busnaina, A. A., and Fardi, B., J. Electrochem. Soc., 146:2901 (1999). 220. Koito, T., Hirano, K., and Nakabeppu, K., IEEE Trans. Semiconductor Manufacturing, 15:429 (2002). 221. Kastenmeier, B. E. E., Oehrlein, G. S., Langan, J. G., and W. Entley, R. , J. Vac. Sci. Technol. A, 18:2102 (2000). 222. Zahka, J., Blum, R., Olson, C. R., and Rajaram, B., MICRO, 17(3):85 (March, 1999). 223. Bhatt, S. M., MICRO, 17(5):37 (May, 1999). 224. Schmidt, P. F., J. Electrochem. Soc., 130:196 (1983). 225. Bowling, A., and Davies, C., Semiconductor International, vol:45 (1994). 226. Hashim I., Raaijmakers I. J., Park S.-E., and Kim K.-B., J. Vac. Sci. Technol. A15:1305 (1997). 3 Particle Deposition and Adhesion

Ahmed Busnaina

The NSF Center for Nano and Micro-Contamination Control, Northeastern University, Boston, MA, USA

Kaveh Bakhtari

The NSF Center for Nano and Micro-Contamination Control, Northeastern University, Boston, MA, USA

Jin-goo Park

Dept. of Materials Engineering, Hanyang University, Ansan, Korea

3.1 Introduction to Particle Deposition and Adhesion

Contamination by small particles is a major problem in many industries such as semiconductor, storage, imaging, pharmaceutical, aerospace, auto- motive, food, medical equipment, etc. This chapter covers the particulate contamination that may occur during the processing of wafers for integrated circuit manufacturing (IC). Contaminant particles that may deposit on the wafer surface typically range in size from several hundred microns to less than 10 nm. Surface contamination can result from particles deposited in the IC manufacturing environment as well as from particles generated by the manufacturing process or process tools. Improving the clean environment and controlling the cleanliness of process tools can solve only part of the contamination problem. Types of particles and other contamination that reside on the wafer surface are covered in Chapter 2. Surface particulate contamination is one of the primary reasons for yield problems in the IC industry. The adhesion forces of small particles are greatly affected by the processes that the substrate may be exposed to such as thin fi lm deposi- tion, polishing, etching, cleaning, rinsing, and drying steps.

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 167–200 © 2008 William Andrew Inc.

167 168 Wet Chemical Processes

The adhesion forces to be considered include van der Waals electro- static forces and chemical bonds. Chemical bonds are usually orders of magnitude larger than the van der Waals force. As the size of the circuit line width decreases below 40 nm, the contamination becomes more critical especially with respect to nanoscale particles at or below 40 nm. The removal of particles requires the understanding of all the forces involved and their transport, deposition and adhesion mechanisms. There is a need for effi cient and reliable particle removal techniques capable of removing very small particles without causing surface damage. Chapters 4, 6, and 7 discuss various types of particle removal techniques. This chapter consists of three parts. The fi rst part, Section 3.2, focuses on particle transport and deposition mechanisms in both gaseous and liquid media including all possible forces that may affect the particles. The second part, Section 3.3, covers particle adhesion, with a description of the various forces involved in the mechanisms of adhesion, including adhesion force measurements. The third part, Section 3.4, summarizes the adhesion forces and additional information about particle removal is included as related to the adhesion and transport.

3. 2 Particle Transport, Deposition, and Adhesion

3.2.1 Particle deposition mechanisms in gas and liquid media During semiconductor processing and other high-precision manufacturing processes, particles may be deposited on a wafer or a substrate during manu- facturing. Particle adhesion forces in different mediums will be discussed in this section.

3. 2.2 Drag and lift forces The drag force is one of the main forces that affect particle deposition and removal. The drag force is a function of velocity, viscosity, density, and the geometry and size of the particle, and is the force exerted on the particle to remove it from the wafer surface. The coeffi cient of drag force,

CD , measured for a sphere immersed in a fl uid is given by:

F = D CD Eq. (3.2-1) 1/2r AU 2 l 3: Particle Deposition and Adhesion, Busnaina et al. 169

where F D is the drag force, U is the velocity of the body relative to the medium, rl is the density of the liquid, and pD2 A = Eq. (3.2-2) 4 is the cross-sectional area, A , of the sphere. As the diameter of a particle, D , approaches the mean free path of the fl uid, the particle fl uid no-slip boundary condition no longer holds giving rise to slip conditions. The drag force on a spherical particle in a Newtonian fl uid can be expressed by the following equation:

C p FDU= D r 22 DlC 8 Eq. (3.2-3) C where C C is the Stokes-Cunnigham slip correction, rl is the density of the liquid. Cunningham derived a correction factor for Stoke’s law to account for the slip effect [ 1 ]. The expression for C C is

CKn=+1 2() 1.275 + 0.400e−0.550Kn C Eq. (3.2-4)

The Kundsen number, Kn , is used to describe the interaction between the particle and fl uid. Kn is defi ned as:

2l Kn = Eq. (3.2-5) D where l is the fl uid’s mean free path (the average distance traveled by a molecule between successive collision). The drag force on a spherical object for a Reynold’s, Re D , number smaller than unity is as follows:

6p C = D Re Eq. (3.2-6) D

≤ F D = 3 p µ U D for R e D 1 Eq. (3.2-7) where µ is the viscosity of the medium. Equation (3.2-7) is used for a sus- pended particle without any constrains around the particle when Re < 1. Another case to analyze is when the particle is in contact with a surface. 170 Wet Chemical Processes

Since one side is constrained by the surface, the velocity profi le is different than the previous case resulting in a different drag force on the particle.

O’Neill [2 ] showed that when a uniform linear shear fl ow, FD , passes a sphere on a surface, the drag force will be:

F D = 16 µ .d p u d / 2 Eq. (3.2-8)

and the exact solution of the removal moment, MR , about the contact point, shown in Figure 3.2-1 is given by:

MR = 1.74 R F D Eq. (3.2-9) where FD is the drag force, R is the radius of the particle, µ is the viscosity of the media, and d p is the diameter of the particle, U is the free stream velocity and ud /2 is the velocity of the fl uid at the center of the particle. The other hydrodynamic force on the particle is the lift force. The lift force results from the particle being present in a shear fi eld. Saffman in 1965 [ 3 ] was the fi rst to consider the problem of lift on a sphere translating in an unbounded linear shear fl ow fi eld. Saffman’s analysis applies to a sphere moving slowly in a strong shear fi eld as shown in Figure 3.2-2 . Defi ning the Reynold’s number based on the velocity gradient, G , and kinematic viscosity u as

2 = GD ReG Eq. (3.2-10) u

Figure 3.2-1 Schematic of the drag force and moment on a particle. 3: Particle Deposition and Adhesion, Busnaina et al. 171

Figure 3.2-2 Schematic of the lift force in a shear fl ow in Cartesian coordinate L P system (X1, X2) [3]. Where F is the lift force on the particle, U is the particle velocity, and u1 is the free stream velocity. Reprinted from Journal of Fluid Mechanics, Cambridge University Press.

the restrictions on Saffman’s analysis can be stated as:

Re>> Re Eq. (3.2-11) GP

Saffman also assumed that both Re G and Re P are small compared to unity. The expression for the lift on a particle in a simple shear fl ow is given as:

1.615md 2 ddux LP=−12 Eq. (3.2-12) Fu()1 U u12 ddux12 12

McLaughlin [4 ] generalized Saffman’s analysis by removing the restric- tion imposed by Eq. (3.2-13) and derived a general expression for the lift force. The parameter e was defi ned as:

Re e = G Re Eq. (3.2-13) P 172 Wet Chemical Processes e → ∞ corresponds to the Saffman lift equation (3.2-14) . The expression given by McLaughlin for the lift force is:

1.615medJ2 ddux () FuLP=−12()U 12 12 1 Eq. (3.2-14) u ddux 2.255 12

The function J depends on the magnitude of e and is given by the approxi- mation relations:

J ( e ) = 2.255 – 0.6463 /e 2 for e >> 1 Eq. (3.2-15)

J ( e ) = – 3 2 p 2 e 5 l n ( 1 / e2 ) for e < < 1 Eq. (3.2-16)

The function J can be considered to be a dimensionless lift force which has a value 2.255 as e → ∞. It can be seen that, as the value of | e | decreases, J decreases rapidly.

3.2.3 Gravitational force In the presence of a gravitational fi eld, a particle will experience a body force equal to the mass of the particle multiplied by the gravitational accel- eration due to gravity, g i. For aerosols, the buoyancy of the particle is neg- ligible and therefore gravity is the only body force acting on the particle. In liquids, the buoyancy is comparable to the gravitational force, g , and

Eq. (3.2-17) can be used to calculate the total body force, FBody acting on the particle.

4 =−3 () FrBody prrp m g Eq. (3.2-17) 3 where r p and r m are the density of the particle and medium, respectively, and r is the particle radius. It can be shown from Eq. (3.2-17) that if the particle density is in the same order as the density of the medium, the net body force on the particle will be zero.

3.2.4 Electrophoresis Electrophoresis is the movement of an electrically charged substance under the infl uence of an electric fi eld. This movement is due to the Lorentz 3: Particle Deposition and Adhesion, Busnaina et al. 173 force [5 ], which may be related to fundamental electrical properties of the body under study and the ambient electrical conditions by the equation given below:

F E = E q Eq. (3.2-18) where F E is the electric force on the particle, E is the electric fi eld intensity, and q is the net charge associated with the particle. In a constant and homoge- neous electric fi eld a charged particle accelerates until the drag force, which is proportional to the velocity, equals the electrostatic force. When consider- ing particle motion in a viscous medium, it is convenient to defi ne the mobil- ity, µ , of a particle as the limiting velocity attained under unit force:

µ = v / E Eq. (3.2-19) where v is the limiting velocity also known as drift or terminal velocity and E is the electric fi eld intensity. The electrophoretic mobility, µ , can be expressed in general through the z potential as:

= ex mkfR() Eq. (3.2-20) h where h is the solvent viscosity, e is the dielectric constant, k–1 is the Debye screening length, R denotes the colloidal radius, and f is a function of salt concentration and colloidal radius. Smoluchowski gave the earliest solution to the problem in 1921 [6 ] for the k R >> 1 case, which is for a thin double layer. Smoluchowski concluded that the electrophoretic mobility should have the following form known as the Helmholtz – Smoluchowski equation.

= 2ex m Eq. (3.2-21) h

H ü ckel solved the electrophoresis problem for the opposite extreme condition of a very thick double layer (k R << 1) in 1924 [ 7 ]. In this case, the fi eld lines are almost unaffected by the particle and H ü ckel equation is as follows:

2ex m = Eq. (3.2-22) 3h 174 Wet Chemical Processes

3.2.5 Brownian motion Brownian motion is named after the Scottish botanist Robert Brown who was the fi rst to study such random motion and fl uctuations in 1827 [ 8 ]. If a number of particles are subjected to Brownian motion in a given medium (with no preferred direction for the random oscillations,) then over a period of time the particles will spread evenly throughout the medium. Thus, if A and B are two adjacent regions and, at time t, A contains twice as many particles as B, at that instant the probability of a particle leaving A to enter B is twice as great as the probability that a particle will leave B to enter A . A physical process in which a substance tends to spread steadily from regions of high concentration to regions of lower concentration is called diffusion. Thus, diffusion is a macroscopic manifestation of Brown- ian motion on the microscopic level. It is thus possible to study diffusion by simulating the motion of a Brownian particle and computing its average behavior. When a small particle is suspended in a fl uid, it is subject to the collisions with the gas or liquid molecules. For fi ne particles, the instantaneous momentum (from the collision with molecules) on the particle causes the particles to move on a random path known as Brownian motion. The effects of Brownian motion could be included in the equation of motion as an additional force term. One approach for modeling Brownian force is to use a Gaussian white noise process with spectral intensity S 0 given by [ 9 ]:

216 ukT = B S0 2 Eq. (3.2-23) pr2 DC5 () r r pp C where T is the absolute temperature of the fl uid, u is the kinematic viscosity,

kB is the Boltzmann constant, r is the density of the fl uid, r p is the density of the particle, D p is the particle diameter, and C C is the Cunningham correction factor. The amplitude of the Brownian force components is of the form:

πS F = Z 0 B ∆t Eq. (3.2-24) where ∆ t is the time step and z is the Gaussian random number bounded by

–1 and +1, and S0 is the spectral intensity. The amplitude of Brownian force 3: Particle Deposition and Adhesion, Busnaina et al. 175 components is evaluated at each time step. Depending on the application, the randomization effect of Brownian motion could also be analyzed as mean time of travel. From Einstein’s equation [10 ], the mean time t B taken for a particle to move a distance ∆ d in one dimension can be derived [ 11 ] and is given by:

3()pm Dd∆ 2 t = p B kT Eq. (3.2-25) B

3.2.6 Thermophoresis Thermophoresis is a force that arises from asymmetrical interaction of a particle with the surrounding gas molecules due to a temperature gradi- ent. In simple terms, the particle will be repelled away from a hot surface and attracted to a cold surface. Tyndall fi rst described the phenomenon in 1870 [ 12 ] when he observed a dust free zone in a dusty gas around a hot body. One of the earliest attempts to calculate the forces on spherical par- ticles in a gas at rest with an existing temperature gradient is that of Epstein in 1929 [ 13 ]. He derived expressions for the thermophoretic force and velocity acquired by the particle in the slip fl ow regime (Kn ≤ 0). Epstein’s result has been found to be in reasonable agreement with experiments for Kn ≤ 0 and for particles of low thermal conductivity. However, it seriously underestimates the thermal force on particles of high conductivity. Since Epstein, a number of attempts have been made to improve the theory to account for the discrepancies between the theory and experi- ments for high thermal conductivity particles and large Knudsen numbers. These attempts fall into the following four categories:

1. Hydrodynamic analysis based on the Navier – Stokes – Fourier theory, with slip corrected boundary conditions. 2. Analysis based on higher-order kinetic theory approximations to the continuum equations and boundary conditions. 3. Analysis which employs phenomenological equations based on postulates of irreversible thermodynamics. 4. Analysis based on the solution of Boltzmann equation.

The hydrodynamic approach is the simplest and yields the most satisfac- tory result, which is explained in this section. 176 Wet Chemical Processes

Brock in 1962 [ 14 ] carried out the fi rst hydrodynamic analysis and found the following relationship for the thermophoretic force for the near continuum limit ( Kn → 0):

k ∇T −+12pmuRCf C Kn StkT th = p Fi Eq. (3.2-26) k (1+++ 3CKn ) 1 2f 2 CKn mtk p

th Where F i is the thermophoretic force on the particle in the ‘i ’ direc- tion, C s is the thermal slip coeffi cient, C t is the temperature jump coef- fi cient, and C m is the momentum exchange coeffi cient. All of these coef- fi cients are of the order of unity and must be obtained from kinetic theory. Brock’s results were not initially in good agreement with experiments for particles of high thermal conductivity or more precisely for Kn << k f / kp . The correction between Brock’s theory and experiments was improved by adjusting the values of the coeffi cients. Modifi cations of the hydrody- namic theory since Brock have been mere improvements to the values of these coeffi cients. The collision-less limit ( Kn → ∞) for the thermophoretic force was given by Waldmann in 1966 [ 15 ] to be

2∇ th =− RT Fi 2pmu Eq. (3.2-27) lT

Examining the limit of Eq. (3.2-26) as Kn → ∞ , one can fi nd that except for a multiplication factor ( Cs / Cm ), this equation is identical to Eq. (3.2-27). Batchelor and Shen found this coeffi cient experimentally to be 1.0008. This means, only 0.08% error is involved by using Eq. (3.2-26). Therefore, Eq. (3.2-26) is a useful formula for calculating the thermo- phoresis force for the entire range of Knudsen numbers (0 ≤ Kn < ∞ ), and agrees reasonably with experiments using high thermal conductivity particles. Hinds (1982) presented the thermophoretic force on a particle of diam- eter d in terms of the fl uid pressure as [ 16 ]:

−∇2 th =

The mean free path l is a function of pressure and temperature and can be expressed as:

kT l = Eq. (3.2-29) 2pPd 2 m

where d m is the molecular collision diameter. If the molecules were hard spheres then d m would be constant and have no dependence on temper- ature. However, since the molecules are not rigid spheres, the collision diameter is a function of temperature. Bird et al., in 1960 calculated d m from the momentum transport coeffi cient to be:

12 2 MTk d =  Eq. (3.2-30) m 3pm 1000 p

where M is the mediums molecular mass. The relationship between the thermophoretic force, particle size and medium temperature can be expressed as:

FdTTth ∝∇21m − 2 Eq. (3.2-31) i

This shows that thermophoresis is independent of the pressure since P l is constant for a fi xed temperature. To show the effect of thermophoresis on particle motion, it is appropriate to fi nd the particle acceleration due to the thermophoretic force. Therefore, dividing Eq. (3.2-31) by the particle mass leads to the following relationship:

fdTTth ∝∇−−11m 2 Eq. (3.2-32) i

th where fi is the thermophoretically induced particle acceleration. Equation (3.2-32) indicates that the effect of thermophoresis is inversely proportional to the particle diameter.

3.2.7 The double layer electrostatic force When an uncharged surface is immersed into a liquid, it will attain a surface charge due to preferential adsorption of ions present in the liquid 178 Wet Chemical Processes or due to dissociation of surface groups. The fi nal surface charge has to be balanced by an equal but oppositely charged region of counter-ions, some of which are bound to the surface within the so-called Stern layer, while others form the diffuse electric double layer, both layers shown in Figure 3.2-3 . The Stern layer is the layer of counter-ions that attach to a charged surface . These ions are temporarily bound and screen the surface charge. The shear plane (slipping plane) is an imaginary surface separating the thin layer of liquid bound to the solid surface and showing elastic behavior from the rest of liquid showing normal viscous behavior. The electric potential at the shear plane is called zeta potential. The variation of potential with distance from a charged surface of arbitrary shape is described by Poisson’s equation:

r ∇⋅∇yy = ∇2 = − ee Eq. (3.2-33) ro

The ion concentration follows the Boltzmann distribution:

zey r ==∑∑zen zen exp −i ii ii∞  Eq. (3.2-34) ii kT where k is the Boltzmann’s constant, e is the electronic charge, T is the temperature, z i is valency, ni is the number of ions of type i per unit volume,

Figure 3.2-3 Schematic of zeta potential and the double layer. 3: Particle Deposition and Adhesion, Busnaina et al. 179

n i∞ is the bulk concentration, the concentration far from surface and y is defi ned as the electron potential. Combining Eqs. ( 3.2.33 ) and ( 3.2.34 ) gives the Poisson – Boltzmann equation:

zey ∇=−2y ()ezn∑ exp −i ii∞  Eq. (3.2-35) i kT

Equation (3.2-33) does not have an explicit general solution. Consider- ing the situation for which zi e y / kT < 1(y < 25 mV at 25 ° C), a linearized Poisson–Boltzmann equation is obtained:

∇ 2 y = k 2 y Eq. (3.2-36)

r∞ ez22 k2 = ∑ ii Eq. (3.2-37) i ee KT 0 and the Debye length 1/k is the characteristic thickness of diffuse electric double layer. Linearized Poisson – Boltzmann equation gives quite good results even for potential up to 50 – 60 mV [ 17 ]. Using spherical coordinates, linearized Poisson– Boltzmann equation becomes:

1d dy r 22= ky Eq. (3.2-38) 2  r ddrr → → ∞ Under the boundary conditions: r = R (particle radius), y y 0; and r , y = 0, the solution of this equation is given by:

=−−R Eq. (3.2-39) yy0 exp[ k (rR )] r

The overall electro-neutrality requires that the total charge of the diffuse double layer must be equal (and opposite) to that charge on the particle surface. If s is the surface charge density, then the condition of electro- neutrality gives:

∞ 44dpsRrr22=−∫ rp Eq. (3.2-40) R 180 Wet Chemical Processes

From Eq. (3.2-39) :

1d dy ree=− r 2 r 0 2  Eq. (3.2-41) r ddrr and using the boundary condition: r → ∞ , d y / dr = 0, Eq. (3.2-40) is obtained:

dy see=−  Eq. (3.2-42) r 0 dr R and using the expression for y given in Eq. (3.2-39) , the following equation is obtained:

qR==44(1)ps2 pee R + ky R Eq. (3.2-43) r 00

In reality the surface potential y0 cannot be measured. Within the Stern layer the problem is very complicated and the potential does not fol- low Eq. (3.2-39), only outside the Stern layer the diffuse double layer can be described by this equation. Zeta potential, x , is measured at the surface of shear, which is at the location roughly equivalent to the Stern surface.

The zeta potential will be used x instead of y 0 in Eq. (3.2.43), yielding:

qR==44(1)ps2 pee R + kx R Eq. (3.2-44) r 0

Another application for zeta potential is when two surfaces with such charges approach each other. In such case, the electrical double layer force which could be attractive or repulsive forms between them. An example is the double layer force on a particle sitting on a substrate at the presence of a solution. Analytic expressions for the forces and free energies of electrical double layer interaction, even for spherical particles, are only available as approximate expressions. Each approximate expression is derived under certain assumptions and conditions. The Hogg– Healy – Fuerstenau (HHF) approximation [13 ], which is based on the one-dimensional lin- ear Poisson – Boltzmann and Debye – H ü ckel equation, is commonly used. At small separation distances the HHF model predicts over-estimation. 3: Particle Deposition and Adhesion, Busnaina et al. 181

This equation calculated the double layer force under the assumption of constant surface potential:

−kD RR ke  2ΨΨ −  FD()=Ψ 2pee 12 ()22+Ψ 01 02 −ekD  00+ 102−Ψ+Ψ−222kD RR12 1 e  01 02  Eq. (3.2-45) where D is the separation distance between two spheres, e is the dielectric constant of the medium, e 0 is the dielectric permittivity of a vacuum, y01 and y 02 are the surface potential of the spheres, k is given by Eq. (3.2-37), k is Boltzmann’s constant, e is the electronic charge, T is the temperature, z i is valency, and r ∞ i is the number density of ions in the reference solution where the potential is taken to be zero and the Debye length 1/k is the characteristic “ thickness ” of diffuse electric double layer. The following equation, compression approximation [18 , 19 ] shows the force between fl at plates under the constant charge assumption:

4prRKT∞ BD+Υcoth(k / 2) FD() =Υ2 ln −Υln(2 +++ cosh(kkkDBDD ) sinh( )) k 1+Υ Eq. (3.2-46) where:

Υ=()/2yy + 12 Eq. (3.2-47) = yzekTy / Eq. (3.2-48)

=+Υ22 1/2 Eq. (3.2-49) BhD[1 csc (k / 2)]

Direct measurement results have shown that constant surface charge boundary conditions describes the electrical double layer interaction much better than the constant surface potential boundary condition at small separation between the two surfaces.

3.2.8 Photophoresis

Phot The photophoretic force F i is caused by the presence of light incident on a particle. Two different interactions are part of this mechanism: radio- metric effects and radiation pressure. 182 Wet Chemical Processes

FFFPhot=+ rm rp Eq. (3.2-50) iii

The following sections discuss the various forces on a particle caused by light.

3.2.8.1 Radiometry Radiometry refers to a case when an illuminating light on a particle cre- ates a temperature gradient in the particle, which in turn creates a tempera- ture gradient in the surrounding fl uid. The temperature gradient in the fl uid produces a special case of thermophoresis. The distinction between a photo- phoretic radiometric force and a thermophoretic force is that in the fi rst the temperature gradient originates from within the particle and in the second originates in the surrounding fl uid. Yalamov et al. [20 ], gave the following expression for the radiometric force on a particle when Kn <1:

2pndJKI2 F rm =− i i r Tk Eq. (3.2-51) fsp

where Ji is a measure of the asymmetry of the internal heat source, K is the thermal absorption factor, I is the incident light intensity, T s is the surface temperature of the illuminated particle, and k p is the thermal conductivity of the particle.

3.2.8.2 Radiation pressure The second photophretic interaction between a particle and an illumi- nating light beam is called radiation pressure. This term is a result of the direct transfer of momentum to the particle by the defl ection and absorption of light. Any change in the momentum of the incoming photons must be balanced by an equal and opposite particle momentum. Hinds in 1982 [ 12 ], gave the following equation for the radiation pressure force on a particle:

IdQp 2 rp rp =− i Fi Eq. (3.2-52) 4c

rp where c is the velocity of light and Q i is the fraction of light geometri- cally incident on the particle that is effective in transferring momentum to 3: Particle Deposition and Adhesion, Busnaina et al. 183

rp the particle in the direction away from the light source. Qi is a compli- cated function of particle size, wavelength, index of refraction, and absorp- tion coeffi cient. Values are not generally available for particles of various materials. The masgnitude of the radiation pressure force is predicted to be much smaller than the radiometric force. Only with highly focused, high power lasers will the light intensity be great enough to produce forces comparable to the gravitational force.

3.2.9 Turbulence effect Turbulence will affect the particle motion by modifying the fl uid veloc- ity near the particle. In evaluating the trajectory of a particle in a turbu- lent fl ow fi eld, the instantaneous component of the fl uid velocity must be specifi ed. While the mean velocity and the mean fl uctuation kinetic energy may be obtained from a variety of conventional turbulence models, the instantaneous fl uctuating velocity components are usually unknown. These fl uctuations are random fl uctuations in space and time, which are nearly Gaussian processes for homogeneous fl ows. Monte Carlo veloc- ity simulation techniques have been used successfully as a method for generating time histories that have the random characteristics and statistical properties of turbulence. The fl uctuation kinetic energy k is given as:

3 ==13′′22 k ∑uui Eq. (3.2-53) 22i=1 where

uuuu′′′′2 ===222 Eq. (3.2-54) 123

In this equation a prime quantity stands for the fl uctuation component and a bar on top of a letter denotes the average expected value.

3.2.10 DLVO theory The DLVO theory was developed in 1940s by Derjaguin, Landau, Verwey and Overbeek [21 , 22 ]. The theory describes the force between surfaces interacting through a liquid medium. It combines the effects of 184 Wet Chemical Processes the van der Waals attraction and the repulsion or attraction due to the so- called double-layer of counter-ions already discussed in Section 3.2.7. The total net interaction between two surfaces, including van der Waals interaction and electrical double-layer interaction, forms the basis of the DLVO theory of colloidal stability.

3.3 Particle Adhesion

3. 3.1 van der Waals Force In the absence of an external force on a particle, particle adhesion to a surface is governed by the omni-present van der Waals force. For all atoms and molecules, even for non-polar ones, there exist instantaneous dipoles, caused by the interactions of the electrons surrounding an atom’s nucleus. The interaction between these dipoles and the induced dipoles in neigh- boring atoms results in the dispersion forces of atoms/molecules, which is the dominant portion of the van der Waals force. Hamaker [23 ] calculated the interaction between macroscopic bodies, simply by using the additive principle of interactions between all molecules in each body. As a result, the interaction force between a sphere of radius

R and a fl at plate at a separation distance z 0 is given by:

= AR FvdW Eq. (3.3-55) 6z2 0 where A is the conventional Hamaker constant. The relations between the Hamaker constants of two dissimilar materials [ 24 ] may be represented by:

AAA= 12 11 22 Eq. (3.3-56)

where A 11 and A22 are the Hamaker constants for materials “ 1 ” and “ 2 ” . In the presence of a medium denoted by “ 3 ” , the net interaction between materials 1 and 2 is given by:

=− − AAAAA132( 11 33)( 22 33 ) Eq. (3.3-57)

where A33 is the Hamaker constant for the medium “ 3 ” . The Hamaker constant is an intrinsic property of materials. Table 3.3-1 shows the 3: Particle Deposition and Adhesion, Busnaina et al. 185

Table 3.3-1 Hamaker Constants of Materials of Interest in Semiconductor Processes [17, 25, 27]. Compiled by the Authors from References.

Materials Hamaker Constant (×10–20 J)

Si 25.6

SiO2 6.5

Al2O3 15.5 PSL (polystyrene latex) 6.5

Si3N4 17 TaN 28.03

H2O 3.7 Organic materials 2–10

Hamaker constants of materials of interest for semiconductor processing. The greater the Hamaker constant is, the larger the resulting van der Waals attractive force.

3.3.2 Electrostatic forces The sign and magnitude of the zeta potential on the surface determines the electrostatic force. If the zeta potential of a particle and a surface has the same positive or negative charge the electrostatic force is defi ned as repulsive, otherwise the force is attractive. The pH and ionic strength of a solution affect the magnitude of the zeta potential and double layer thickness. As the solu- tion’s pH increases, the zeta potentials of the surface moves to a more nega- tive value due to the dominant adsorption of OH– in alkaline pH solutions.

3.3.2.1 Effect of pH In an aqueous media, the pH of the medium of the particle and substrate are important factors that affect the zeta potential. Equation (3.2-44) shows that the particle charge is proportional to the zeta potential. Total surface charge is calculated by the following equation:

s =Γ−ΓzF ( +−) Eq. (3.3-58) surf HOH where z is the valance, F is Faraday constant and Γ is the adsorption density of H+ and OH –. This equation indicates that pH directly determines the 186 Wet Chemical Processes sign of zeta potentials. Figure 3.3-4 shows the zeta potentials of materials of interest to semiconductor processes as a function of pH. Generally, the zeta potential has a positive value at acidic pH due to the preponderance of H+ ions in the acidic region at the surface of the material. The zeta potential reduces to zero and then becomes negative as the pH increases to an alkaline value. However, this tendency can vary for various materials. The zero point of the zeta potential is known as the isoelectric point (IEP). At IEP, the net electrophoretic mobility of particles is zero. Table 3.3-2 summarizes the IEP of some metal oxides.

100

80

60 Ta 2O5 40 Si3N4 20 Silica 0 Alumina –20 PVA –40 PSL (990 nm) Zeta Potential (mV) Zeta Potential –60 Tungsten –80 –100 02468101214 pH

Figure 3.3-4 Zeta potential of materials as a function of pH.

Table 3.3-2 Isoelectric Point of Materials of Interest in Semiconductor Processes. PVA is Polyvinyl Alcohol

Materials Isoelectric Point

Si 3–4

SiO2 1.5–3.7 Al2O3 7.4–9.5 Si3N4 3–5.5 TiO2 6.0–6.7 PVA –2

CeO2 6–8 3: Particle Deposition and Adhesion, Busnaina et al. 187

3. 3.2.2 Effect of ionic strength The ionic strength of a solution, I, is the concentration of ions in the solution:

1 n = ∑ 2 ICzii Eq. (3.3-59) 2 i=1 where C is the molar concentration and z is the charge of each ion. From Eqs. (3.2.35 ) and (3.2.37 ), k is proportional to, I the square root of the ionic concentration of solution. Figure 3.3-5 shows the infl uence of electrolyte con- centration, k , on total interaction forces due to the double-layer compression at higher concentration, which results in a more attractive electrostatic force.

3. 3.3 Short-range forces The primary difference between long-range and short-range forces is that short-range forces act exclusively within the contact zone, whereas long-range forces act both within and outside the region of contact. Chemi- cal bonding is an example of short-range forces. A chemical bond is the

Figure 3.3-5 The effect of electrolyte concentration, κ, on total interaction energy (28). Reprinted from Introduction to Colloid and Surface Chemistry, D.J. Shaw and B. Costello. Copyright (1993), with permission from Elsevier. and Butterworth-Heinemann. 188 Wet Chemical Processes

physical phenomenon of chemical substances being held together by attraction of atoms to each other through sharing of electrons, as well as exchanging of electrons. In general, strong chemical bonds are found in mol- ecules, crystals, or solid metals where the atoms are organized in ordered structures. Weak chemical bonds are classically explained to be effects of polarity, or the lack of strong bonds.

3.3.4 Capillary condensation When moisture is present in the air, condensation can take place between a particle and a substrate, as shown in Figure 3.3-6. The meniscus that is formed draws the bodies together due to the surface tension force around the circumference FST and the negative Laplace pressure of the liquid F P :

FR=+2pg sin f sin( f q ) ST.1L Eq. (3.3-60)

where R is radius of the sphere and g L is the surface tension of the liquid. When the fi ling angle f is small, FP is given by the following equation.

FR=+2pg (cos q cos q ) Eq. (3.3-61) PL12

For the case of small f and equal contact angle on each surface q 1 = q2 , the following simple equation is used:

FFF=+≈4cospg R q Cap S. T P L Eq. (3.3-62)

Particle θ φ 1

Water

θ 2

Figure 3.3-6 Capillary condensation between a particle and a substrate. θ represents the contact angle. 3: Particle Deposition and Adhesion, Busnaina et al. 189

The measured adhesion force [ 29 ] is normally less than the value given by Eq. (3.3-60) and approaches this value when relative humidity approaches 100%. Figure 3.3-7 shows the capillary force for 0.1- µ m-diameter particle as a function of surface tension. Isopropyl alcohol (IPA) and deionized water

(DI H 2O) have surface tensions of 22 and 72 dynes/cm, respectively. If the surface tension increases, the capillary force also linearly increases. Figure 3.3-8 shows a schematic diagram of a particle adhering to the sur- face (a) by only van der Waals force and (b) by van der Waals and capillary

Figure 3.3-7 The capillary force as a function of the surface tension of liquid.

Figure 3.3-8 Schematic diagram of a particle adhering to the surface (a) by only van der Waals force and (b) by van der Waals and capillary forces. 190 Wet Chemical Processes

forces. The distance ( Z0 ) between a particle and the surface is generally 4 Å . When the capillary force affects particle adhesion, a liquid medium exits between the particle and the surface.

In DI H 2 O, the van der Waals force of alumina (Al2O3) and silica (SiO 2) particles on the fl at surface and the capillary force are shown in Figure 3.3-9. The van der Waals forces of these two particles of different materials are different due to the differences in their Hamaker constants. However, the capillary force of the particles of different materials, which are the same size, are equal because the capillary force is affected only by the surface tension of the liquid media, the surface energy of the par- ticle and substrate and the particle size. This indicates that the material physical properties of the particle and the surface do not infl uence the capillary force provided they have the same surface energy. The capillary force is a much more dominant force for particle adhesion compared to van der Waals and electrostatic forces.

3.3.5 Effect of deformation on adhesion

The van der Waals force, F vdw, could cause deformation when a particle is softer than a substrate or vice versa. The increase in the contact are (at the interface) due to deformation increases the van der Waals force. Equation (3.3-62) does not take into account the adhesion force due to deformation.

Figure 3.3-9 The capillary and van der Waals force as a function of particle size. 3: Particle Deposition and Adhesion, Busnaina et al. 191

Bowling [ 30 ] gave the total adhesion force including the component due to the deformation as:

2 =+ =AR + a FFad vdw F vdW− deform 1 Eq. (3.3-63) 6z2 Rz 0 0 where A is the Hamaker constant, R is the radius of the spherical particle,

z0 is the separation distance between the particle and the substrate (for smooth surfaces, it is taken as 4 Å and a is the contact radius between the deformed particle and the surface. Understanding of deformation due to particle adhesion is dominated by three theories: DMT (Derjaguin – M ü ller – Toporov) [ 31 ], JKR (Johnson – Kendall– Roberts) and MP (Maugis and Pollock) models. The JKR and DMT models are used to describe adhesion of elastically deforming system. The MP model accounted for tensile interactions and plastic deformations. Both JKR and DMT have their ranges of validity. The range of each theory is defi ned in terms of a dimensionless parameter µ [ 32 , 33 ]:

1/3 2 32 2Rw = A Eq. (3.3-64) m 2 3p pEz*3 0

where w A is work of adhesion and E * is the reduced Young’s modulus given by:

1/3 6pwR2 a = A Eq. (3.3-65) K

According to the so-called MYD model, values of µ > 1 (higher surface energy materials and relatively large particles) correspond to JKR theory. According to JKR:

1/3 6pwR2 a = A Eq. (3.3-66) K

192 Wet Chemical Processes

Similarly µ < 1 corresponds to DMT model, lower surface energy and smaller particles. According to DMT [ 34 ]:

1/3 pwR2 a = A Eq. (3.3-67) K

For both these equations, K is calculated as follows:

41 K = 3 −−nn22 1112+ Eq. (3.3-68) EE 12

The actual value of the contact radius predicted by the JKR theory is approximately twice that predicted by the DMT model, therefore it is necessary to establish which theory correctly describes a system. Maugis and Pollock expanded the JKR model to the region where the surface force induced stress exceeds the yield strength of the contacting material [ 35 ], which is the plastic region:

2wR 1/2 = A Eq. (3.3-69) a  3Y

where wA is work of adhesion between the particle and surface and Y is the yield strength of the softer material. If the two contact materials are in a third medium such as H 2 O, the work of adhesion is given by:

W= γγγ + – 132 13 23 12 Eq. (3.3-70)

3.3.6 Particle adhesion force measurements The particle adhesion force in air and liquid media are quite different as the adhesion force is different in different media. The main adhesion force in air is van der Waals force. However, the adhesion force of a particle in a liquid media can be calculated by the sum of the electrostatic force and van der Waals force. The van der Waals force is always an attractive force but the electrostatic force is affected by surface potential such as zeta potential. If the zeta potential of a particle and a surface has the same 3: Particle Deposition and Adhesion, Busnaina et al. 193 positive or negative charge, the electrostatic force is calculated as repul- sive. Therefore, particle adhesion and contamination can occur when the electrostatic force is attractive and the van der Waals force is larger than the repulsive electrostatic force. Even though the DLVO theory-based cal- culation takes into account only the Hamaker constant, zeta potentials, and particle size in a liquid medium, it nevertheless provides a good estimate for the particle adhesion force. Experimental measurement of particle adhesion forces on a surface can provide an excellent way to evaluate the effect of chemistry during cleaning and chemical mechanical polishing (CMP) processes on particle adhesion.

3.3.6.1 Adhesion force measurement by AFM

Atomic force microscopy (AFM) has been used to study phenomena such as abrasion, adhesion, cleaning, corrosion, etching, friction, lubrication and polishing. Atomic force microscopy not only images the surface with resolution on the atomic scale, but also measures the interaction forces between cantilever tip and surface at the nanometer (nm) scale. The AFM observes the surface of a sample using a probe with a sharp tip, approxi- mately 2 µ m long and often less than 10 nm in diameter. The tip is placed at the free end of a cantilever that is 100– 200 µ m. The force between the tip and the surface causes the cantilever to bend or defl ect. This bending is quantifi ed into length and a map of the surface is obtained showing the peaks and valleys. Instead of using a tip of cantilever, a particle, which is attached on a tipless cantilever, can be used to measure the interaction forces between the particle and surface. The force vs. distance (F vs. D ) curves [ 36 , 37 ] are used to measure the vertical force applied to the tip while in contact. Local variations in the form of the F vs. D curve indicate variations in the local elastic properties. The force curves show the defl ection of the free end of the AFM cantilever as the fi xed end of the cantilever is brought vertically towards and then away from the sample surface. The cantilever positions at several points marked along the force curve above are shown in Figure 3.3-10. Point (a) shows the initial cantilever position when the tip does not touch the surface (control point). In this region, if the cantilever feels a long-range attractive or repulsive force, it will defl ect downward or upward before making contact with the surface. In the case as shown in Figure 3.3-10, there is minimal long-range force, so the non-contact part of the force curve shows no defl ection. Point (b) shows the probe 194 Wet Chemical Processes

Figure 3.3-10 The change of cantilever shape as a function of applied force on a cantilever.

tip is in very close to contacting the surface, and may jump into contact if suffi cient attractive force is felt from the sample. Point (c) shows the tip in contact with the surface, and thus the cantilever defl ection will increase as the fi xed end of the cantilever is brought closer to the sample. If the cantilever is suffi ciently stiff and the material soft, the probe tip may indent into the surface at this point. In this case, the slope or shape of the contact part of the force curve provides information about the elasticity of the sample surface. Point (d) shows that after loading the cantilever to a desired force value, the process is reversed. Also, as the cantilever is withdrawn, adhesion formed during contact with the surface may cause the cantilever to adhere to the sample some distance past the initial contact point on the approach curve (b). A key measurement of the AFM force curve is the point (e) at which the adhesion is broken and the cantilever lifts (the jump-off point) from the surface. This can be used to measure the separation force required to break the bond or adhesion of the particle [38 ]. The force curve, shown in Figure 3.3-10, shows the relationship between the set point and the defl ection of the cantilever. Because the set point defi nes the value of the defl ection signal maintained by the feedback loop, the force curve can be used to calculate the nominal contact force of the 3: Particle Deposition and Adhesion, Busnaina et al. 195 tip on the sample if the spring constant, k , of the cantilever is known. The adhesion force of the particle is defi ned by the equation:

F = – k Δ Z Eq. (3.3-71) where, ΔZ is the distance, Z , from the control point to the jump-off point.

3.3.6.2 Measured adhesion forces in liquid media The measured adhesion forces in solutions of acid, neutral and base pH is shown in Figure 3.3-11 [39 ]. In order to compare the adhesion force of various substrates, colloidal silica particles are used as references. The attractive forces of silica particles on SiLK ™ , TEOS (tetraethylorthosili- cate), Cu, and TaN wafers are larger in acidic solutions. As shown in Figure 3.3-12, the repulsive electrostatic force is smaller in acidic than in alkaline solution due to the decrease in zeta potentials of wafers as the pH decreases. This shows that the particle adhesion is accelerated under low pH condi- tions. The measured interaction forces showed the same trends as the cal- culated ones over the range of pH values considered. A stronger adhesion of particles on surfaces in acidic solution was measured than in alkaline solution. Tantalum nitride surfaces showed the strongest adhesion of silica particles in all pH ranges on the surfaces investigated. The lowest adhesion force of particles on the tested substrates was measured on a low dielectric

Figure 3.3-11 Measured adhesion force of wafer surface in the various pH ranges [39]. Reproduced by permission of ECS—The Electrochemical Society from Lee, S.Y., Lee, S.H., and Park, J.G., J. Electrochem. Soc. 196 Wet Chemical Processes

Figure 3.3-12 The zeta potential of wafer surfaces as a function of pH [39]. Reproduced by permission of ECS—The Electrochemical Society from Lee, S.Y., Lee, S.H., and Park, J.G., A. A., J. Electrochem. Soc.

constant (low- κ) polymer, Dow SiLK™ . The stronger repulsive forces between silica particles and SiLK ™ surfaces are attributed the double layer electrostatic force [39 ]. The particle adhesion forces of silica particles on Cu wafers in three

different cleaning solutions were compared with those in DI H2 O [ 40 ]. The lowest adhesion force was observed to be 0.0124 nN in citric acid (C 2H 6O 4 ) with NH4 OH at pH = 6. On the other hand, the largest adhesion force of 8.87 nN was measured in the citric acid solution with tetramethyl ammonium hydroxide (TMAH). The adhesion force in the solution containing NH4 OH was two orders of magnitude lower than that in the solution with TMAH, as shown in Figure 3.3-13 . These results show that the appropriate selection of the pH and the chemical additives are very important in the design of the cleaning solution to achieve optimum particle removal effi ciency.

3.4 Particle Removal Fabrication of micro and nanoelectronics requires nanoscale particle and other contaminant removal from wafers and associated thin fi lms. These undesired particles on the wafer surface infl uence the device yield and reliability. Various cleaning methods are developed to remove particles from the substrate. For semiconductor wafer cleaning, brush scrubbing and megasonic cleaning are widely used in the semiconductor industry. Few other innovative technologies such as laser shock wave, supercritical 3: Particle Deposition and Adhesion, Busnaina et al. 197

Figure 3.3-13 The adhesion forces between Cu wafers and spherical silica particle in different solutions [40]. BTA is benzotriazole. Reproduced by permission of ECS—The Electrochemical Society from Hong, Y.K., Eom, D.H., Lee, S.H., Kim, T.G., Park, J.G., and Busnaina, A. A., J. Electrochem. Soc.

CO 2 cleaning, and other techniques are also being explored. Particle removal techniques are selected based on their effectiveness and their damage potential to features on the wafer or lack of it. Deformation of particles makes particle removal more challenging. Figure 3.4-14 shows the fl attening of the contact area of a PSL particle on a silicon wafer with native oxide. This deformation is explained in Section 3.3.5. Another important factor affecting the deformation of particle is its time-dependency. Figure 3.4-15 shows that the increase in contact area as time increases [ 41 , 42 ].

Figure 3.4-14 Deformation of PSL particles over time showing fl attening of the shape due to van der Waals forces [42]. Reprinted from Fundamentals of Adhesion and Interfaces, 1995, Koinklijke Brill NV. Used with permission. 198 Wet Chemical Processes

Figure 3.4-15 Deformation of PSL particles over time showing change in the contact area due to van der Waals forces [41]. Reprinted from Journal of Adhesion Science and Technology, 1994, Koninklijke Brill NV. Used with permission.

3.5 Summary

In this chapter, we reviewed forces acting on particles during transport, deposition and adhesion. Most contaminant particles are generated during wafer processing in integrated circuit manufacturing. Particulate contamina- tion needs to be removed before each manufacturing processor step to avoid low device yield and boost reliability. These generated particles become suspended in a medium (gas or liquid) that will provide the means for their transport and deposition. Drag force, electrophoretic force, Brownian diffusion, thermophoretic force, etc. are some of the forces covered in this chapter that could directly affect particle transport and deposition. After a particle deposits on a substrate, it becomes governed by the adhesion force. The adhesion force is dominated by van der Waals force, which could increase if particle or substrate deformation occurs. In liquids, the particle-substrate interaction will experience an additional force; the double layer electrostatic force which depends on the pH and ionic strength of the solution. In addition, the capillary force could also be dominant if mois- ture exists at the interface between a particle and substrate in a gas medium. Adhered particles are removed using many cleaning technologies such as brush and megasonic cleaning which are two of the most widely used particle removal techniques in the semiconductor industry.

References

1. Fuchs, N. A., The Mechanics of Aerosols, Macmillan, New York (1964). 2. O’Neill, M. E., Chem. Eng. Sci., 23:1293 (1968). 3: Particle Deposition and Adhesion, Busnaina et al. 199

3. Saffman, P. G., J. Fluid Mech., 22:385 (1965). 4. McLaughlin, J. B., J. Fluid Mech., 224:261 (1991). 5. Serway, R. A., and Jewett, J.W., Physics for Scientists and Engineers with Modern Physics, Thomson Brooks/Cole, Stamford, CT (2004). 6. Smoluchowski, N. von, Handbuchder Electrizität und des Magnetismus, (Barth, J. A. ed.), Leipzig, Germany, 2:366 (1921). 7. Hückel, E., Physik., Zeitsch, Germany, 25:204 (1924). 8. Brown, Robert, Phil. Mag., 4:161 (1828). 9. Li, A., and Ahmadi, G., Aerosol Sci. Technol., 16:209 (1992). 10. Einstein, A., Investigation on the Theory of Brownian Movement, Dover, New York (1956). 11. Smith, P. W., Ashkin, A., and Tomlinson, W. J., Opt. Lett., 6:284 (1981). 12. J. Tyndall, On dust and disease, Proc. R. Inst. Gt. Br. 6, 1 (1870). 13. P. S. Epstein, Z. Phys. 54, 537 (1929). 14. Brock, J. R., J. Colloid Sci., 17:768 (1962). 15. Waldmann, L., and Schmitt, K. H., Aerosol Science, (Davies, C. N., ed.), Academic Press, New York, (1966). 16. Hinds, W. C., Aerosol Technology, Wiley-Interscience Publication, New York (1982). 17. Hogg, R., Healy, T. W., and Fuerstenau, D. W., Trans. Faraday Soc., 62:1638, (1966). 18. Gregory, J., JCS, Faraday II, 69:1723 (1973). 19. Gregory, J., J. Colloid and Interface Science, 51:44 (1975). 20. Yalamov, Y. I., Kutukov, V. B., and Shchukin, E. R., J. Colloid and Interface Science, 57 (3):564 (1976). 21. Derjaguin, B. V., and Landau, L. Acta Physiochem., 14, 633 (1941). 22. Verwey, E. J. W., and Overbeek, J. Th. G., Theory of the Stability of Lyobhobic Colloids, Elsevier, Amsterdam, (1948). 23. Hamaker, H. C. Physica, 4:1058 (1937). 24. Visser, J., Surface and Colloid Science, (Matijevic, E., ed.) Vol. 8, John Wiley and Sons, New York (1976). 25. Visser, J., Adv. Colloid Interface Sci., 3:331 (1972). 26. Ackler, H. D., French, R. H., and Chiang, Y. M., J. Colloid and Interface Sci- ence, 179:460 (1996). 27. Hiemenz, P. C., Principles of Colloid and Surface Chemistry, p.645, Marcel Dekker, New York (1986). 28. Shaw, D. and Costello, J. B., Introduction to Colloid and Surface Chemistry, 4th Ed., Butterworth-Heinemann, Oxford, (1993). 29. Fisher, L. R., and Israechvili, J. N., Colloids and Surfaces, 3:303 (1981). 30. Bowling, R. A., Particles on Surfaces I: Detection, Adhesion and Removal, (Mittal, K. L., ed.) Plenum Press, New York, p. 129, (1988). 31. Müller, V. M., Yushchenko, V. S., and Derjaguin, B. V., J. Colloid Interface Sci., 92:92, (1983). 32. Müller, V. M., Derjaguin, B. V., and Toporov, Y. P., Colloids and Surfaces, 7:251 (1983). 33. Johnson, K. L., Kendall, K., and Roberts, A. D., Proc. R. Soc. London, Ser. A 324:301 (1971). 34. Derjaguin, B. V., Müller, V. M., and Toporov, Yu, P., J. Colloid and Interface Science., 53:314 (1975). 200 Wet Chemical Processes

35. Maugis, D., and Pollock, H. M., Acta. Metall., 32:1323 (1984). 36. Freitas, A. M., and Sharma, M. M., J. Colloid and Interface Science, 233:73 (2001). 37. Ducker, W. A., Senden, T. J., and Pashley, R. M., Langmuir, 8:1831 (1992). 38. Cappella, B., and Dietler, G., Surf. Sci. Report, 34:1 (1999). 39. Lee, S. Y., Lee, S. H., and Park, J. G., J. Electrochem. Soc., 150:G327 (2003). 40. Hong, Y. K., Eom, D. H., Lee, S. H., Kim, T. G., Park, J. G. and Busnaina, A. A., J. Electrochem. Soc. 151:G756 (2004). 41. Krishnan, S., Busnaina, A. A., Rimai, D. S., and DeMejo, D. P., J. of Adhe- sion Science and Technology, 8(11):1357 (1994). 42. Krishnan, S., Busnaina, A. A., Rimai, D. S., and DeMejo, L. P., Fundamen- tals of Adhesion and Interfaces, (Rimai, D. S,. DeMejo, L. P., and Mittal K. L., eds.), p. 95, VSP BV press, The Netherlands (1995). 4 Aqueous Cleaning and Surface Conditioning Processes

Glenn W. Gale

SEZ AG, Tokyo, Japan

Robert J. Small

RS Associates, Tucson, AZ, USA

Karen A. Reinhardt Cameo Consulting, San Jose, CA, USA

4.1 Overview of Aqueous Cleaning, Rinsing, and Drying Applications and Techniques

Fabrication of integrated circuit (IC) devices requires contamination removal, particle removal, and surface conditioning to achieve high yield- ing products. Nearly all the cleaning and surface conditioning processes are done in the liquid phase, and a majority of these processes are aqueous. Liq- uids offer several advantages including high solubility of chemical reagents and contaminants, drag forces to aid in removal of solid contaminants, metal complexation, and easier avoidance of electrostatic deposition of particles compared with air. Aqueous liquids are further advantageous, in part because

H2 O is easily and inexpensively purifi ed so that ultra pure deionized water (DI H2 O) is abundantly available in semiconductor factories. Thus by using aqueous cleaning processes, the requirements of achieving extremely high chemical purity, maintaining low manufacturing cost, and the minimization of adverse environment and health effects, which are all constant themes for semiconductor manufacturing engineers, are more manageable.

Mixing H 2O with various chemicals forms the most common cleaning solutions used in IC manufacturing. Typically, these chemicals are mixed

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 201–265 © 2008 William Andrew Inc.

201 202 Wet Chemical Processes

with the DI H 2 O at the manufacturing facility. Additionally, there are also numerous pre-mixed specialty chemicals, which are delivered from chemical suppliers. These chemicals may be solvent-based or aqueous-based. Wet cleaning processes can be categorized as pre-process cleaning or post-process cleaning steps in the IC manufacturing fl ow. Further, they can be divided between the front end of line (FEOL) and back end of line (BEOL), the former essentially comprising steps to form the active devices and the latter steps to connect them by multiple levels of metal wiring. Historically, BEOL cleaning steps have been accomplished using organic solvents as opposed to aqueous chemistries, due to the incompatibility of typical FEOL aqueous cleaning solutions with the metal wiring. This is also changing, however, and aqueous cleaning has become increasingly used in the BEOL. The cleaning process must be followed by rinsing and drying of the wafer. Many methods exist for these steps and will be discussed in later sections. The method used is usually based on the type of equipment used and will be discussed in Section 4.5.

4.1.1 FEOL cleaning The general term of pre-process cleaning, also known as precleaning, refers to cleaning steps immediately before oxidation, deposition, annealing, and sometimes lithography. In such circumstances, it is essential to have a surface that is free from particulate, metallic, and organic contaminants prior to the next step. In some cases, such as deposition of epitaxial Si, it may also be necessary to have a H-terminated Si surface that is free from any native or chemical oxide. The terms “ surface preparation” or “ surface conditioning ” are often used in place of “ cleaning ” , since the goal is in fact to chemically prepare a surface for the subsequent process. Although the formulations have been modifi ed over the years and alter- natives have been proposed, the RCA cleaning sequence developed by Werner Kern et al. [1 – 3 ], more than 35 years ago has proven remarkably durable and effective, and it remains the backbone of most pre-process cleaning in the IC manufacturing industry today. In the two-step cleaning sequence wafers are contacted by a fi rst solution called SC-1 (sometimes referred to as APM- ammonium hydroxide and hydrogen peroxide mixture) and a second solution called SC-2 (HPM-hydrochloric acid and hydrogen peroxide mixture). The SC-1 is a dilute aqueous mixture of ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H2 O2 ), an oxidizer, which is used primarily to effectively remove particles, although it is also capable 4: Aqueous Cleaning, Gale et al. 203 of removing light organic contamination and complexing some metals such as Cu and Zn. Other metals such as Fe, however, are easily adsorbed from SC-1 solutions. For effective removal of alkaline contaminants and a wide range of metals, the SC-2 aqueous solution comprising hydrochloric acid (HCl) and H 2 O2 is used. The RCA cleaning steps form an integral part of commonly used precleaning sequences. The overall strategy of such a sequence is typically as follows, with intermediate rinsing steps separating each chemical step:

1. Removal of relatively heavy organic contamination, such as residual photoresist. 2. Removal of silicon oxide, either in bulk or the very thin (chemical) oxide formed by the organic removal step. 3. Particle and metal removal by SC-1, with chemical oxide re-growth. 4. Residual metal removal by SC-2 (including metals that may have been deposited in the SC-1 solution). 5. Final rinsing and drying.

A detailed description of the original RCA cleaning process and its subse- quent modifi cations is presented in Chapter 1.

Table 4.1-1 RCA Chemistries Showing Typical Dilution and Temperature Ranges Used for IC Manufacturing

RCA Solution Chemicals and Contaminant Conditions Removal

SPM H2SO4 and H2O2, 2:1–4:1, Organics 90–140°C

SC-1 NH4OH:H2O2:H2O, Organics, some 1:1:5–1:1:50, 40–75°C metals, particles, surface conditioning of Si along with DHF (dilute HF)

SC-2 HCl:H2O2:H2O, Metals: alkaline 1:1:6–1:1:50, 40–75°C and trace metals DHF HF, 1:10–1:200, 25°C Sacrifi cial oxide removal, surface conditioning, native oxide removal 204 Wet Chemical Processes

Table 4.1-1 shows typical chemicals used for the precleaning process.

The sulfuric acid (H2 SO 4 ) and H2 O2 mixture (SPM) is also relied upon for the post-cleaning process. Hydrofl uoric acid (HF) is widely used for

SiO 2 removal. Each of these chemicals will be described in more detail in Section 4.1.3 . The chemical composition and properties of the solutions are shown in Table 4.1-2 . The sequences of the cleaning steps are shown in Table 4.1-3 .

4.1.2 FEOL post-process cleaning and resist strip Post-process cleaning involves removal of all the contaminants previ- ously mentioned particulate, metallic, and thin layer of organic plus oth- ers such as residual slurry, thick organic fi lms and polymers, and fi lm passivation layers. Three common types of post-cleans occur after resist stripping, also known as ashing, after reactive ion etching (RIE), and

Table 4.1-2 Chemicals Used for Cleaning and Drying Processes and Some of their Properties

Chemical Chemical Typical Surface Tension Formula Name Commercially at 20°C Available (dynes/cm) Concentration (wt%)

H2O Water N/A 73 NH4OH Ammonium 25.5–29 63 hydroxide HCl Hydrochloric 15–37 65 acid HF Hydrofl uoric 49 acid

NH4F:HF (BHF) Ammonium 10:1 volume 80–90 fl uoride: ratio, Hydrofl uoric 40:49 wt% acid

H2SO4 Sulfuric acid 95–100 75 H2O2 Hydrogen 30–40 74–78 peroxide

CH3–CH(OH)– CH3 Isopropyl alcohol) (IPA) 100 21.7 4: Aqueous Cleaning, Gale et al. 205

Table 4.1-3 Pre-thermal Processing Cleaning Sequences and Chemistries

Cleaning Step Typical Sequence

Pre-oxidation 1) SPM – DHF – SC-1 – SC-2 (e.g., pre-gate oxidation, pre-pad oxidation) Pre-anneal 1) SC-1 – SC-2 Pre-spacer Pre-contact 1) SC-1 – DHF or BHF Pre-silicide 2) DHF

Table 4.1-4 Post-processing Cleaning Sequences and Chemistries

Cleaning Steps Typical Sequence

FEOL post-strip clean or 1) SPM – SC-1 photoresist strip and clean FEOL post-etch clean 1) DHF – SPM – SC-1 Post-CMP clean (FEOL 1) DHF – SC-1 oxide CMP) Post-CMP clean (W CMP) 1) Dilute ammonia (ammonium hydroxide) after chemical mechanical planarization (CMP). Common post-cleaning sequences are shown in Table 4.1-4 .

4.1.2.1 FEOL photoresist removal Photoresist, used as a mask for the formation of features or for ion implan- tation, requires subsequent removal by dry and/or wet methods. Most com- monly a combination of these methods is used. A plasma process is typically used to strip the bulk photoresist followed by a wet cleaning process such as hot SPM (typically >120° C) to remove any remaining residues. Another method, ozone (O3 ) gas dissolved in H2 O (DI H2 O/O3 ) has been applied 206 Wet Chemical Processes using various techniques, resulting in reduced cost and reduced impact to the environment, safety, and health relative to SPM. Photoresist is an organic material containing long-chain polymer, so oxidation is critical to its breakdown and removal. The diffi culty of resist removal depends on prior processing. Baking of the resist hardens it, exposure cross-links the portion of resist that is not developed, and in particular ion implantation at a high dose heavily cross-links and dehydrogenates the polymer into a hard “ crust ” near the outer surface of the resist [4 ]. Historically, aqueous-based chemistry methods have been capable of removing photoresist that has not been ion implanted or that has been implanted at a dose less than about 1.0 × 1014 atoms/cm2 , but higher dose implanted resists have required plasma stripping before wet cleaning.

4.1.2.2 FEOL post-etch cleaning One advantage of the RIE process, for pattern defi nition using a pho- toresist mask, is the anisotropic nature of the plasma resulting in vertical profi les without horizontal etching. This enables the formation of trenches, contact holes, and vias. The anisotropic etch is achieved by a combination of ion directionality and the formation of solid residue, the so-called “ etch polymer, ” during the RIE process. The residue, however, must be subse- quently removed, a typical task for wet cleaning processes, frequently in conjunction with plasma stripping of the photoresist mask. The residue contains both organic and inorganic (often Si-containing) materials that must be removed. After etching of the gate stack polysilicon, for example, a sequence including SPM and HF is normally used for removal of both etch and strip residues, in addition to unwanted gate oxide that remains in the etched areas.

4.1 .2.3 FEOL post-chemical mechanical planarization cleaning The CMP process uses slurry to thin down fi lms and form a planar surface. The slurry is composed of small particulate matter, the abrasive material, which effects the mechanical removal of the fi lm, and a chemical etchant that assists with the chemical fi lm removal. Other additives are also in the slurry, such as passivation agents, chemicals that control the zeta potential/ charge, and other inert chemicals such as surfactants. The process is very effective, but leaves a large quantity of small slurry particles, such as silica

(SiO x), alumina (AlO x), or ceria (CeO x), on the wafer. Worse, if allowed to dry between polishing and cleaning, the slurry will leave particles 4: Aqueous Cleaning, Gale et al. 207

chemically bonded to the wafer. In fact, although CMP is a mainstream process now, it was originally thought by many to be impossible to use in manufacturing due to this contamination issue. However, effective clean- ing processes for removing the slurry residue have been implemented.

4.1 .3 FEOL oxide etching and removal An important part of aqueous cleaning is the removal of oxide layers that are present before the cleaning process, or created by the cleaning steps themselves. These oxides may contain contaminants, or may be sac- rifi cial (temporarily used) oxides that subsequently must be removed. This is accomplished using hydrofl uoric acid (HF), either diluted with H2 O (DHF), or pH buffered using ammonium fl uoride (NH 4F), known as buff- ered HF (BHF) or buffered oxide etch (BOE). These chemistries will be discussed in detail in Section 4.2.2. A critical difference between dry etching using RIE and wet etching is that the latter is isotropic. Etching will occur in all directions, which makes wet etching effective for fi lm removal or etch-back but inferior to RIE processes for unidirectional etch requirements such as trenches and vias. Also, the isotropic nature of wet etching makes undercut (undesired lateral etching) a concern in some cases.

Concentrated HF is actually a 49 wt % solution of HF in H2 O, so that a volume dilution of 10:1 HF indicates 4.9 wt% HF, 100:1 indicates 0.49% , and so on. Dilutions ranging from 5:1 to 200:1 are commonly used in the industry. A hydrofl uoric acid solution reacts with SiO2 as follows [ 5 ]:

+ – → 2 – S i O 2 + 4 H + 6 F S i F 6 + 2 H2 O Eq. (4.1-1)

The etching rate increases with temperature and concentration. Typi- cally room temperature is used, while time and concentration determine the amount of fi lm removal.

In BHF solutions, 40 wt% NH4 F is added to the aqueous HF solution. - The NH 4F dissociates to provide F ions that stabilize etch rates by replac- ing ions consumed in the SiO 2 etch reaction, hence the buffered solution. Such solutions may also contain surfactants that aid in the wetting of high aspect ratio trench and contact structures and in preventing Si attack in higher pH formulations. Buffered HF or DHF solutions are often used with some photoresist formulations that may react with concentrated HF solutions. 208 Wet Chemical Processes

4.1.4 BEOL cleaning for metal interconnect The most common application for BEOL wet cleaning is after the dry etching process. Because of the increasing number of interconnect layers and the decreasing feature sizes there is a constant effort to develop more effective post-etch residue (PER) removal processes while minimizing wafer contamination. This shifting technology is requiring more cleaning steps in the manufacturing process of Si wafers. The PER processes are not well defi ned compounds but mixtures of metal, metal oxides, Si, O, C and etch gas residues, sometimes containing F. New materials such as Cu and low- k (dielectric constant) dielectrics, plus decreasing linewidths and higher aspect ratios and the transition to 300 mm wafers also require more sophisticated and cost effective cleaning solutions. Depending on the device type (logic, memory, etc.), the types of material (Al or Cu, low-κ ), and number of interconnect layers, there could be as many as 50 BEOL cleaning steps. Besides removing PER and possibly the photoresist used to pattern the device features, it is also critical to remove surface contami- nants such as mobile ions and particles.

4. 1.4.1 Aluminum interconnect cleaning The Al metallization process involves either the subtractive etching of metal to form the metal interconnect lines or etching vias through silica to a previous metal layer. Each etching process generates a different type of PER. After etching the Al metal interconnect, cleaning typically consists of an in situ downstream O2 or H2/O2-based plasma step for resist removal, followed by wet chemistry. Although the plasma may remove most, if not all, organic species, a Cl-containing residual fi lm often remains on the sidewall surface. The addition of a small amount of CF4 to the O2 or H2/ O2 plasma can help fl uorinate the embedded metallic contamination, ren- dering the metal complexes more soluble in the subsequent wet cleaning chemistries and H2 O rinse. Residual Cl species are a concern because of possible subsequent metal corrosion if they are not removed. Chapter 6 covers plasma stripping and cleaning processes. Wet cleaning chemical manufacturers are under constant challenges to develop more effective chemical formulations. In the early days of the semiconductor industry it was possible to use phenolic and/or hydrocar- bon-based strippers to remove the photoresist [6 , 7 ], but in many cases the PER required high bath temperatures (>120° C) to remove the residue. As the technologies evolved, newer solvent systems based on N -methyl pyrrolidone (NMP) or other polar solvents were developed. The PER com- 4: Aqueous Cleaning, Gale et al. 209 positions changed with the use of antirefl ective coatings (ARC) and vari- ous concentrations of Cu added to the Al metal. An important feature of the wet cleaning chemistries was that they contained low concentrations of

H2 O to avoid possible metal etching during the cleaning step. Processing temperatures were usually 95 – 115 ° C and process times ranged from 15 to 60 minutes in batch immersion or spin – spray operation. The IC manufacturing industry has made a shift to semi-aqueous chemistries [ 8 ]. Water (10 – 30 wt % ) was incorporated into the solution by carefully controlling the active ingredients, such as hydroxylamine

(NH2 OH), and by adding corrosion inhibitors. The hydroxylamine (HA) cleaning processes have become a signifi cant part of the Al-based tech- nology with features larger than 0.13 µ m. Process temperatures could be reduced to 60– 75 ° C, but the H 2O concentration is still a critical factor in effective cleaning. If too much H2 O is added to the formula- tions then metal corrosion occurs. If the H 2O concentration decreases below an optimum level, the formulation is not aggressive enough to remove the PER.

4.1.4.2 Copper interconnect cleaning The introduction of Cu and low- κ materials has changed the basic method for fabricating interconnects. The damascene method involves etching line and via features in the dielectric, a silica-based material, then fi lling those features with barrier and Cu metal. The excess metal is removed by CMP and the wafer is then processed by an aqueous post- CMP cleaning step. The PER from the silica-based fi lms that are etched has a high concen- tration of silica, Cu and CuO x due to the exposure to the previous Cu layer. In many damascene processes there also may be remaining photoresist and a hard mask. Since many low-k materials contain various amounts of

C, for example the CDO (carbon doped oxides) fi lms, the usual O 2 plasma stripping process cannot be used without damaging the desired underly- ing features. Since Cu is even more susceptible to corrosion, earlier types of solvent chemistries (including hydroxylamine-based chemistries) have proven to be too aggressive. The cleaning chemistries used in Al technology are inadequate for the more sensitive low-k and Cu fi lms. Many of these chemistries contain sol- vents with caustic components that will attack these new materials. The wet chemical suppliers have responded by designing compositions with higher

H2 O content (60– 90 wt% ). These chemistries can either be acidic, mildly 210 Wet Chemical Processes basic (pH 7.5~8.5) with an F-based additive, or strongly basic (pH>11).

A common example in the acid case is H2O/H2SO4/H2O2 (diluted sulfuric acid and hydrogen peroxide or DSP), to which a small amount of HF is sometimes added (known as DSP+) [9]. Most of the commercially available compositions are custom formula- tions with very specifi c concentration(s) of the active ingredient(s); some of which are shown in Table 4.1-5 . These preparations are very specifi c in formulation and each component performs a particular function. For example, aprotic solvents are classifi ed as molecules that do not contain an O – H bond, for example diethylether and acetone. On the other hand, protic solvent molecules contain a H atom attached to an electronegative atom, such as O, with the general formula ROH. An important driving force for the shift to aqueous chemistries with very aggressive components has been the change from batch immersion and batch spin– spray tools to single-wafer cleaning methods. Single- wafer cleaning has been gaining acceptance for processing BEOL pho- toresist stripping and post-etch residue removal, especially for 300 mm wafers at the <130-nm node. Batch immersion processing with F-based chemistries can be challenging because they are more likely to attack the metal and cause corrosion during post-metal cleaning. Addition- ally, batch processing takes more time compared to single-wafer pro- cessing for the residue and photoresist to be removed from the wafer surface. Therefore, longer processing times are needed for the diffusion – and removal of the F ions during the H2 O rinsing, to avoid a possible increase of metal corrosion.

Table 4.1-5 Commercially Available BEOL Post-etch Cleaning Formulations

Commercially Available Formulation Chemicals

EKC640, 652, 6800 NH4F, H 2O, aprotic solvents, amines

ATMI ST250 HF, NH4F, amines, solvent Air Products NE-14, NE-89, NE-28 NH4F, amines, glycols Mitsubishi ELM-C20, ELM C-30 Quaternary fl uoride, aprotic solvent, amines

TOK SST-A1, SST-A2 HF, NH4F, H 2O, amines, solvents 4: Aqueous Cleaning, Gale et al. 211

4.2 Common Chemistries and Their Applications

4.2.1 Organic contamination removal and photoresist stripping The most commonly removed organic compound on the wafer surface is photoresist. Although not considered a contaminant, the method of removal of photoresist is similar to that of organic contamination, and is considered a surface conditioning technique. Methods for removing photoresist and organic contamination include wet cleaning, but also effective methods for dry cleaning are available, discussed in Chapters 6 and 7. Photoresist compounds are composed mainly of an organic resin, the composition of which depends on the lithographic wavelength to be applied, and a light-sensitive photoactive compound (PAC), such as diaz- onaphthoquinone, that is photochemically transformed by light exposure to a “ photoacid ” . For many years the resin was novolac-based, however, for 248 nm and shorter wavelengths, where absorption by novolac mate- rials is excessively high, various chemically amplifi ed resists have been used [ 10 ]. After patterning, etching, and stripping, it is common to use a wet chemical clean to ensure complete removal of organic residues from the photoresist.

4.2.1.1 Sulfuric acid/hydrogen peroxide photoresist stripping The most common chemistry used for FEOL post-strip cleaning, and sometimes complete stripping of resist, is SPM. The ratio used may vary, but it is commonly a mixture by volume of between 2:1 and 4:1 H2 SO4 (96 wt % ):H 2 O2 (30 wt% ) at temperatures in excess of 100° C. In some cases this temperature is reached by the heat of the exothermic reaction of the chemical components such as in a batch spin spray systems, as shown in Figure 4.2-1. In other cases, a heated bath is used to maintain the tempera- ture above 100 ° C.

In SPM solutions the organic material is oxidized to form H 2 O-soluble carboxylic acids [5]. SPM is very effective for removing photoresist and other organic residues. However, extensive rinsing is required to remove the viscous chemical and avoid hygroscopic S residues on the wafer, which can over time absorb moisture or chemical contaminants from the atmosphere and nucleate small particulate defects [11 , 12 ]. To mitigate this effect small amounts of HF can be added to the mixture to alter wafer surface wetting properties, causing the chemical to effectively 212 Wet Chemical Processes

120 H SO at 20°C 110 2 4 2:1 SPM 100 90 4:1 SPM 80 70 8:1 SPM 60 50 Temperature (°C) Temperature 40 30 20 0 5 10 Time (minutes)

Figure 4.2-1 The temperature change of an SPM solution with the temperature of H2SO4 initially at 20°C upon mixing with H2O2. The reaction is exothermic and the equilibrium temperature is reached in less than 5 minutes. Courtesy of FSI International. drain from the wafer after rinsing [ 13 ]. SC-1 treatment after SPM is the most commonly used countermeasure, and is quite effective. The SPM chemistry, if applied to a bare Si surface, creates a thin oxide layer. Oxide layers created by wet chemistries are known as chemical oxides. Such oxides typically grow in a self-limiting fashion (oxidizing species lacking suffi cient energy to diffuse through the formed oxide layer to react at the Si surface) such that they do not exceed about 1.0 –

1.2 nm in thickness, and are not comprised of the stoichiometric SiO2 formed by thermal oxidation [ 14 , 15 ]. As shown in Figure 4.2-2, it has been theorized that chemical oxides comprise aggregates of molecu- lar silicic acid clusters whose OH groups can be removed by heating, causing the fi lm to densify [16 , 17 ], further discussed in Chapter 9. While chemical oxides have been reported to have dangling bond defect 12 2 densities on the order of 1.0 × 10 /cm at the Si– SiO 2 interface, about two orders of magnitude higher than thermally grown oxide, their qual- ity is understood to be dependent on the type of solution in which they are generated [18 ].

4.2.1.2 Ozonated deionized water photoresist stripping The impact of the SPM cleaning and required rinsing chemicals on the environment, safety, and health (ESH) represents a serious drawback. Fur- thermore, as is often the case, ESH and cost are related, and the heavy use 4: Aqueous Cleaning, Gale et al. 213

HO OH heat Si + 20 + 2H 2O Si Si + O2 + 2H2O HO OH

(A)

HO OH HO OH Si Si HO OH HO OH H HH HH H O O O O O O Si Si Si

Si Si Si Si

(B)

Figure 4.2-2 A suggested model for the chemical SiO2 formation in an oxidative aqueous medium. (a) formation of silicic acid molecule and SiO2 after a thermal treatment and (b) chemical oxide with a highly hydrated SiO2, or aggregates of silicic acid clusters. Adapted from reference [17]. Reproduced by permission of ECS - The Electrochemical Society from Guan, J., Gale, G., Bersuker, G., Jeon, Y., Nguyen, B., Barnett, J., Jackson, M., Burkman, D., Peavey, P., Yokomizo, K., Ueno, K., Yamasaka, M., Imai, M., Kitahara, S., and Shindo, N., Sixth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing.

of chemicals and H 2 O results in high cost to the industry. Consequently, many efforts have been made to replace SPM with more environmentally benign (and lower cost) processes using only O 3 and DI H2 O. The strong oxidizing power of O3 , and that of the OH* species formed by decomposi- tion of O3 in H 2O, make such a process attractive. However, the solubil- ∼ ity of O 3 gas in H2 O is about 20 ppm at room temperature ( 20 ° C) [ 19 ], which is considered low, and its rapid consumption (the reaction rate is limited by diffusion of fresh O3 to the wafer surface) presents a fundamen- tal limitation. Taking advantage of the inverse proportionality of the solu- bility with temperature, a sub-ambient temperature process can be used to increase the concentration of O3 dissolved in an immersion bath [20 ]. While effective in some cases, the process has not been widely used since the same low temperature condition that enables increased O3 solubility simultaneously limits its heterogeneous reaction rate with photoresist at the wafer surface. To avoid the limitations of O3 immersion batch process- ing, several researchers have developed processes that instead use spray or vapor H2 O in the presence of O 3 gas [ 21– 23 ]. Using this technique, the O 3 must only diffuse through a thin liquid layer or can dissolve in the 214 Wet Chemical Processes

H2 O mist at the wafer surface, making it possible to simultaneously have a high temperature and a high O 3 concentration. These techniques have proven effective not only as post-plasma strip cleans but also for complete removal of photoresist layers in lieu of plasma stripping. However, pho- toresist with an ion implant dose exceeding ∼ 10 14 atoms/cm 2 still requires plasma stripping.

4. 2.1.3 Organic contamination removal The SC-1 solution, discussed in Section 4.2.2 , is also capable of removing lighter organic contamination by oxidation. The SC-1 solution is not used for photoresist stripping, but can be used as a clean-up step to remove thin organic layers. Typically, after SPM, SC-1 is used for its particle removal capability.

4.2.2 Particle removal 4.2.2.1 SC-1 particle removal State-of-the-art wafer cleaning processes accomplish particle removal by a combination of chemical and physical means. The SC-1 portion of Kern’s RCA sequence, used for batch immersion processing, has histori- cally been the workhorse chemistry for particle removal, and although alternatives have been proposed it remains so. The SC-1 solution consists of NH4 OH (29 wt % ):H2 O2 (30 wt % ):DI H2 O at a pH near 10 [ 1 – 3 ]. The original formulation was 1:1:5 by volume at 70– 80 ° C, although modifi - cations have been made, which will be discussed in Section 4.3.1. SC-1 removes particles by a combination of slightly etching the SiO2 or Si to undercut particles and electrostatic repulsion due to the high pH of the solution. The etching of Si in SC-1 is accompanied by: 1. The formation of a thin chemical oxide (as with SPM), and then 2. The removal of the oxide layer.

Hence, the combination of Si oxidation to form SiO 2 and then the subse- quent etching of SiO2 results in some Si removal. The electrostatic repul- sion is a result of electrostatic double layer phenomena, which will be briefl y introduced here. A more thorough treatment of this complex theory can be found in Chapter 3 and in reference [ 24 ]. The interaction of a solid surface with a surrounding electrolyte, includ- ing adsorption of ions from the solution and/or dissociation of surface groups, causes the surface to become charged. The layer of counter-ions 4: Aqueous Cleaning, Gale et al. 215

(called the Stern layer) that attach to this charged surface and move with it, along with a diffuse layer of ions outside it, are together termed the elec- trical double layer. The charge at the boundary between these two layers is called the zeta potential, and it determines how surfaces will interact in the liquid. Surfaces of opposite charge will be attracted to one another, and surfaces of like charge will repel one another. Electrical double layer and zeta potential concepts were fi rst applied to the elucidation of wafer cleaning mechanisms by Riley et al. [ 25 ]. Zeta potential is a function of both the pH and the ionic strength of solu- tion. The zeta potential decreases from a positive value at very low pH to a negative value at high pH, as the OH– ion concentration increases. The pH value for which the zeta potential is zero is known as the isoelectric point. Figure 3.3-4 in Chapter 3 shows the zeta potential of particles of various materials, as a function of pH obtained by electrophoresis measurements. For high pH values in the SC-1 regime of 9– 10, these materials take on strongly negative charges. Therefore, a silica particle or silicon nitride par- ticle, for example, are repelled from a silicon oxide wafer surface in SC-1. This electrostatic interaction prevents deposition of particles from solution onto wafers, and is important in ensuring particles that have been detached from a wafer during cleaning do not simply reattach.

4.2.2.2 RCA cleaning and HF particle removal Hydrofl uoric acid, applied after an oxidizing chemistry such as SPM or DI

H 2 O/O3 , has been studied as an alternative to SC-1 for particle removal. The undercut and lift-off mechanism can be achieved by DHF. However, the zeta potential conditions are less favorable than with SC-1 due to the low pH. It has been shown that detached particles from the chemical layer close to the wafer surface can redeposit during rinsing. The use of anionic surfactants to adjust the zeta potential and thus help to avoid attraction can be an effective countermeasure for improving the net particle removal in DHF [ 26 ]. Menon et al. [ 27 ] conducted a study of particles added by various steps of an RCA clean. Figure 4.2-3 shows the number of particles, in the size range of 0.2– 0.5 µ m, added during each step of an RCA clean- ing sequence (SC-1 – SC-2 – 10:1 BHF), and for the entire clean [ 27 ]. The SC-2 and buffered HF treatment added particles to the wafer, while the SC-1 treatment removed a few particles. The overall number of particles added to the wafer was very close to that added during the HF-last process. The addition of the particle contributions from the individual steps of the cleaning sequence does not equal the particles added for the entire clean. 216 Wet Chemical Processes

Figure 4.2-3 Particle addition to a wafer after various steps in a wet cleaning process. The alkaline-rinse process is SC-1 followed by a rinse; the acid-rinse is SC-2 followed by a rinse (27). Used with permission from Solid State Technology.

This implies that some of the particles added during the initial cleaning step are removed during the next step, possibly due to interfacial effects or chemical dissolution [ 28 , 29 ]. Use of HF solutions as a fi nal cleaning step has generally been known to add particles to wafers [ 29 , 30 ]. Stripping a SiO2 fi lm from a Si wafer renders the surface hydrophobic. When the Si wafer is immersed in the DI

H2 O rinse tank, the hydrophobicity causes particles in the DI H 2 O to be preferentially deposited on the wafer surface. Hence, particle levels on wet etched wafers generally correlate well with particle levels in the rinse tank, but not the HF bath [27 ]. In Section 4.4, various rinse tank confi gurations to minimize particle deposition are discussed. The hydrophobic or hydrophilic nature of a Si surface can be quantifi ed by the contact angle of a drop of H 2O on that surface. A surface with a con- tact angle of 0° is completely hydrophilic, while a contact angle of equal to or greater than 90 ° (H 2 O which is beading on the surface can have an angle greater than 90° ) defi nes a completely hydrophobic surface. The contact angle of H2 O with an HF-etched surface is approximately 66– 85 ° , while that of buffered HF on a hydrophobic surface is around 70° [31 , 32 ]. The Si surface wettability of buffered HF is not signifi cantly different from that of H 2O. To improve the wettability of the etchant, Kikuyama et al. [ 31 ] recommend the use of hydrocarbon surfactants. Their studies have shown that the use of carefully selected surfactants can signifi cantly reduce the particle contamination in wet etch processing. The application of surfac- tants in chemical solutions, especially in pre-gate oxide cleans, should be approached very carefully. Surfactant molecules are potential sources of carbonaceous residues. Aggregates of these molecules, called micelles, are 4: Aqueous Cleaning, Gale et al. 217

Table 4.2-6 Effects of Cleaning Chemistry on Si Surface Contact Angle [33]. Measurements of contact angles are typically performed with a Goniometer. Wafers are assumed to be hydrophilic before the cleaning sequences are performed. Reprinted from Microcontamination Magazine. Copyright 1990, Tom Cheyney, Los Angeles, CA. Used with permission

Bare Si Wafer after Contact Angle Mean Hydrophobic or Cleaning with Chemistry and Standard Deviation° Hydrophilic

DI H2O 16 ± 1.3 Hydrophilic SC-1 1:1:5 + Rinse 10 ± 0.8 Hydrophilic SC-2 1:1:5 + Rinse 9 ± 0.5 Hydrophilic BOE 1:10 + Rinse 66 ± 2.1 Hydrophobic in the submicron-size range and can represent an added source of particles. Also, many surfactants cause foaming, which can leave stains on the wafer surface and cause uneven etching. The hydrophilic/hydrophobic nature of wafer surfaces after various chemical-cleaning steps is noted in Table 4.2-6 [33 ]. The SC-1 and SC-2 treatments leave the wafer extremely hydrophilic. Figure 4.2-3 shows that SC-2 adds particles to a wafer surface, while SC-1 removes a few parti- cles. Both SC-1 and the SC-1–SC-2 sequence leave the wafer hydrophilic, and the surface tensions of both chemical solutions are similar [34 ]; hence, the differences in particle removal behavior must be attributed to chemical interactions at the liquid– wafer interface. Kern [35 ] reported that SC-1, ∼ at 80 ° C, etches SiO 2 at a rate of about 0.5 Å /min, whereas SC-2 shows very little change in thickness. The etching in the alkaline SC-1 solution probably undercuts SiO2 beneath particles, allowing them to be more eas- ily dislodged from the wafer. Also, Niida et al. [36 ] have shown that the zeta potential of common types of particles in alkaline solutions is signifi - cantly more negative than in acidic. However, in SC-2 solutions, the zeta potential of most particles is positive. The zeta potential of the Si surface is typically negative in most solutions (isoelectric points of Si and SiO 2 sur- face are 1.5– 4.0). Hence, SiO 2 particles tend to be attracted to the Si wafer surface in SC-2, while they are repelled in SC-1 solutions [36 ].

4. 2.2.3 Particle removal and surface roughness Mishima et al . [ 37 ] investigated the effect of different concentrations of

NH 4OH in SC-1 mixture in a batch immersion tank on particle removal. 218 Wet Chemical Processes

They recommend that the SC-1 solution should have a lower NH4 OH content (0.50 – 0.05 times that conventionally used) to improve the par- ticle removal capability by a factor of two, without any increase in sur- face roughness. Ohmi et al. [ 38 ] and Meuris et al. [ 39 ] have also studied the effect of NH4 OH concentration on Si surface roughness and particle removal capability. Ohmi reccommends a 0.05:1:5 SC-1 as the optimum mixture based on particle removal and etch rate studies. The optimum of

NH4 OH concentration was correlated to the solution etch rate at which par- ticle removal effi ciency was highest. The roughness resulting from 0.05:1:5 at 0.2 nm Ra (average roughness) was half that at 1:1:5, as measured by AFM (atomic force microscopy). Increased surface roughness was found to cause a decrease of the gate dielectric breakdown charge (Q BD), as shown in Figure 4.2-4 [38]. Meuris et al. [39 ] also showed that increase in Si surface roughness from an SC-1 solution could directly cause a decrease in capacitor yield. They recommend a 0.25:1:5 mixture of SC-1 for good particle removal without signifi cant surface roughness. The differences in optimum of NH 4OH concentration between Ohmi’s and Meuris’s studies have been reported by Meuris to be due to a difference in solution tem- peratures. Ohmi’s studies were conducted at approximately 80 ° C, while Meuris’s work was at 70° C. Various concentrations and dilutions have been proposed for SC-1. In some cases, single-wafer processors use concentrations for particle removal that are different from those of batch immersion tanks. Also different engineers

Figure 4.2-4 Effects of Si surface roughness (Ra) on of gate dielectric breakdown charge (QBD) [38]. The thickness of the gate oxide was 9.8 nm and the voltage applied to the devices was 9.5 MV/cm. Courtesy of FSI International. 4: Aqueous Cleaning, Gale et al. 219 have found optimum concentrations for their specifi c processes. Other researchers have proposed cleaning sequences that avoid SC-1 entirely. One published method uses 1 wt % HF and 1 wt % HCl in a continuous fl ow batch immersion system [40 ]; other concentrations and formulations are also used. Alternative sequences will be discussed in Section 4.2.4.

4.2.2.4 Megasonic particle removal As early as 1979, researchers at RCA reported using megasonic energy to remove particles from wafers [ 41 ]. Sonic cleaning of the Si wafers is an important part of the total surface conditioning process. The use of megasonic energy is every effective at removing particles, in combination with H 2O alone or with SC-1. As Menon and Donovan [42 ] have pointed out, the force required to remove a particle from the wafer surface must equal or exceed the force of adhesion. They state that the force required to remove a particle is a function of particle size, particle and wafer surface composition, and the nature of the liquid medium [43 ]. For a silica par- ticle of 1 µ m diameter with a mass of 5 × 1013 g that is adhered to a bare

Si surface, the van der Waals force of adhesion in H2 O is approximately 4 × 104 dynes [ 44 ]. Megasonic cleaning is a subset of ultrasonic cleaning, where ultrasonic refers to sound waves at frequencies above the range of human hearing (greater than about 20 kHz). The megasonic range of frequency is the most commonly used for particle removal from semiconductor wafers, because lower frequency ultrasonic cleaning is known to lead to damage even to relatively large structures. Commercial megasonic wafer cleaning systems typically operate at a frequency of 700 kHz – 1 MHz. Megasonic energy is transmitted through transducers, which are piezo- electric crystals. In batch immersion systems these are usually mounted at the bottom of the tank. When confi gured in this manner, the sonic waves travel through the liquid in a direction parallel to the wafer surface. Another example is the megasonic rod, used in some single-wafer systems, in which the piezoelectric crystal is mounted at the end of the rod and the energy transfer to the wafer perpendicular to the wafer surface [ 45 ]. A sonic wave is a pressure wave, inducing alternating periods of com- pression and rarefaction in a liquid. When the intrinsic “ tensile strength” of the liquid is exceeded during the low pressure phase, the liquid pulls apart to form a cavity. Dissolved gas in the liquid diffuses into the cavity and, through a process known as rectifi ed diffusion, the cavity increases in size over a repeated cycles. This phenomenon, known as cavitation, leads to a host of interesting and useful effects. It is generally accepted that the 220 Wet Chemical Processes motion of cavities leads to particle removal via localized fl uid fl ows very close to the wafer surface (the boundary layer in megasonic cleaning being very thin relative to typical immersion systems) and formation of shock waves upon cavity collapse [ 46 ]. While megasonic cleaning has been used in the industry for many years, however, for recent technology generations problems with pattern damage have arisen. From approximately the 130 nm technology node, patterns (in particular polysilicon gate structures) have become small enough that they can be damaged in Megasonic cleaning. Controlling the uniformity of the energy distribution in the system is one of the keys to mitigating such damage. Much work has been performed to minimize the damage to sensitive features on the wafer surface. Figure 4.2-5 shows an example of a dam- aged polySi line caused during the megasonic cleaning process, possibly due to cavitation. Both equipment modifi cations and process changes have been performed to achieve high particle removal effi ciency (PRE) with low physical damage to sensitive structures. Section 4.2.2.5 discusses methods for performing PRE testing. Megasonic cleaning is a very effective method for removing deep submicron-sized particles from Si wafers, particularly when used in conjunction with SC-1 solutions so that the physical mecha- nisms of megasonics are combined with the chemical mechanisms (surface undercutting and zeta potential repulsion) of SC-1. When SC-1 chemistry is used in lieu of H2 O, along with megasonic energy, the particle removal effi ciency is substantially increased. In one study [ 47 ], SC-1 solutions were found to consistently produce high cleaning effi ciencies and relatively small variability between runs, while he lowest cleaning effi ciencies and largest variabilities were seen with DI H 2O. Christenson [ 48 ] and Vereecke [ 49 ] have reported on optimization of SC-1 megasonic processes for high particle removal effi ciency and

Figure 4.2-5 A damaged polySi line possibly due to cavitation caused by megasonic high energy [50]. Courtesy of FSI International. 4: Aqueous Cleaning, Gale et al. 221 low feature damage. Christenson has improved the confi guration of the mega sonic transducers in a batch immersion system to produce uniform particle removal effi ciency across the wafer, alleviating previous areas that had low PRE, and studied the addition of gases to the cleaning solution [50 ]. Vereecke [49 ] has also characterized the addition of various gases, such as O 2 and N 2, into the SC-1 solution. Earlier work [51 ] has shown that dissolved gas, such as air, O2 , H 2 , He, and Ar, in cleaning solutions has an effect on cleaning effi ciency. The addition of the O 2 and N 2 , dis- solved in SC-1 cleaning and H2 O rinsing solutions indicates that the PRE increases [51 ]. The addition of megasonic energy to other cleaning solutions besides

SC-1 and the H 2O rinse has been proposed: for example megasonic energy was added to an HF solution [51 , 52 ] yielding high particle removal >80% when H2 or air was added to the solutions [51]. Syverson et al. [ 53 ] used SC-1 and SC-2 in megasonic tanks to remove particles from various types of wafers. They concluded that the application of megasonic energy in both solutions provided better results than spray processing. They found better cleaning effi ciencies when the wafers were moved slowly over the transducers at 150 W per transducer with a bath temperature of 70° C. In a related study, Gow et al. [54 ] used hot SC-1 solutions with and without megasonic energy to evaluate the cleanability of wafers exiting from dif- ferent processes. Figure 4.2-6 shows that particle removal effi ciency can

100% 90% > 100nm > 120nm 80% > 160nm 70% > 200nm 60% 50% 40% 30% 20% Cleaning Efficiency [%] 10% 0% 0 4 9 1011121314 MEG. POWER Setting [a.u.]

Figure 4.2-6 Particle removal effi ciency and a comparison to the damage of features at various megasonic frequencies. The wafer maps show increasing damage (lighter-colored die) and increasing particle removal effi ciency with increasing megasonic power [55]. Used with permission of Alexander Lippert. 222 Wet Chemical Processes be quite good, >90% when megasonic power is applied to the cleaning solution. However, damage to the sensitive lines may occur. Usually, high megasonic power leads to high PRE and the same time a high probablility of line damage [55 ]. Optimization of the megasonic process reduces dam- age: an example is given by Christenson [ 50 ]. Monitoring the emission of light from multiple bubbles as they col- lapse in the liquid is a method developed by Ferrell [56 ] for monitoring megasonic energy. The phenomenom of “ multibubble sonoluminescence ” (MBSL) is used to map the collapsed bubbles. This is especially useful for determining the uniformity of the process, and images of removed particles can be compared to the location of the collapsed bubbles to increase the effi ciency of megasonic cleaning. In the long term, applicability of megasonic cleaning for future tech- nology nodes will depend on optimal process uniformity plus a deeper understanding of fundamental cavitation mechanisms to enable control of thresholds, bubble size distribution and bubble dynamics, and also the mechanisms of damage to patterns.

4.2.2.5 Particle removal monitoring Particle removal effi ciency (PRE) is a measurement used for comparing cleaning processes with respect to a wafer with a large load of particles on the surface. The equation to calculate PRE is:

PRE = [ ( P p r e – Pp o s t ) / P p r e ] × 1 0 0 % Eq. (4.2-2) where Ppre is the number of particles on the wafer prior to processing and Ppost is the number of particles on the wafer after processing. There is no industry standard for creating these particle monitors. Many different methods and particles, typically Si3 N4 , SiO 2 , or PSL are used. Chapter 8 discusses particle monitoring and methods to make monitor wafers with uniform particle distribution. Typically, particles are spun on the wafer in a controlled manner or sprayed on the wafer with a nebulizer and then allowed to dry. Next, the wafers are analyzed for the number of particles on the wafer, P pre . The par- ticle level on the wafer should not exceed the maximum number of defects the inspection tool is able to recognize. Typically, the particles are about 8000 – 10,000 on a 300 mm wafer because higher pre-counts tend to mean the wafers are easier to clean (some particles may be agglomerated, loosely adhered, etc.) and this results in artifi cially high PRE. Chapter 8 discusses 4: Aqueous Cleaning, Gale et al. 223 the defect analysis tools used for the inspection process. The wafer is then processed using the cleaning and surface preparation method to be tested. Finally, the wafer is analyzed for the number of particles on the wafer after the process, P post , and PRE calculated. Figure 4.2-6 shows an example of particle removal effi ciency, also known as cleaning effi ciency.

4.2.3 Metal contamination removal A useful approach to categorizing types of metal contamination, a criti- cal step in designing strategies for their removal, has been provided by Morinaga and Ohmi [57 ]. Chapter 2 discusses additional types of metallic contamination classifi cations. Type (I) is defi ned as electrochemical depo- sition, type (II) as hydroxide precipitation, and type (III) as fi lm inclusion. An example of the fi rst type would be metals of a higher electronegativity than Si (Cu is an often cited example) depositing via redox reactions onto bare Si in HF [ 57 ]. The second type of metal deposition can occur in SC-1 solutions. It should fi rst be pointed out, however, that SC-1 is capable of removing some contaminant metals. Aqueous ammonia will form complexes with Co+ , Co2+ , Cu2+ , Fe2+ , Ni, and Zn [5]. It has been reported that Ni and Zn contamination after APM are inversely proportional to the NH 4 OH con- centration [ 58 ]. Other metals, however, are known to be deposited from solution onto Si wafers. Metals in SC-1 can form metal hydroxides, which easily attach to silanol groups on chemically oxidized wafer surfaces; Fe, Al, Ni, and Zn form especially stable metal hydroxides [59 , 60 ]. Consequently, just 1ppb of Al in SC-1 solution can result in about 1.0 × 10 12 atoms/cm2 deposited on an immersed wafer [61 ]. This is type (II) metal contamina- tion in the categorization of Morinaga and Ohmi. Type (III) can also occur in SC-1 when metals are embedded in the chemically grown oxide. Since these metals need to be controlled to <1.0 × 1010 atoms/cm2 , an additional cleaning step is needed to remove them.

The SC-2 solution, consisting of HCl (37 wt% ):H 2 O2 (30 wt % ):DI H2 O, is commonly employed just after SC-1 plus an intermediate rinse. A range of temperatures from near ambient to 70° C or more may be used. Hydro- chloric acid is effective because it increases the oxidation strength of the solution in addition to complexing transition metals such as Fe [5 ]. Hydrofl uoric acid is another logical reagent for metal removal since, in addition to its low pH, it etches oxide and can thus be effective for metals included in or under surface chemical oxides. IMEC researchers developed an alternative process to the RCA clean that uses dilute HF 224 Wet Chemical Processes after an oxidizing step to remove metal contamination very effectively [ 62 ]. However, care must be taken when using HF because some metals in the solution — most notoriously Cu — can readily deposit on the Si surface. IMEC extensively studied the behavior of metals in HF cleaning, including the effects of processing under illumination versus darkness [63 , 64 ]. They concluded that while electrochemical effects cause Cu to plate out onto Si when exposed to light, the addition of HCl to DHF is an effective counter- measure provided a suffi cient HCl concentration is used. At low concen- trations, added chloride ions actually increase Cu deposition by catalyzing the deposition reaction, while at high concentrations complexation of Cu ions by Cl- prevents deposition [ 62 ].

Adding H2 O2 to dilute HF has been proposed for Cu removal simultane- ously with oxide removal, although it also etches the Si surface slightly due to the combined presence of an etchant and oxidizer [ 57 , 65 , 66 ]. Finally,

DI H 2 O/O3 solutions have also been shown to be effective at removing certain metals such as Cu and Fe [ 67 ].

4.2.4 Cleaning process sequences Although various modifi cations to temperatures and concentrations have been proposed, the classical SPM – DHF – SC-1 – SC-2 cleaning sequence, described in Section 4.1.1, remains the most commonly used sequence for pre-process cleaning steps prior to oxidation, annealing, and deposition. Alternatives have been used to some degree, the two most well known of these being developed independently by researchers at IMEC and at Tohoku University. The IMEC cleaning sequence [ 62 ] was developed after extensive research to elucidate the mechanisms of the RCA clean, in an effort to provide a lower cost, less environmentally deleterious, and in some ways more effective cleaning sequence. The initial SPM step is replaced with

H 2 SO4 /O3 or DI H2 O/O3 for organic contamination removal and chemi- cal oxide growth. Next, a DHF or DHF/HCl step is performed to remove metals and also particles by undercutting the chemical oxide, resulting in a hydrophobic surface. If a chemical oxide-passivated surface is preferred, an optional DI H 2 O/O3 step can be included as a last step before drying, into which some HCl can be added to prevent metal deposition. IMEC researchers have reported excellent metal decontamination results, as well as reduced Si consumption, using this sequence [ 62 ]. A group under the leadership of Professor Tadahiro Ohmi at Tohoku Uni- versity developed another alternative cleaning sequence that is performed entirely at room temperature [ 65 ]. A DI H2 O/O3 solution (5 ppm) is fi rst 4: Aqueous Cleaning, Gale et al. 225 used to remove organic contamination as well as some metal contamination and creates a chemical oxide. Next an HF/H2 O2 /H2 O solution, with an ionic surfactant and megasonic energy added, is introduced for removal of particles, metals, and the chemical oxide formed by the initial DI H2 O/O3 step. A DI H2 O/O3 rinse, also with megasonic energy, follows this chemi- cal step. The fi nal step is a 0.1 wt % DHF step and a DI H2 O/megasonic rinse sequence [ 65 , 68 ]. SONY researchers proposed a sequence suitable for single-wafer processors, in which very short (only a few seconds) oxidizing and etching steps are alternated until the surface has been cleaned. The oxidizing is accomplished using DI H2 O/O3 and the etching is accomplished by 1 wt% DHF. Thus particles and metals can be removed in a short overall pro- cess time, without the need for megasonic energy, which can damage fi ne patterns [ 69 ].

4.3 Process Variables Affecting Cleaning

Wet cleaning and etching processes rely on chemical reactions that remove material and/or contaminants on the wafer surface. Such reactions are functions of time, temperature, and concentration. In considering the best process conditions there are many considerations to be balanced. These include tolerable amounts of removal of various materials on the wafer, surface morphology, cycle time and throughput, environmental effects, and cost. In general, once optimum process conditions have been established, it is desirable that they be maintained with maximum stability and control, so that every wafer is subjected to identical conditions.

4.3.1 Concentration and temperature effects

The original SC-1 clean was 1:1:5 (NH4 OH:H2 O2 :H2 O) at 70 – 80 ° C, con- ditions deemed suitable to the task at that time. With critical dimensions far larger than today’s, there was no concern about the amount of oxide etched by the solution, nor about Angstrom-level effects on surface mor- phology. And, indeed, for approximately 20 years there was no cause for such concern. Eventually it became clear, however, that concentrated SC-1 solutions at high temperature can cause microroughening of the Si surface (particularly, when used immediately after HF), and it was found that this could affect device performance [ 70 , 71 , 72 ]; Chapter 9 discusses Si surface morphology in detail. More dilute, lower temperature SC-1 solutions were 226 Wet Chemical Processes proposed, and various researchers showed that, especially with the introduc- tion of megasonic energy to enhance particle removal, such solutions could be at least as effective as the higher temperature, more concentrated solutions while mitigating the microroughening effect [70 , 73 , 74 ]. It was proposed that about 2.0 nm of substrate etching, in the presence of megasonic energy, was suffi cient to undercut and remove embedded particles, while the zeta potentials were not substantially altered by the relatively small change in pH moving from 1:1:5 SC-1 to considerably more dilute ratios [73 , 74 ]. In fact, more dilute solutions may be benefi cial since the “ thickness ” of the electric double layer, which is defi nes the distance of interaction between solid sur- faces in solution, is inversely proportional to the square root of the solution’s ionic strength [24 ]. Therefore, in more dilute solutions the repulsion at high pH acts over a longer distance in solution. Currently, SC-1 ratios about 10 times more dilute than the original RCA formulation are common. The introduction of more dilute SC-1 solutions means the volume of

H2 O2 and NH4 OH used by semiconductor factories are signifi cantly reduced. Therefore, environmental and cost benefi ts also result. Subsequent in-depth study of the role of H 2 O2 in SC-2 solutions revealed that concentrated solu- tions could be replaced by simple dilute solutions of HCl in H2 O, [ 75 , 76 ], or at least by SC-2 solutions of signifi cantly lower concentration and temperature [ 74 ].

In high-temperature SC-2 solutions, the H 2 O2 decomposes so quickly that the concentration of H2 O2 can decrease to near zero in as little as 30 minutes [ 75 ]. Conditions of about 1.2 × 103 M HCl at 50 ° C were rec- ommended by IMEC and Texas Instruments researchers [77 ]. Due to the volatility of the chemical components, SC-1 and SC-2 solutions are easily removed from wafer surfaces without leaving residues. However, as dis- cussed later, control of solution concentration becomes a challenge for the process engineer. In terms of process control, the most critical aspect of SC-1 is that it will etch SiO 2, and that it can consume Si at rates that depend on the rela- tive concentrations of NH4 OH and H 2 O2 . An alkaline solution will etch Si along the 〈 111〉 crystal planes in the absence of a suffi cient amount of oxidizer, resulting in roughening of 〈100 〉 surfaces [78 ]. The removal rate of Si is directly related to the concentration of OH– in the solution [79 ]. The reactions involved are [74 ]:

– – → 2– S i + 2 O H + 2 O H + H2 O SiO3 + 2H2 Eq. (4.3-3)

– → 4– SiO 2 + 4 O H S i O 4 2 H 2 O ( s o l u b l e s i l i c a t e s ) Eq. (4.3-4) 4: Aqueous Cleaning, Gale et al. 227

– As the ratio of NH4 OH to H2 O2 increases, the OH concentration will also increase. Care must be taken to avoid conditions in which excessive Si etching and roughening may occur [79 ]. It was found that the etch rate increases linearly with NH 4OH concentration up to a point of saturation, after which it no longer increases as shown in Figure 4.3-7. The maximum etch rate was inversely proportional to the H 2 O2 concentration, suggesting that the H 2 O2 created a passivating oxide [ 79 ].

4.3.2 Time and aging effects For IC manufacturing, baths of concentrated chemicals are used and recirculated through particle fi lters for time periods, ranging from about 2 hours up to several days, depending on the chemistry and appli- cation. Various changes to the solutions can take place over this time, and these are of constant concern to the process engineer. Such changes include:

• “ Dragout ” of chemical solution, caused by the adhesion of the chemicals to the wafer surface with each batch of wafers processed, causing the bath volume to reduce over time, thus requiring replenishing of the chemicals. • Different evaporation rates for different chemical constitu- ents causing relative concentrations to change over time. An

example would be H2 O evaporating faster than HF, causing a concentration increase over time.

Figure 4.3-7 Silicon etching rate as a function of the concentrations of

NH4OH and H2O2 in and SC-1 solution [79]. Reprinted with permission from Japanese Journal of Applied Physics, Kobayashi, H., Ryuta, J., Shingyouji, T., and Shimanuki, Y., Vol. 32 Page L45 (1993). 228 Wet Chemical Processes

• Breakdown/decomposition of one or more chemical com- ponent, either naturally or by reaction with contaminants

or etched fi lms. A classic example is SC-1, in which H2 O2 decomposes according to the following equation [ 80 ]. → 2H2 O2 ( a q ) 2 H 2 O ( l ) + O 2 ( g ) Eq. (4.3-5)

Metal contaminants in solution, especially Fe, have been found to catalyze the decomposition [ 81 ]. • Buildups of particulate, metallic, or organic contamination in the baths after many wafers have been processed. • Unwanted precipitation, such as from surfactants or buffer- ing agents.

The case of SC-1 is of interest because of the relationship between bath conditions and Si surface etching and roughening, and subsequent effects on device performance as previously described. One model proposes that

O2 bubbles formed by H 2 O2 decomposition stick to their surface and cause micromasking of the covered area, thus preventing etching of the under- lying fi lm by the solution, resulting in non-uniform etching and formation of small pillars [81 ]. Recently a more complex picture of the reaction mechanisms has been presented, including; metal (Fe) ion aggregates creating local H 2 O2 depletion and galvanic corrosion due to noble metals deposited in the prior HF step [78 ], as shown in Figure 4.3-8. In any case,

44.96 nm 0 µm 50 µm

50 µm 25 µm

25 µm

µ 0 µm 0 m

Figure 4.3-8 Atomic force microscopy plot of Si surfaces of a hydrophobic wafer after immersion in a 1:1:5 SC-1 solution that was contaminated with 3 ppb of Fe [78]. Reproduced by permission of ECS—The Electrochemical Society from Knotter, D. M., de Gendt, S., Mertens, P. W., and Heyns, M. M., J. Electrochem. Soc. 4: Aqueous Cleaning, Gale et al. 229

Figure 4.3-9 The decrease of NH4OH concentration under different temperature conditions in a SC-1 bath with an initial 1:1:5 ratio [82]. Reprinted with permission from Japanese Journal of Applied Physics, Takahashi, I., Kobayashi, H., Ryutha, J., Kishimoto, M., and Shingyouji, T., Vol. 32 Page L1183 (1993).

Figure 4.3-10 The decomposition rate of H2O2 in a 1:1:5 SC-1 bath as a function of Fe and Cu concentration (as well as their relative concentrations), indicating the higher dependence on Fe concentration, where R = 0 [83]. R is the ratio of the concentration of Fe to the total concentration of Fe and Cu. Used with permission of Ara Philiposian.

metal contaminants accelerate catalytically the decomposition of H2 O2 , as shown in Figures 4.3-9 and 4.3-10. As a result of concentration variability over time, state-of-the art immer- sion systems often employ in-line chemical concentration monitoring sys- tems, and “ chemical spiking ” pumps to periodically refresh consumed chemical components of the bath. A further trend, consistent with the use 230 Wet Chemical Processes of increasingly dilute aqueous chemistries, is to use single-pass baths with- out recirculation, so that the bath will not be affected by chemical concen- tration and purity changes over time. Concentrated solutions such as BHF,

H3 PO4 , SPM, and others, however, remain recirculated, due mainly to their high cost. A unique feature of hot phosphoric acid (H3 PO4 ) is that aging can actually be benefi cial because the buildup of etch products in solution improves the selectivity of Si 3 N4 versus SiO2 etching. However, depletion of the H2 O in the 85 wt% H 3 PO4 solution causes of decrease in the Si 3 N4 removal rate.

4.3.3 Effects of sequence The most common cleaning sequence for pre-thermal processing is outlined in Section 4.1.1 . The variation with the most profound effect is to process HF as the last step before rinsing and drying. Such “ HF last ” processing results in a hydrophobic or non-wetting, H-terminated Si surface [ 84 ] as opposed to the hydrophilic or readily-wetted chemical oxide (OH-terminated) surface associated with the standard cleaning sequence, without the HF-last process. Common HF last applications include:

• Surface preparation before epitaxial Si or SiGe growth. • Cleaning and surface preparation before polysilicon deposi- tion (to enable Si – Si contact) for DRAM capacitors. • Cleaning and surface preparation before metal (e.g . , Co, Ni) sputtering to form metal silicides. • Cleaning of etched contacts before contact liner deposition.

Such applications are very sensitive to small amounts of chemical or native oxide. Therefore, not only is HF last cleaning required, but also often the lag time between the cleaning step and the subsequent process must be limited in order to avoid native oxide regrowth. An alternative and optional RCA sequence is reversing the SC-1 and DHF steps; the sequence of the last three steps is SC-1 – DHF – SC-2, resulting in an interesting effect. Although the process is not HF last, chemical oxide thickness as measured by an ellipsometer is closer to the value measured after HF last than to the amount grown by SC-1. Since HF-last should have no chemical oxide, the “ fi lm” that is being measured is discussed in Chapter 9. The overall electrical oxide thickness of integrated gate oxide stacks is also similar for HF last and HF + SC-2 last, whereas for SC-1 + SC-2 last it is on average 0.05 – 0.1 nm thicker [ 17 ]. 4: Aqueous Cleaning, Gale et al. 231

4.3.4 Deionized water quality

Because H2 O is used in every aqueous cleaning process, the impact of H2 O quality on defect levels is considerable. Contaminants are removed from the

H2 O prior to use in the cleaning process. Bacteria growth in ultrapure DI H2 O (UPW) must be avoided; ultraviolet (UV) irradiation, ozonation, and fi ltration are methods used to kill and remove the bacteria. The sequence of UV light use, ozonation, and fi ltration can also affect the fi nal particle count [ 85 , 86 ]. The UV light breaks bacteria into smaller fragments, which manifest themselves as particles. One bacterium can generate several fragments. Shadman et al. [ 85 ] showed that the TOC (total organic carbon) level dropped more dramatically when the membrane fi lter was placed before the UV unit. The fi lter removes particles, larger than a certain size, while the remaining organic particles are oxidized and broken down in the UV unit. In the reverse sequence, where the

H2 O is exposed to the UV unit fi rst, the smaller fragments that are generated are more diffi cult to remove in the fi lter, thus resulting in a higher TOC level as shown in Figure 4.3-11. In spite of some of these research observations, most semiconductor factories still favor the use of a fi nal fi lter before pump- ing H2 O into the fab. Particles are found in the DI H2 O supply. New 300-mm IC manufactur- ing facilities are striving to achieve concentrations of <0.2 particles/mL ≤ for particles 0.05 µ m in DI H2 O [ 87 ]. Studies have been performed to

Figure 4.3-11 The effect on TOC of sequencing UV with a charged membrane fi lter; 15.2L/min [85]. Copyright 1990 by IEST. Used by permission. Reprinted with permission from 1990 IES Proceedings, published by IEST. www.iest.org (847) 981-0100. From: Shadman, F., Governal, R., and Bonner, A., “Interactions between UV and Membrane Filters During Removal of Bacteria and TOC from DI Water,” Proceedings of Institute of Environmental Sciences, Annual Technical Meeting, Arlington Heights, IL: IEST, p. 221 (1990). 232 Wet Chemical Processes

determine how particles in the DI H2 O supply adhere to the wafers. Riley and Carbonell [25 ] show that the number of added particles does not nec- essarily increase with immersion time; however, the number of particles added to a hydrophilic wafer depends on the zeta potentials of the particles and wafers, and their ease of wetting in H2 O. In all cases, the number of particles added to a hydrophilic Si wafer surface increased with particle concentration in H2 O. To achieve the goal of a low particle density in DI H2 O, multiple pass fi ltration is performed using coarse and fi ne fi lters, with continuous or periodic ozonation and UV light to break down bacteria. The fi nal fi lter in the fi nishing loop is usually a charged membrane fi lter. Manufactur- ing facilities use large quantities of DI H 2 O, principally in wafer cleaning tools, varying from <40 to >200 L/minute.

Many particles in DI H 2 O are colloidal species that are usually negatively charged, especially colloidal silica and lipopolysaccharides [88 ]. The use of a positively charged modifi ed membrane fi lter aids in removing these negatively charged particles. Because the nature of particle capture is related to the attraction of colloidal particles of charge opposite to that of the mem- brane medium (often termed “ electrokinetic adsorption” ), these fi lters are very effi cient at removing particles much smaller than the pore size of the membrane. Companies such as Cuno and Pall Corporation manufacture these charge-modifi ed fi lters. Research at the University of Arizona has shown some interesting prop- erties of charge-modifi ed fi lters. Jan et al. [ 88 ] have extensively character- ized the electrokinetic properties of these fi lters and found discrepancies between the claims of suppliers and the actual performance. Jan et al. measured the zeta potential, surface charge density, and saturation capac- ity of two different charge modifi ed Nylon® membrane fi lters. They found that suppliers were claiming particle fi ltration at pH values of H 2 O ranging from 3 to 10, while their results showed that these fi lters became nega- tively charged (and hence ineffective) at pH values below 7.6. The wider the pH range in which the zeta potential is positive, the more effi cient is the fi lter; nonetheless, these charged fi lters have been shown in fi eld use to be very effi cient in small particle removal from H 2 O.

4.4. Rinsing and Drying

Although a great deal of engineering goes into removal of particulate, metallic, and organic contaminants without deleterious effects on wafer materials and structures, the overall cleaning process is only as effective as 4: Aqueous Cleaning, Gale et al. 233 the last steps— rinsing and drying. If these steps are not well engineered, all gains made in the chemical cleaning process can be lost. Rinsing between chemical steps is relatively straightforward; quench and remove the bulk chemical. Most critical, however, are the fi nal rinsing and drying steps.

4.4.1 Evaluation of rinsing performance The purpose of rinsing is to remove chemicals and replace them with

DI H2 O so that no chemical residues will remain on the wafer. Between chemical steps, this prevents unwanted reactions such as the formation of ammonium chloride (NH4 Cl) that can occur in the absence of suffi cient rinsing between SC-1 and SC-2 steps. Typically, in the fi nal rinsing step before drying, an in-line resistivity measurement is used to ensure that chemical has been suffi ciently replaced by H2 O. 7 Because of the large amounts of H2 O used, as much as 2.0 × 10 gal or more per month for a fully operating wafer fab [ 89 ], rinsing is an environ- mental and cost issue as well as one of process performance. It is desirable to optimize the rinsing process so that the amount of H 2O used is minimized, and the effectiveness of its use maximized. Since resistivity measurements are taken typically where the bulk rinse H2 O is going to drain, they do not necessarily represent what is occurring at the wafer surface. Mass spectros- copy techniques are useful for detecting light elements on wafer surfaces after rinsing, such as F that might remain after HF processing [91 ]. The fi nal rinsing process poses different challenges depending on whether the wafers are hydrophobic (after HF) or hydrophilic (after oxi- dizing chemistries). In the former case, if it is desired that the Si surface remains H-terminated, often O2 is removed from the rinse H 2 O to prevent reoxidation of the Si. Also, in this case the highly active Si– H surface can easily attract contaminant particles, so rinse cleanliness and fl ow pattern are important. Finally, hot H2 O should never be used for rinsing Si after HF, since it will roughen the bare Si surface by accelerating the Si etch rate due to the higher temperature [ 90 ].

The use of O 3 /H2 O also allows formation of a thin native oxide layer, a chemical oxide, which passivates the wafer surface, thus preventing subse- quent contaminant deposition [91 ]. If a passivated chemical oxide, SiO x , sur- face is preferred after HF cleaning, it is necessary to inject O3 into the rinse H2 O to readily form this clean chemical oxide. Several suppliers now offer an ozonized rinse as a part of their wafer cleaning equipment. In the case of the hydrophilic surface, which is most common since sequences typically end with SC-1 and SC-2, care must be taken to avoid adsorption of certain metal 234 Wet Chemical Processes

contaminants from fi nal rinse H 2O. At near neutral pH, certain periodic table column II alkaline earth metals, such as Ca and Mg, which are particularly detrimental to gate oxide integrity (GOI), can be easily deposited on oxidized Si [ 92, 93 ]. It has been proposed that a competitive adsorption mechanism leads to certain metal cations depositing when the concentration of H+ cations is insuffi cient. Therefore, lowering the pH by addition of some HCl or HNO 3 to the H 2O, for example, mitigates the metal adsorption [92 , 93 ]. At the end of a rinsing operation, a hydrophilic wafer exiting the rinse tank carries with it a thin fi lm of H2 O of about 20 µ m in thickness. The bound- ary layer thickness during wafer pullout, on the other hand, is around 2500 µ m [ 94 ]. This implies that the carryover fi lm is entirely within the boundary layer. Transport of residual ions or particles is slower within the boundary layer than in the bulk rinsing medium. When the boundary layer is much larger than the carryover thickness, there will be ionic and particulate species that are not rinsed off the wafer surface even after a long rinsing period.

Routine correlation of particle counts in the DI H 2 O with overall H2 O quality is useful in determining the cause of particle fl uctuations. Chapter 8 discusses methods for monitoring particles in H 2O and in chemicals. For HF-etched wafers, variations in the TOC level in the rinse tank can cause major changes in the number of particles added.

4.4.2 Drying methods and processors Major categories of drying methods are as follows:

1. Spin drying, both bath and single wafer 2. IPA vapor drying 3. IPA surface tension gradient drying

4. Slow pull N 2 drying 5. Vacuum-assisted drying

4.4.2.1 Spin drying The earliest means of drying wafers was by simply spinning them in a centrifuge, at rates of 800 – 1000 rpm or more. This is still practiced in some cases, for both batch and single-wafer confi gurations, although other methods have been introduced that provide better performance espe- cially for more critical applications (such as HF last). Disadvantages of spin-drying include particle generation due to the mechanical motion and 4: Aqueous Cleaning, Gale et al. 235

fl ow dynamics. Additionally, depending on the machine design a centrifugal rinse may result in the generation of micrometer- and sub-micrometer-size

H 2 O droplets, which may not be effectively removed in the dry cycle. In batch spin systems a dry-only mode adds less particles and watermarks than a combined rinse-and-dry. As a general practice, it is recommended that the rinsing process be accomplished in the rinse tank, and not the spin dryer. An effi cient dryer in the dry-only mode can add less than 1 particle (<0.2 µ m) onto a 150-mm diameter wafer. Spin-drying in an environment purged by dry hot N 2 can mitigate other dryer-generated defects, such as watermarks after HF processing. For batch spin– spray processors or single-wafer spin tools, the dry- ing is done in situ after the chemical processing step and rinsing. For wet benches, a separate module is used. The key challenge is to perform the process, which necessarily involves moving parts, without particle gen- eration. This is particularly diffi cult with large batches of large (300 mm) wafers. Additionally, in such a batch of spinning wafers the breaking of one wafer (due, for example, to a pre-existing stress crack) can contaminate the entire batch.

4.4.2.2 Isopropyl alcohol vapor drying Another method of drying uses a cloud of isopropyl alcohol (IPA), which, due to its low surface tension, displaces water when it con- denses on the wafer surface. Additionally, the high vapor pressure of IPA enables it to readily evaporate, leaving dry wafers. Drawbacks of such dryers that may use large amounts of IPA include the necessity of special fi re safety measures, and concerns about organic residue that might remain after drying. Figure 4.4-12 shows a schematic of a typical batch IPA vapor dryer. IPA vapor dryers were introduced to achieve watermark- and particle- free wafer drying. In IPA dryers, rinsed wafers are exposed to IPA vapors, either by direct displacement using condensed IPA (the vapor dryer) or by surface tension. These dryers have fewer moving parts and tend to have fewer particle problems than centrifugal spin dryers. However, these dry- ers are much slower than the spin dryers and use large amounts of a liquid with a low fl ash point; for IPA it is about 13 ° C for 80 – 100 wt % . Ohmi et al. [95 ] reported that the H 2O content in the IPA, the IPA heating system, and the IPA vapor velocity are major variables affecting the performance of a vapor dryer. 236 Wet Chemical Processes

Figure 4.4-12 Schematic of IPA vapor dryer.

4.4.2.3 Drying by surface tension gradient effects An effective drying technique that uses smaller amounts of IPA relies on the so-called Marangoni effect. Specifi cally, if a gradient of surface tension exists in a liquid there will be a fl ow from the higher to the lower surface tension region. This explains why high alcohol content drinks such as brandy can be observed to seemingly crawl up the inside of a glass in opposition to gravity. In wafer drying, an IPA vapor is introduced into a chamber above a DI H2 O bath in which the wafers are immersed. The IPA (low surface tension) diffuses into the H2 O (high surface ten- sion) at the surface, so there is a gradient of surface tension between the surface (having a lower surface tension due to the IPA) and the bulk H 2 O below (having a higher surface tension). As the wafers are pulled slowly upward through the interface, H 2 O is pulled away from the surface by the Marangoni effect [96 , 97 ]. This method offers advantages that have led to its wide use. First, it uses less IPA volume than IPA vapor method, 4: Aqueous Cleaning, Gale et al. 237 which makes its cost lower and its safety requirements less stringent.

Second, it can be carried out at much lower temperatures. Because H2 O is physically removed, it is easier to avoid watermark defects. Addition- ally, this approach is claimed to remove particles from the wafer surface more effectively than is possible with IPA vapor dryers [98 ]. Surface tension drying referred to as “Marangoni drying,” was developed by researchers at Phillips Research Laboratories [99] and further explained in reference [95, 100]. As the wafers are removed from the rinse tank at speeds of 1– 2 mm/second, the air/H 2O/Si interface is exposed to the vapors of an H 2O-soluble organic liquid, typically IPA. This IPA is absorbed into the H 2 O at the interface, and the IPA/H2 O interface induces a surface tension gradient within the curvature of the interface, causing the H 2O meniscus to contract. This effect allows the H 2 O to sheet off a smooth hydrophilic wafer surface (Figure 4.4-13) . The technique leaves a hydrophilic Si wafer surface drier than a spin drying operation, as measured by the amount of H 2O evaporated from the surface after the completion of the drying operation. Other potential liq- uids that have low surface tension and form volatile vapors such as diacetone alcohol and 1-methoxy-2-propanol [101 ] have been found to be potentially suitable for Marangoni drying, but in practice these are not used.

4.4.2.4 Defects related to rinsing and drying Watermarks

Historically, mixed hydrophilic and hydrophobic patterns after HF last processing have presented the greatest challenge for drying. A type of defect called watermarks, water spots, or drying stains has become well

Figure 4.4-13 Schematic of IPA surface tension gradient dryer. Courtesy of FSI International. 238 Wet Chemical Processes known in the industry [102 – 106 ]. Examples of watermarks are shown in Figure 4.4-14. The mechanism of formation of this defect involves Si oxi- dation, dissolution of the formed oxide, and the dynamics of the drying.

The oxidation occurs due to dissolved O 2 either in the H 2O or diffusing into the H2 O during rinsing. Subsequently the oxide is dissolved into the H2 O according to the overall sequence [ 104 ]: → S i + O 2 Si O2 Eq. (4.4-6)

→ Η SiO 2 + H 2 O 2 S i O 3 Eq. (4.4-7)

→ + - H 2 S i O 3 H + HSiO3 Eq. (4.4-8)

As the wafer is dried, the dissolved residue can precipitate to form defects containing Si and O. One way this can happen is if evaporation of the

H2 O fi lm or H 2O droplets occurs, since solid material will not evaporate. Therefore the H 2 O must be completely removed. Mixed hydrophobic and hydrophilic areas are particularly sensitive, most likely because while the

H2 O is normally pulled away from the hydrophobic areas instantly, the hydrophilic areas can trap it. So, with intricate patterns the H 2 O can be trapped in contact with bare Si, and the above reactions occur. Because such watermark defects tend to kill an entire chip when they occur, avoiding them is a critical concern for the process engineer. IPA based drying techniques have become favored over spin-drying for sensitive applications. In general, strategies for mitigating watermarks include:

Figure 4.4-14 Examples of watermarks on a dried wafer. 4: Aqueous Cleaning, Gale et al. 239

• Performing the HF step in the same chamber where rinsing and drying will occur, to avoid transfers through air between HF rinse and dry steps.

• Eliminating O 2 from the rinse H2 O. • Eliminating O 2 from the ambient in the dryer (e.g., by N 2 purging). • Using IPA-based drying instead of spin-drying.

• Avoiding deposition of H2 O droplets (e.g . , splashing) on dried portions of the wafer.

• Avoiding evaporation of the H 2 O at the transfer steps or when the wafer is idle.

Recently a different type of drying defect was identifi ed that has simi- larities to watermarks but is formed by a somewhat different mechanism. In cases where the HF step is performed in the same chamber with rinsing and drying, a watermark-like defect with a much higher density of silica/ silicate residue can form if HF vapor is not adequately removed from the chamber prior to rinsing and drying. In conjunction with the oxidation and etching mechanism described above, the HF accelerates the etching portion, leading to a thicker residue containing F [107 ]. It was also found that heavily doped polySi is more sensitive than undoped Si for forming this type of defect [ 108 ].

4.5 Aqueous Cleaning Equipment

Commercially available aqueous-based cleaning, rinsing, and drying equipment used for the manufacturing of IC devices is discussed in this section. Many types of equipment exist to carry out these processes. The choice of material for the construction for cleaning equipment is important: the material must stand up to the constant exposure of chemi- cals and H2 O, and must not degas, shed particles, corrode, or decompose during the life of the tool. Components and subassemblies must also be able to withstand constant chemical exposure. Piping for DI H2 O must be free of additives or contaminants that can elute into the fl uid and must be compatible with oxidizing chemicals such as H2 O2 , O3 , and hot H 2 O [90 ]. Examples of material used for aqueous processing equipment and compo- nents are perfl uoroalkoxy (PFA), polytetrafl uoroethylene (PTFE), and oxy- 1,4-phenylene-oxy-1,4-phenylene-carbonyl-1,4-phenylene, also known as polyetheretherketone (PEEK). Polyvinylchloride (PVC) has been shown to shed particles as is rarely used for aqueous tool components. PEEK is the material that is recommended for DI H2 O transport systems because 240 Wet Chemical Processes of its smooth surface properties, lower leaching potential, and tempera- ture insensitivity; however, it is very expensive. Stainless steel and other metal components must be avoided; stainless steel has the potential to add

metallic contaminants into the H2 O stream.

4.5.1 Immersion wet benches Batch wet-chemical cleaning systems are either immersion-based, or spin/spray-based. Immersion wet stations consist of one or more chemi- cal baths, rinse tanks, and a dryer. A wafer cassette is fi rst immersed in the chemical bath for a specifi ed length of time and then transported to the rinse tank. High-productivity 300 mm immersion tank systems are fully automated with robotic transfer of the cassette of wafers from the 300 mm FOUP (front opening unifi ed pod) to the carrier used to process the wafers through the immersion system. In some cases, the robot may hold the wafers throughout the entire process with a specially designed arm ( “ cassette-less ” ) or the robot may transfer the wafers to specially designed cassettes that minimize the touch points on the wafer surface, thus minimizing shielding of the wafer surface, the volume of chemical displaced by the cassette, and the amount of chemical carried over to the rinse tank. Materials used for the carrier must be compatible with all chemicals used in the wet bench. Commercially available automated immersion wet benches are sold by Dainippon Screen, Tokyo Electron Limited, Akrion, and other companies. An example of a commercially available system is shown in Figure 4.5-15. Immersion baths can reuse the chemical solutions for several batches of wafers. Using the same chemical for a long time leads to a build-up of contamination with time [ 109 ]. Recirculation with point-of-use fi ltration is essential to keep the particle level in the bath to an acceptable level. From a particle control viewpoint, the time allowed for recirculation, fi l- tration between wafer loads, and at the start of a fresh batch of chemicals is very important. If adequate time is not allowed for the particle level in the bath to decrease by fi ltration, gradual build-up of particles will rapidly cause the bath to be ineffective. Section 4.5.7.2 will discuss aspects of point-of-use fi ltration. The chemical batch immersion process can be repeated for each chemi- cal, such as the complete RCA sequence in separate tanks with rinses being performed in separate tanks in the wet bench and drying performed in an another vessel in the wet bench. Immersion systems also have been designed to serially process the RCA sequence, including the intermediate rinses and 4: Aqueous Cleaning, Gale et al. 241

Figure 4.5-15 A commercially available batch immersion system manufactured by DNS. Used with permission from Dainippon Screen. surface tension gradient (STG) drying in the same processing tank. Many commercial confi gurations are available, and most companies will custom design an immersion tank system to a specifi c cleaning sequence used for manufacturing.

Chemical solutions that use H 2 O2 decompose rapidly; hence, they need to be replenished with fresh H2 O2 before a new batch of wafers is pro- cessed. Typical bath life for SC-1 or SC-2 mixtures operating at tempera- tures from 50 to 80° C is few hours before replenishment is needed [82]. Thus, chemical usage costs can be very high, and even higher for immersion wet tank systems that use fresh chemical with every bath [ 109 ]. Megasonic capability is available in most immersion batch systems and is typically used in combination with SC-1 cleaning. A single-wa- fer immersion-cleaning module using megasonic transducers has been introduced to the IC industry for FEOL critical cleaning processes [110 ]. This module uses multiple chemistries in the same immersion tank and has an integrated surface tension gradient dryer.

4.5.2 Centrifugal spin/spray batch system In a spin/spray processor, a cassette of wafers is placed in a bowl arranged around a central pole and then rotated on a shaft at a certain speed while chemical solutions are sprayed on the wafers. After the chemical spray is 242 Wet Chemical Processes

Figure 4.5-16 A commercially available spin/spray processing system manufactured by FSI. Courtesy of FSI International.

stopped, the wafers are rinsed with a DI H2 O spray, and then spun dry in an N2 environment. A commercially available spin/spray acid processor is depicted in Figure 4.5-16; FSI International, Semitool, and others make spin/spray processors. Figure 4.5-17 shows a schematic of the spin/spray system process chamber. Spray processors typically use fresh chemicals for every batch, thus pro- viding pure chemicals for cleaning. Cassettes of wafers rotate past a spray post, dependent on the system and wafer size, which dispenses a fi ne mist of chemicals. Rinsing and drying are conducted in the same chamber. Industry use of batch spray processors has declined in recent years, mainly due to the diffi culty of achieving very low defect densities while spinning batches of large (300 mm) wafers. Particle generation can occur from nozzles, seals, etc., and watermarks can form due to inadequate drying of the wafer, as discussed in Section 4.4.2.4.

4.5.3 Brush scrubbing Brush scrubbers are commonly used in many wafer and IC manufac- turing cleaning processes, such as Si wafer lapping and polishing and post-CMP cleaning. Particles are hydrodynamically dislodged from the surface with a rotating brush made of a sponge-like hydrophilic material ® (e.g., Nylon or poly-vinyl alcohol (PVA)), while H2 O (with a surfac- tant such as Triton X-100® ), dilute SC-1, or a semi-aqueous solvent is sprayed on the wafer. The hydrophilic brush ensures that a cushioning fi lm of scrubbing solution always remains between the wafer and the 4: Aqueous Cleaning, Gale et al. 243

Figure 4.5-17 Schematic of a spin/spray processing system manufactured by FSI International. Courtesy of FSI International. brush bristles. This is important because the contact of the bristles with the wafer surface could cause scratching and other damage. Brush scrub- bers can clean one side (single sided) or both sides (double sided) of a wafer surface. Chemical mechanical polishing (CMP) is carried out at several points during the manufacture of a device wafer to provide a smooth, fl at surface for subsequent lithographic operations and the dual damascene Cu fi ll process or the W plug fi ll. Typically it involves the polishing of a thick layer by using slurry containing colloidal particles. After the polish, the particles from the slurry that have deposited on the wafer surface can be removed by brush scrubbing. Additionally, non-slurry particles are also removed; such as the particles generated from wear caused by the polishing action on the components of the CMP tool. As brushes wear with use, however, they tend to shower the wafer with brush fragments and particles collected from prior cleaning operations. 244 Wet Chemical Processes

Brush scrubbers are particularly effective when large amounts of parti- cles are deposited on the wafer surface. Brush cleaning relies on the pores of the sponge to collect and hold the particles until they are intentionally removed. Unloading the brush of the slurry particles is important to avoid scratching of the surface due to agglomerated particle clusters. A poorly adjusted scrubber can do a signifi cant amount of damage. A major disad- vantage of these scrubbers is that they do not work well with patterned wafers. The brushes that are several millimeters in diameter ride over a micrometer feature on a wafer, missing debris lodged between features or causing pattern damage. A typical post-CMP cleaning system includes two brush boxes and a megasonic-cleaning module.

4.5.4 Single-wafer chemical processors Single-wafer cleaning equipment was initially used at specialized processing steps such as backside and bevel cleaning. However, success- ful introduction of a single-wafer BEOL cleaning system by SEZ has proliferated the use of these tools. Single-wafer systems are now used for multiple applications; BEOL polymer and residue removal and back surface metallic removal after metal deposition processes are the most ubiquitous. However, systems designed for critical cleaning and FEOL photoresist stripping processes are available. Single-wafer processes have been promoted for their uniformity and process control due to the indi- vidual processing of each wafer. Most single-wafer processing modules have side-specifi c cleaning; the ability to isolate and clean only one side of the wafer or in some cases to process the two sides with different chemical solutions. Cross-contamination that can occur in batch immersion systems, such as particles migrating from the backside of a wafer to the front side of the adjacent wafer, or from edge onto front side, can be avoided by the use of single-wafer spin tools. The materials used for single-wafer processing equipment are similar to those required for batch spin spray systems. Additionally, the spinning process, which thins the boundary layer, can be performed in combination with megasonic cleaning. Multiple chemical solutions can be run and the dryer can be integrated on the same module. Isopropyl alcohol dry- ing, including STG type [111 ], is available for watermark-free drying. To match throughputs of a batch spin spray or immersion system, multiple processing modules must be placed on one platform, resulting in a tool that may contain from 4 to 12 modules. All these modules must perform repeatably with respect to the other modules on the platform. 4: Aqueous Cleaning, Gale et al. 245

Commercially available automated single-wafer wet processors are sold by SEZ, Semitool, Akrion, and other companies. An example of a commercially available system is shown in Figure 4.5-18 .

4.5.5 Rinsing processors Many types of rinsing and drying equipment exist for IC manufacturing. The rinsing equipment can be divided into three types:

1. Dump rinsing (wet benches) 2. Overfl ow rinsing (wet benches) 3. Spin/spray rinsing (batch spray tools, single-wafer tools, and occasionally wet benches)

Typically the rinse process uses ambient temperature low-resistivity DI Ω H2 O of about 13 – 18 M -cm. The wafers are rinsed until the outgoing H2 O from the tank or the spin/spray station is at the same resistivity as the incoming H 2 O.

4.5.5.1 Dump rinsing After steps using concentrated chemicals, a very commonly used rinse tank mode in an immersion wet bench is the quick dump with or

Figure 4.5-18 A commercially available single-wafer spin/spray processing system manufactured by the SEZ Group. Used with permission from SEZ. 246 Wet Chemical Processes without a top spray. Wafers are exposed to a spray of ambient tempera- ture DI H2 O, which fi lls the rinse tank, or are initially immersed into an already-fi lled tank. When the tank is full, the H2 O is dumped from a valve at the bottom. This cycle is repeated several times. The advan- tage of this confi guration is that it removes chemicals from the wafer surface very rapidly, periodically dumping the H 2O, which contains the chemicals. Chemicals such as SPM and H3 PO 4, diffi cult to remove due to their high viscosity, are often rinsed off using quick dump tanks. This method is very good for rapidly removing the chemical off the wafer surface and is used in many commercial immersion wet processors. One drawback, however, is that these rinses consume a large amount of water. Additionally, spray nozzles tend to generate particles and grow bacteria. Also, quick dump rinse (QDR) tanks generally produce

turbulent convective currents in the H 2O, which increase particle mobility to the wafer surface. Hence, the QDR is a poor choice from a particle control point of view, but a very good choice for rapidly removing chemicals. Figure 4.5-19 shows results from a QDR using numerous rinse cycles after SPM processing to minimize the amount of S residue left on the wafer surface [47].

Figure 4.5-19 Results from a QDR using numerous rinse cycles after SPM processing. Conductivity decrease after each rinse cycle to a steady-state value after approximately the fi fth rinse cycle [47]. Reprinted from Chiarello, R.P., Parker, R., Helms, C.R., Chen, W., Tang, S., and Cook, L.J., “Optimization of Post Sulfuric Acid/Hydrogen Peroxide Dump Rinsing Process,” Material Research Society Symposium Proceedings, Science and Technology for Semiconductor Surface Preparations, Vol. 477, Pittsburg, PA, (1997) page 533. Used with permission. 4: Aqueous Cleaning, Gale et al. 247

4.5.5.2 Overfl ow rinsing Overfl ow rinsing is a common method of performing both the initial rinse after chemical processing and the fi nal rinse before drying in an immersion- wet bench. Wafers are exposed to a steady fl ow of H 2O rising from the bot- tom of the rinse tank to the top and then overfl owing, which accomplishes the rinse. The term, “cascade rinsing” is also used. There are no sprays used. However, the viscous chemicals are not effectively removed from the wafer surface in a cold cascade overfl ow rinse. Therefore overfl ow rinsing is most com monly used after more diluted chemical steps such as SC-1, SC-2, and DHF. This method tends to add fewer particles to the wafer than the QDR.

4.5.5.3 Spin/spray rinsing Spin/spray rinsing is performed in the centrifugal spin/spray chemical processor immediately after chemical processing step without moving the wafers to another station or tank. Immediately after the chemical process- ing is complete, DI H 2O is sprayed on the wafer to remove the chemicals. The wafers continue to spin during the spray rinsing process, effectively removing the chemical. Since a spin dryer contains moving parts, spray nozzles, and various kinds of gaskets and seals that can be sources of par- ticles, these must be replaced periodically. Historically, spin fi nal rinsing and drying was often performed in immer- sion wet benches. In recent years, however, this has become rare due to poor defect performance (particles and watermarks). In particular, con- ducting a centrifugal rinse in the same module as the dryer results in the generation of micrometer- and sub-micrometer-size H 2 O droplets, which may not be effectively removed in the dry cycle. A dry-only mode is by far a lower particle adder than a rinse-and-dry mode. If a spin dryer is used, it is recommended that the rinsing process be accomplished in the rinse tank, and not the spin dryer. Particle control in the rinse tank becomes especially critical for wet etch processes using HF chemistry. Hydrophobic wafers exiting the HF bath and entering the rinse tank are very susceptible to particle deposition. Menon et al. compared various rinse tank confi gurations for the effec- tiveness of cleaning etched hydrophobic wafers [42], as shown in Figure 4.5-20. Quick dump rinse tanks performed poorly with respect to particle addition during processing, while rinse tanks with megasonic transducers performed well. Major sources of particulate contamination during wet-chemical cleaning are often in the rinse tank and spin dryer, and not in the chemical bath. Careful selection of rinse tank and dryer confi gura- tion is therefore critical. 248 Wet Chemical Processes

4.5.6 Advantages and disadvantages of cleaning equipment One of the limitations of cleaning by immersion is the required liquid volume, and therefore the cost of chemicals, which increases signifi cantly with the wafer diameter. Single-wafer tools that dispense chemicals through a nozzle on a rotating wafer surface consume much less chemicals and offer the additional fl exibility of different front and back surface treatments. Addition- ally, the chemical fl ow can be better controlled through a dispensing nozzle. Single-wafer processors have minimal touch points on the wafer surface that are shielded from chemical exposure as compared to batch process- ing tools. Multiple touch points and closely spaced wafers and the fi xtures used to hold the wafers can have detrimental impact on the uniformity of processing across the wafer and a batch of wafers. With optimization, both single-wafer systems and batch systems can have very good uniformity. Care must be taken to avoid contamination between batch immersion tanks; transfer of contaminants from one wafer to another in the same batch, which can occur in wet bench tanks, is avoided in single-wafer systems. Complete rinsing of the chemical between batch tank processing is essential. The chemicals are usually used multiple times for immersion batch processing, and usually only once with spin spray processors (though re-circulating, multiple use systems are available). Using a chemical only one time avoids accumulation of contaminants in the chemical.

Figure 4.5-20 Effects of rinse tank confi guration on particle contamination on etched wafers (particle sizes 0.2–0.5 µm; initial particle count <1.2/cm2) [42]. Reprinted from Microcontamination Magazine. Copyright 1989, Tom Cheyney, Los Angeles, CA. Used with permission. 4: Aqueous Cleaning, Gale et al. 249

The use of spin processing in single-wafer tools enables high fl ow veloc- ity close to the wafer, which allows faster diffusion of the impurities away from the surface of the wafer [ 112 ]. This has proven to be particularly effective for polymer removal processes.

4.5.7 Auxiliary equipment 4.5.7.1 Chemical distribution system Chemical distribution systems consist of pumps, chemical storage tanks, and piping that are required to automatically dispense chemicals to the process equipment. These systems improve safety and reliability, eliminate the need to bring chemical containers and bottles into the cleanroom, incorporate multiple pre-fi ltering stations to reduce particles, and reduce the variability associated with manual operation [113 ]. The chemical compatibility and cleanliness of storage drum and piping mate- rials, the fi ltration scheme, and the particle generation characteristics of pumps and valves are important aspects in providing a low contamination levels at the point-of-use. Integrated circuit production for 90-nm node technologies require chem- icals with particle levels of less than ~200 particles/mL of size greater than 0.2 µ m. While chemical suppliers are working towards such levels of particulate contaminants in incoming chemicals, the purity at the point-of- use depends entirely on the fi ltration scheme in the chemical distribution system and at the re-circulating process tank. Many manufacturers includ- ing BOC, Celerity, Kinetics, and many regional companies sell chemical distribution systems, also called chemical management systems. Figure 4.5-21 depicts a schematic diagram of a typical bulk chemical distribu- tion system [33 ], where the actual systems are compact and are remotely located from the process equipment. The chemical drums, storage tanks, pumps, and fi lter banks are usually located in an area isolated from the main cleanroom. Chemicals are pumped from the source drum into storage tanks, often called “ day ” tanks, which may or may not have a N2 blanket above the liquid surface. From the storage tanks, the chemical is continu- ously re-circulated through a bank of fi lters up to the point of connection to the process tools. Fluid fl ow within the distribution system is accom- plished either with a pump or pressurized N2 gas. On demand, the chemi- cal solution is drawn from the central recirculation loop to fi ll a process tank. When the process tools do not need fresh chemicals, the chemicals are being continuously circulated through the fi lters and the storage tank. Some facilities prefer to have a local recirculation loop that keeps chemi- 250 Wet Chemical Processes

Figure 4.5-21 Schematic diagram of a typical bulk chemical distribution system [33]. Reprinted from Microcontamination Magazine. Copyright 1990, Tom Cheyney, Los Angeles, CA. Used with permission. cals moving through the storage tank and the fi lter bank. Automatic sys- tems for spiking of chemical to replenish the bath while processing are commercially available. Depletion of the H2 O2 , especially in SPM baths, but also in SC-1 and SC-2 baths can be remedied by continuous replenish- ment of chemicals to prevent the cleaning ability from diminishing. Filters capable of effi ciently removing particles of sizes less than 0.1 µ m are commercially available, however, there are compatibility issues that must be carefully examined before selecting a fi lter for a specifi c process. Fluorocarbon resins such as PFA (polyfl uoralkoxy), PVDF, and PTFE are generally compatible with most inorganic acids and alkalis [114 ], according to a compatibility chart of fi lter materials with commonly used chemicals [115 ]. Often, the membrane of a fi lter is compatible with the chemical being fi ltered, but the cartridge material, fi lter housing, or the O-ring is not. This can result in change in material composition, leading to gas permeation, polymer degradation, particle shedding, and overall loss of chemical quality. Gotlinsky warns that the material compatibility issues sometimes leads to the selection of Tefl on® as the material of choice for all fi lters, while lower cost alternatives may be available [ 116 ]. Hence, a sys- tematic program for selection, maintenance and replacement of fi lters is essential to successfully control particles in a wet-chemical process tank.

For H 2 SO 4 , H 2 O2 , NH 4 OH, and HCl, 6 – 10 minutes of operation in a recycle mode is suffi cient to bring the counts well below those observed in bottled chemicals. The particle concentrations at 0.3 µ m are typically 2– 5 times that at 0.5 µ m. Gruver et al. [117 ] reports the performance of another chemical distribution system for all key chemicals, the fi nal particle count 4: Aqueous Cleaning, Gale et al. 251 at the point-of-use should be below 0.50 particles/mL. On an average, the chemical distribution system with its fi lters can provide a 100– 500-fold decrease in particle levels. This reduction does not include any point-of- use fi ltration. Rosenfeld et al. [118 ] published results of another distribu- tion system. Their results indicate a 35– 300-fold decrease in particle levels from the drum to the point-of-use, depending on the chemical composition and type of fi lters being used. When designing a chemical distribution system, it is worthwhile to include sample ports at various points in the system to extract chemicals that can be analyzed for particles. Sample ports are recommended after the drum, the pumping unit, the storage tank, and at the point of connection to the process equipment. Also, building in some redundancy in pumping capability is useful as usage demands change, or if one pump is in repair.

4.5.7.2 Point-of-use fi ltration

State-of-the-art fabs generally prefer to do all their DI H2 O fi ltration at a central purifi cation facility, and not at the point-of-use. Point-of-use H2 O fi lters are breeding grounds for bacteria because of the low volumetric

fl ow rate of H 2 O through each fi lter. Also, since most fi lters are not com- patible with O 3 , they cannot be used with O3 /H2 O. Wafer cleaning processes that are conducted in an immersion tank or spray processor accommodate point-of-use recirculation fi lters. Recircula- tion tanks, with continuous fi ltration using advanced polymeric material, are available for processes that are conducted at operating conditions rang- ing from room temperature to as high as 180 ° C. Nisso Engineering and Process Technologies Inc. are two of the companies that offer recirculation systems for SPM baths that are maintained at 120 – 130 ° C, and for 85 %

H3 PO4 maintained at 180 ° C. Process Technologies also offers a system for heating solvents and semi-aqueous solvents. As with fi lters for chemical distribution systems, it is important to select a point-of-use fi lter that is compatible with the chemical being fi ltered. Compatibility charts are available from most fi lter manufactur- ers. Unlike DI H 2 O fi lters, bacterial growth has not been a problem with chemical fi lters. Before a fi lter is installed, it is a good idea to consider the following: 1. Is the fi lter chemically compatible? 2. Does the fi lter need pre-wetting before it can be exposed to the chemical? 252 Wet Chemical Processes

3. What are the ionic components are extractable from the fi lter, and will they detrimentally impact the wafers? 4. Will the fi lter handle the viscosity of the chemical without clogging and creating a high-pressure drop, which may ruin the pumps? 5. How often does the fi lter have to be replaced?

Once a point-of-use fi lter is installed, it will shed particles for a short period of time. Also, particle levels in a chemical do not decrease instanta- neously after a recirculation pump has started to run the chemicals through the fi lter. Figure 4.5-22 illustrates the effects of various fl ow rates on the removal of particles from re-circulating acid etch immersion tanks [ 116 ]. As the recirculation fl ow rate increased, the particle removal effi ciency also increased. This fi gure illustrates that the particle level can drop from 100 to 0.40 particles/mL in 4 minutes at a recirculation rate of 53 L/minute. For viscous materials, including some buffered HF solutions [119], it may take more than 1hr for the particle level to attain its lowest steady state value. When chemicals are supplied manually in bottles to such a re-circulating tank, it is necessary to provide adequate time for particle levels to stabilize. Methods for controlling particles in chemicals by fi ltration and chemical recirculation have greatly improved year after year. Particle levels in incom- ing liquids and at the point-of-use show that a 500-fold decrease in particle levels can be achieved through optimization of chemical delivery systems.

4.6 Current and Future Challenges

In recent years the pace of change in semiconductor manufacturing technology has accelerated dramatically. The historical model in which continued lithographic scaling defi ned the industry’s remarkable produc- tivity growth has given way to a new era in which increasingly radical changes in materials and device structures are required in order to maintain the pace. Jettisoning materials that have serviced the industry for decades in favor of as yet little characterized new materials is now seen not merely as a source of research topics but a necessity for production of new device generations. The International Technology Roadmap for Semiconductors (ITRS) [ 120 ] is a useful guide to these changes. Wafer cleaning has always needed to advance to meet the requirements of scaling. Demand for fewer particles, metals, and organic contaminants has historically driven requirements for cleaner chemicals, water, and gases, plus stricter attention to equipment design and materials, as well as decreased human proximity to the wafers in the IC manufacturing areas. However, while those demands still persist, the more pressing challenges 4: Aqueous Cleaning, Gale et al. 253

Figure 4.5-22 Particle removal versus recirculation time in an acid batch immersion tank [116]. Used with permission from Microelectronics Manufacturing and Testing. are resulting from new materials and device structures. Going forward, the wafer cleaning processes need to be more effective in removing contami- nants to lower levels and these processes also must be more selective with- out any harmful effects to the structures and the fi nal device performance. Accordingly, wafer cleaning issues can no longer be viewed in isolation, but need to be considered as part of the overall process integration.

4.6.1 Front end of line 4. 6.1.1 Logic technology In general, the most urgent requirements in the logic technology road- map depend on the type of product. For high-end microprocessors, transistor speed (i.e., drive current) is of utmost importance. For low-power devices 254 Wet Chemical Processes used in mobile phones and other portable electronic products, minimizing leakage current is imperative. In general, the requirements for greater speed and lower leakage must be pursued simultaneously. The latter requirement leads to the need for a higher dielectric constant (high-k ) material to replace

SiO2 or SiON as the gate dielectric, since further scaling of the materials leads to intolerable leakage by direct tunneling of electrons [ 121 ]. While evaluations to date have encompassed a wide assortment of dielectrics, current leading candidates for implementation are HF-based materials,

primarily HfSiO, HfSiON, and HfO 2 [ 122 ]. Based on leakage requirements, low-power devices are expected to see the earliest implementation of such materials, although integration and carrier mobility issues have already delayed their use relative to past roadmap predictions [ 120 ]. A further complication originates from the polySi gate electrode material, which faces serious limitations due to carrier depletion; thus increasing the effective electrical thickness of the dielectric and the boron penetration from p+ electrodes, requiring a match of the electrode work function with the high- k dielectric. Therefore, new metal gate materials are being investigated. Challenges for aqueous cleaning processes related to high-k and metal gate stacks include the following:

• Control of the chemical oxide thickness formed by the aque- ous surface preparation step prior to dielectric formation, since the electrical thickness targets for the gate dielectric have become small enough to make the chemical oxides formed by traditional RCA cleans a signifi cant contributor. • Removal of the remaining high-k dielectric fi lms after etch-

ing the gate stack. For SiO 2 gates a simple DHF step could be used. Some of the proposed high-k dielectrics, however, are

diffi cult to etch with suffi cient selectivity to SiO2 (so as to avoid excessive consumption of the isolation regions). HFO2 represents a particularly diffi cult case [ 121 , 122 ]. • Removal of high- k dielectric fi lms from the back surface of the wafers after deposition, since the remaining material on the back surface can cross-contaminate other FEOL process systems with metal contamination. • Particle and residue removing chemistries that are compat- ible with the chosen metal gate material(s).

Solving these problems will likely require implementation of new chemi- cal mixtures. The most urgent challenge currently facing aqueous post-process clean- ing steps in FEOL logic is cleaning with minimal Si/SiO2 consumption 4: Aqueous Cleaning, Gale et al. 255 and without damage to gate patterns. After ion implantation with a photo- resist mask, a sequence of plasma strip + SPM + SC-1 is typically used to remove the photoresist. This combination leads to

1. Consumption of Si due to the combination of oxidation and etching 2. Potential damage to narrow (<1 nm) polySi gate lines from the megasonic energy used for particle removal.

Silicon consumption, historically, was not a problem, but the number of implantation steps after gate formation has increased as the doping profi les have become shallower; therefore, more Si is consumed while less con- sumption is desirable. Of course, SC-1 can be processed at reduced con- centration, temperature, and/or time to minimize the consumption, but this can compromise particle removal effi ciency, which is affected by reducing or eliminating the use of megasonic processing due to pattern damage. Optimization and the development of non-damaging cleaning with megas- onic energy or jet spray is being carried out by many research groups.

4.6.1.2 Memory technology DRAM technology currently uses either stack capacitors or trench capacitors, both of which are increasingly high in aspect ratio and pres- ent challenges for cleaning and surface preparation. Stack capacitors in the presence of liquids can collapse due to the surface tension forces, thus making rinsing and drying diffi cult. Trench capacitors are becom- ing more diffi cult to penetrate with liquids for effective etching, contami- nant removal, rinsing, and drying, as the feature size is reduced. When Si is exposed inside deep trenches, it can be particularly vulnerable to watermark formation. Flash memory technology has seen rapid growth in recent years, and now represents a signifi cant portion of overall fab capacity. Scaling of critical dimensions in fl ash technology has become more aggressive than even DRAM, requiring very strict defect densities. Therefore, fl ash factories will rely more and more on state-of-the-art cleaning technology.

4.6.2 Back end of line The logic back end of line is no less challenging. Logic chips have many more levels of metal than memory devices, so that the defect den- sity requirements for any particular level become stricter. Wiring dimen- 256 Wet Chemical Processes sions are shrinking horizontally at the same time (in 65-nm technology the minimum linewidth for the fi rst metal level is only about 90 nm). Additionally, as in the front end, new materials are presenting challenges.

4.6.2.1 Copper dual damascene interconnects Copper dual damascene wiring, with lower resistivity than Al, is now fully mainstream and will remain the interconnect metal for foresee- able technology nodes. One method for controlling the cleaning pro- cess with the Cu dual damascene devices is to move from wet benches to spray tools or single-wafer cleaning systems. Single-wafer cleaning has been gaining acceptance in the back end of the line process espe- cially for 300 mm wafers at nodes less than 130 nm. Batch processing in wet benches with the traditional and semi-aqueous F chemistries can be diffi cult because of the possible attack of the metal during post- metal cleaning. Rinsing effi ciency in batch immersion processing is limited by diffusion, such that the residence time of F at the wafer surface is diffi cult to control in comparison with faster rinsing single- wafer spin processes. Thus, corrosion can be more diffi cult to avoid in batch immersion systems. The challenge for any new chemical formulation for <130-nm technol- ogy is to be able to affectively clean structures in a very short period and to be quickly quenched when the cleaning process is complete. Many IC cleaning recipes for single-wafer spin spray tools call for a maximum of

2 minutes cleaning cycles, including the H2 O rinse and drying steps. This is one of the reasons that aggressive formulations have been developed for the single-wafer technology, to enable fast process times and high throughputs with excellent cleaning results.

4. 6.2.2 Low- k interconnect dielectrics Lowering of the dielectric constant ( k) value, used in IC manufacturing to reduce the capacitance between Cu lines, is projected to lead to introduc- tion of porosity in the fi lms to achieve dielectric constants at values of 2.5 or less. Low-k dielectric fi lms, such as carbon-doped oxide (CDO), behave in fundamentally different ways with respect to cleaning than the traditional

SiO x -based dielectrics. One example is that the as-deposited CDO fi lms are hydrophobic instead of hydrophilic, as would be a deposited SiO x fi lm. Another difference of CDO fi lms, especially porous fi lms containing CH 3 - groups and SiO x fi lms, is the dry stripping processes developed to remove 4: Aqueous Cleaning, Gale et al. 257

C-based resists. This may also affect the dielectrics by the depletion of C, especially near the exposed surface [123 ]. The SiO x fi lm, depleted of C, is then easily attacked by F-based chemistries, which cause an increase in the lateral dimension of the line or via. Furthermore, the C depletion changes the surface character of the low- k fi lm surface from hydrophobic to

hydrophilic, such that moisture is absorbed into the fi lm near the surface (H2 O k ≈ 80). As a result, the k value can increase signifi cantly. This is an integra- tion issue in which the etching, stripping, and cleaning sequence must be optimized so that resist and etch residues can be removed with no remaining residue and with minimal effect on k value and critical dimensions. Chapter 6 discusses the plasma processing steps and their effect on the k value.

4.6.3 Manufacturing concerns 4.6.3.1 Cost The manufacture of semiconductor chips is expensive. Any company undertaking IC manufacturing must fi rst rigorously measure, and then constantly seek to minimize, its manufacturing costs. Thus, the engineer fi nds himself or herself spending a lot of time and effort on cost issues in addition to the technical challenges of process performance and yield. It has become common to predict and track costs in detail on a unit process level. The Cost of Ownership (CoO) model, fi rst developed for the industry by SEMATECH, is a useful tool when comparisons of dif- ferent process options are needed, since the sources of cost and the trade- offs between various options can be complex. The most common decision required is choosing between one cleaning tool over another. The cost per wafer pass through a tool is a function of fi xed cost (such as purchase price of the tool), variable cost (such as consumables, chemicals, and labor), yield loss, throughput (wafers/hour) capability, and the percent of time the tool is actually processing wafers. Thus a trade-off might be, for example, between one tool with higher throughput and another with lower consum- ables (e.g., chemicals, water, and gases) cost plus a lower price. Cost mod- eling provides a quantitative comparison [ 124 ].

4.6.3.2 Environment, safety, and health In any industrial environment strict attention must be paid to issues of Environment, Safety, and Health (ESH). Semiconductor manufacturing systems employ hazardous chemicals, high levels of electrical energy, and automation that is capable of causing serious injury. 258 Wet Chemical Processes

In the area of wet cleaning, chemical and H2 O consumption are the ESH areas that have historically received the most attention. Efforts have been made to reduce concentrations and volumes of chemicals used, which has the combined benefi t of reducing risk of exposure to personnel and effects of disposal. Of course, cost benefi ts can simultaneously be realized. The industry sets ESH goals and guidelines that are outlined in the Interna- tional Technology Roadmap for Semiconductors [ 120 ]. To date, H2 O use reduction through rinse optimization and energy use reduction through exhaust optimization have been among the focal points.

4. 7 Summary

For many years there have been predictions of aqueous cleaning being replaced by gas phase or other dry cleaning methods. In reality the number of wet processing steps has continued to increase and in particular aqueous processes are even more common since very dilute H2 O-based chemistries present both ESH and cost benefi ts. Also, it is generally true in semicon- ductor manufacturing that existing methods will be extended as long as possible since so much has been invested in them. As we have shown, aqueous cleaning offers unique advantages for removing a wide range of particulate, metallic, and organic contaminants in a robust manner that can still be selective to critical materials on the wafer. Cleaning processes were once regarded as relatively simple in compari- son with other areas of manufacturing. And while lithography, for example, understandably continues to garner more attention, cleaning is recognized more and more as a critical process category that needs to be well char- acterized and engineered in order for a technology to succeed. In the last 10 – 15 years, researchers in industry, universities, and labs have worked to elucidate the mechanisms of aqueous and other cleaning processes, pro- ducing a tremendous number of publications and improved understanding. Furthermore, attention is given to cleaning at earlier stages in the tech- nology development cycle, especially in light of the new materials being introduced on wafers. Cleaning is being viewed more in an integration context as opposed to a set of isolated processes, especially with regard to removing contaminants selective to new materials and structures on the wafer. This trend can be expected to continue. Finally, environmental, health, and safety issues will remain of great concern. These also need to be addressed as early as possible in the devel- opment cycle, though in the past this has not always occurred. It has been frequently demonstrated, contrary to some perceptions that addressing 4: Aqueous Cleaning, Gale et al. 259

ESH necessitates compromises with cost and/or process results, the pro- cesses engineered from the beginning with ESH in mind can also provide the best performance at the lowest cost.

Acknowledgments

Excepts from the fi rst edition are used and are credited to Venu B. Menon, Robert P. Donovan, Don C. Burkman, Donald Deal, Donald C. Grant, and Charlie A. Peterson .

References

1. Kern, W., and Puotinen, D. A., RCA Review, 31(6):187 (1970). 2. Kern, W., RCA Review, 31(6):207 (1970). 3. Kern, W., First International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, 90-9:3 (Ruzyllo, J., and Novak, R. E., eds.), The Electrochemical Society, Pennington, NJ, (1990). 4. Orvek, K. J., and Huffman C., Nucl. Instr. and Methods in Phys. Res., B7/8:501 (1985). 5. Kern, F. W., and Gale, G. W., Handbook of Semiconductor Manufacturing Technology (Nishi, Y., and Doering, R. eds.), p. 87, Marcel Dekker, NY, USA (2000). 6. Leon, E., U.S. Patent 3,338,756 (August 29, 1967). 7. Jacob, A., U.S. Patent 3,930,913 (January 6, 1976). 8. Small, R., Lee, S., Finson, E., and Maloney, D., MICRO, 20(4):33 (2002). 9. Kirk, S., and Small, R., Solid State Phenomena, 76–77:307 (2001). 10. Garza, C. M., Byers, J. D., Flanagin, L., and Hanratty, M., Handbook of Semiconductor Manufacturing Technology (Nishi, Y., and Doering, R., eds.), p. 499, Marcel Dekker, NY, (2000). 11. Clews, P. J., Nelson, G. C., Matlock, C. A., Resnick, P. J., Adkins, C. L. J., and Korbe, N. C., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 95-20:66, The Electrochemical Society, Pennington, NJ, (1995). 12. Hall, R. M., Rosato, J. J., Lindquist, P. G., Jarvis, T., Parry, T., Kelly, J. D., and Walters, R. N., Semiconductor Pure Water and Chemicals Conference (SPWCC), p. 101, Santa Clara, CA, (1995). 13. Syverson, W., Fleming, M., and Schubring, P., Fourth International Sym- posium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 95-20:60, Electrochemical Society, Pennington, NJ, (1995). 14. Morita, M., Ultra Clean Processing of Silicon Wafers (Hattori, T., ed.), p. 543, Springer-Verlag, Berlin (1995). 15. Grundner, M., and Jacob, H., Applied Physics A, Solids and Surfaces, 39:73, (1986). 260 Wet Chemical Processes

16. Iler, R. K., The Chemistry of Silica, p. 10, John Wiley & Sons, NY, (1986). 17. Guan, J., Gale, G., Bersuker, G., Jeon, Y., Nguyen, B., Barnett, J., Jackson, M., Burkman, D., Peavey, P., Yokomizo, K., Ueno, K., Yamasaka, M., Imai, M., Kitahara, S., and Shindo, N., Sixth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Hattori, T., Novak, R. E., and Ruzyllo, J., eds.), 99-36:77, The Electrochemical Society, Pennington, NJ, (1999). 18. Okorn-Schmidt, H., IBM J. Res. Dev., 43(3):351 (1999). 19. Mazzei, L. A., Meyer, R. M., and Bollyky, L. J., Proceedings, International Ozone Association, Pan American Group Annual Conference, (1995). 20. Matthews, R., U.S. Patent 5,776,296 (July 7, 1998). 21. De Gendt, S., Wauters, J., and Heyns, M., Solid State Technology, 41:57 (1998). 22. De Gendt, S., Snee, P., Cornelissen, I., Lux, R., Vos, R., Mertens, P. W., Knotter, D. M., Meuris, M. M., and Heyns, M., Solid State Phenomena, 65-6:165 (1999). 23. Abe, H., Iwamoto, H., Toshima, T., Iino, T., Gale, G. W., IEEE Trans. Semi. Manuf., 16(3):401 (2003). 24. Hiemenz, P. C., Rajagopalan, R., Principles of Colloids and Surface Chemistry, Marcel Dekker, NY, (1997). 25. Riley, D. J., and Carbonell, R. G., J. Colloid and Interface Science, 158:259 (1993). 26. Vos, R., Lux, M., Xu, K., Fyen, W., Kenens, C., Conard, T., Mertens, P., Heyns, M., Hatcher, Z., and Hoffman, M., J. Electrochem. Soc., 148(12) G683 (2001). 27. Menon, V. B., Michaels, L. D., Clayton, A. C., and Donovan, R. P., Solid State Technology, 32(10):S29 (1989). 28. Riley, D., and Carbonell, R., Proceedings of The Institute of Environmental Sciences, Annual Technical Meeting, p. 224, Mount Prospect, IL, (1990). 29. Milner, T. A., and Brown, T. M., Proceedings of Microcontamination 86 Conference and Exposition, p. 146, San Jose, CA, (1986). 30. Dillenbeck, K., Particle Control for Semiconductor Manufacturing (Dono- van, R. P. ed.), p. 405, Marcel Dekker, NY, (1990). 31. Kikuyama, H., Miki, N., Takano, J., and Ohmi, T., Microcontamination, 7(4):25 (1989). 32. Menon, V. B., Michaels, L. D., Clayton, A. C., and Donovan, R. P., Proceed- ings of the Institute of Environmental Sciences, Annual Technical Meeting, p. 320, Mount Prospect, IL, (1989). 33. Menon, V., and Donovan, R. P., Microcontamination, 8(11):29 (1990). 34. Handbook of Chemistry and Physics, 86th edition, F23-F29, CRC Press, Boca Raton, FL, (2005–2006). 35. Kern, W., Semiconductor International, 7(4):94 (1984). 36. Niida, T., Chemical Engineering Institute of Japan, p. 14, Kansai Branch, Osaka, Japan (1989). 37. Mishima, H., Yasui, T., Mizuniwa, T., Abe, M., and Ohmi, T., IEEE Trans- actions on Semiconductor Manufacturing, 2(3):69 (1989). 38. Ohmi, T., Ultra Clean Wafer Processing Presentation to SEMATECH, Austin, TX, (October 11, 1991). 39. Meuris, M., Heyns, M., and Philipossian, A., Extended Abstracts, The Elec- 4: Aqueous Cleaning, Gale et al. 261

trochemical Society Fall Meeting, 91-2:775, The Electrochemical Society, Pennington, NJ, (1991). 40. Tardif, F., Lardin, T., Paillet, C., Joly, J. P., Fleury, A., Patruno, P., Levy, D., and Baria, K., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 95-20:49, The Electrochemical Society, Pennington, NJ, (1995). 41. Schwartzman, S., Mayer, A., and Kern, W., RCA Review, 46:81 (1985). 42. Menon, V. B., and Donovan, R. P., Extended Abstracts, The Electrochemical Society Fall Meeting, 89-2:89, The Electrochemical Society, Pennington, NJ, (1989). 43. Menon, V. B., Clayton, A. C., and Donovan, R. P., Microcontamination, 7(6):31 (1989). 44. Ranade, M. B., Aerosol Science and Technology, 7:161 (1987). 45. Wu, Y., Frankin, C., Bran, M., and Fraser, B., Sixth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Hattori, T., Novak, R. E., and Ruzyllo, J., eds.), 99-36:360, The Electrochemical Society, Pennington, NJ, (1999). 46. Brennen, C. E., Cavitation and Bubble Dynamics, Oxford University Press, Oxford, UK (1995). 47. Chiarello, R. P., Parker, R., Helms, C. R., Chen, W, Tang, S., and Cook, L. J., Symposium Proceedings, Science and Technology for Semiconductor Surface Preparations (Higashi, G., Hirose, M., Raghavan, S., and Verhaverbeke, S., eds.), 477:533 Materials Research Society, Pittsburgh, PA, (1997). 48. Christenson, K., Sematech Wafer Cleaning and Surface Preparation Confer- ence, Section 12, Austin, TX, (2005) 49. Vereecke, G., Holsteyns, F., Arnauts, S., Becks, A., Jaenen, P., Kenis, K., Lismont, M., Lux, M., Vos, R., Snow, J., and Mertens, P. W., Solid State Phenomena, 103-104:141 (2005). 50. Christenson, K., Sematech Surface Preparation and Cleaning Conference, Section 03, Austin, TX, (2004) 51. Kanetaka, H., Kujime, T., Yazaki, H., Kezuka, T., and Ohmi, T., Solid State Phenomena, 65-66:43 (1999). 52. Kujime, T., Nishiyama, Y., and Ohmi, T., Semiconductor Pure Water and Chemical Conference, UPW and Chemical Proceeding (SPWCC), p. 245, Santa Clara, CA, (1996). 53. Syverson, W. A., Fleming M. J., and Schubring, P. J., Extended Abstracts, The Electrochemical Society Fall Meeting, 91-2:751, The Electrochemical Society, Pennington, NJ, (1991). 54. Gow, C. J., Smith, R. E., Syverson, W. A., Kunesh, R. F., Buker, E. D., Albaugh, K. B., and Whittingham, L. S., Extended Abstracts, The Electrochemical Society Fall Meeting, 91-2:820 The Electrochemical Society, Pennington, NJ, (1991). 55. Lippert, A., Engessar, P., Ferrell, G., Klitzke, J., Köffl er, M., Kumnig, F., Leberzammer, J., Obweger, R., Pfeuffer, A., Sax, H., and Okorn-Schmidt, H., Solid State Phenomena, 103–104:155 (2005). 56. Ferrell, G. W., and Crum, L.A., J. Acoust. Soc. Am., 112(3 Pt 1):1196 (2002). 57. Morinaga, H., and Ohmi, T., Fourth International Symposium on Cleaning 262 Wet Chemical Processes

Technology in Semiconductor Device Manufacturing (Novak, R. E. and Ruzyllo, J., eds.) 95-20:257, The Electrochemical Society, Pennington, NJ, (1995). 58. Mertens, P. W., Loewenstein, L. M., Vos, R., De Gendt, S., Bearda, T., and Heyns, M. M., Silicon Materials Science and Technology (Huff, H. R., Tsuya, H., and Gosele, U., eds.), 98-1:592, The Electrochemical Society, Pennington, NJ, (1998). 59. Mori, Y., Uemura, K., Shimanoe, K., and Sakon, T., J. Electrochem. Soc., 142:3104 (1995). 60. Gale, G. W., Rath, D. L., Cooper, E. I., Estes, S., Okorn-Schmidt, H. F., Brigante, J., Jagannathan, R., Settembre, G., and Adams, E., J. Electrochem. Soc., 148(9):G513 (2001). 61. Morita, E., Wafer Cleaning Procedures and Problems of Semiconductor Manufacturer (Kikuchi, M., Hattori, T., Hirayama, M., Yamamoto, S., and Yoshimi, T., eds.), p. 61, Science Forum, Tokyo (1995). 62. Heyns, M. M., Bearda, T., Cornelissen, I., De Gendt, S., Degraeve, R., Groeseneken, G., Kenens, C., Knotter, D. M., Loewenstein, L. M., Mertens, P. W., Mertens, S., Meuris, M., Nigam, T., Schaekers, M., Teerlinck, I., Vandervorst, W., Vos, R., Wolke, K., IBM J. Res. Dev., 43(3):339 (1999). 63. Teerlinck, I., Schmidt, H. F., Rotondaro, A. L. P., Hurd, T. Q., Mouche, L., Mertens, P. W., Meuris, M., Heyns, M. M., Vanhaeren, D., and Vandervorst, W., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 95-20:284, The Electrochemical Society, Pennington, NJ, (1995). 64. Teerlinck, I., Mertens, P. W., Vos, R., Meuris, M., and Heyns, M. M., Third International Symposium on Ultra Clean Processing of Silicon Surfaces (Heyns, M., Meuris, M., and Mertens, P., eds.), Acco, Leuven, Belgium p. 21 (1994). 65. Ohmi, T., Proceedings, Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.) 95-20:1, The Electrochemical Society, Pennington, NJ, (1995). 66. Choi, B., and Jeon, H., J. Korean Phys. Soc., 33(5):579 (1998). 67. Pipia, F., Bellandi, E., Crivelli, B., and Alessandri, M., Solid State Phenomena, 65-66:109 (1999). 68. Ohmi, T., J. Electrochem. Soc., 143(9):2957 (1996). 69. Osaka, T., Okamoto, A., Kuniyasu, H., and Hattori, T., Seventh International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., Hattori, T., Opila, R. L., and Novak, R. E., eds.), 2001-26:3, The Electrochemical Society, Pennington, NJ, (2001). 70. Ohmi, T., Miyashita, M., and Imaoka, T., Proceedings, Microcontamination ’91, San Jose, CA, October 16–18, p. 491 (1991). 71. Meuris, M., Heyns, M., Verhaverbeke, S., Mertens, P., and Philipossian, A., Proceedings, Microcontamination ’91, San Jose, CA, October 16–18, p. 658 (1991). 72. Ohmi, T., Miyashita, M., Itano, M., Imaoka, T., and Kawanabe, I., IEEE Trans. Electron Devices, 39:537 (1992). 73. Cohen, S. L., Syverson, W., Basiliere, S., Fleming, M., Furman, B., Gow, C., Pope, K., Tsai, R., and Liehr, M., Second International Symposium on 4: Aqueous Cleaning, Gale et al. 263

Ultra Clean Processing of Silicon Surfaces, (Heyns, M., Meuris, M., and Mertens, P., eds.), Acco, Leuven, Belgium, p. 35 (1994). 74. Ouimet, G., Rath, D. L., Cohen, S. L., Fisch, E., and Gale, G. W., Semiconductor Fabtech, 5th Edition, Henley Media Group, p. 305 (1996). 75. Hurd, T. Q., Mertens, P. W., Schmidt, H. F., Ditter, D., Hall, L. M., Meuris, M., and Heyns, M. M., Proceedings, Institute of Environmental Sciences 40th Annual Technical Meeting, p. 218, Mount Prospect, IL, (1994). 76. O’Brien, S., Hurd, T. Q., and Tipton, C., Proceedings, Institute of Environmental Sciences 41st Annual Technical Meeting, p. 435, Mount Pros- pect, IL, (1995). 77. Hurd, T. Q., Mertens, P. W., Hall, L. H., and Heyns, M. M., Second International Symposium on Ultra Clean Processing of Silicon Surfaces, (Heyns, M., Meuris, M., and Mertens, P., eds.), Acco, Leuven, Belgium, p. 41 (1994). 78. Knotter, D. M., de Gendt, S., Mertens, P. W., and Heyns, M. M., J. Electrochem. Soc., 147(2):736 (2000). 79. Kobayashi, H., Ryuta, J., Shingyouji, T., and Shimanuki, Y., Jpn. J. Appl. Phys., 32:L45 (1993). 80. Mertens, P. W., Meuris, M., Schmidt, H. F., Verhaverbeke, S., Heyns, M. M., Carr, P., Graf, D., Schnegg, A., Kubota, M., Dillenbeck, K., and deBlank, R., Crystalline Defects and Contamination: Their Impact and Control in Device Manufacturing (Kolbesen, B. O., Stallhofer, P., Claeys, C., and Tardif, F., eds.), 93–15:87 ESSDERC 93, Grenoble, France, The Electrochemical Society, Pennington, NJ, (1993). 81. Schmidt, H. F., Meuris, M., Mertens, P. W., Rotondaro, A. L. P., Heyns, M. M., Hurd, T. Q., and Hatcher, Z., Jpn. J. Appl. Phys., 34:727 (1995). 82. Takahashi, I., Kobayashi, H., Ryuta, J., Kishimoto, M., and Shingyouji, T., Jpn. J. Appl. Phys., 32:L1183 (1993). 83. Philipossian, A., and Wilkinson, R., Second International Symposium on Ultra Clean Processing of Silicon Surfaces, (Heyns, M., Meuris, M., and Mertens, P., eds.), Acco, Leuven, Belgium, p. 99 (1994). 84. Kasi, S., Liehr, M., Appl. Phys. Lett., 57(20):2095 (1990). 85. Shadman, F., Governal, R., and Bonner, A., Proceedings of Institute of Envi- ronmental Sciences, Annual Technical Meeting, p. 221, Mount Prospect, IL, (1990). 86. Governal, R., Bonner, A., and Shadman, F., Proceedings of the Institute of Environmental Sciences, Annual Technical Meeting, p. 791, Mount Pros- pect, IL, (1991). 87. Terrell, E., Semiconductor Pure Water and Chemicals Conference (SPWCC) UPW Track, p. 91, Santa Clara, CA, (2005). 88. Jan, D., Ali, I., and Raghavan, S., Proceedings of the Institute of Environ- mental Sciences, Annual Technical Meeting, p. 849, Mount Prospect, IL, (1991). 89. Chiarello, R., Parker, R., and Tritapoe, M., MICRO, 18(6):111 (June, 2000). 90. Rosamilia, J. M., Boone, T., Sapjeta, J., Raghavachari, K., Higashi, G. S., and Liu, Q., Symposium on Science and Technology of Semiconductor Surface Preparation, MRS Spring Meeting, San Francisco (March 31–April 4, 1997). 91. Krussell, W. C., and Golland, D. I., First International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, 90-9:23 (Ruzyllo, 264 Wet Chemical Processes

J., and Novak, R. E., eds.), The Electrochemical Society, Pennington, NJ, (1990). 92. Mertens, P. W., Bearda, T., Houssa, M., Loewenstein, L. M., Cornelissen, I., DeGendt, S., Kenis, K., Teerlinck, I., Vos, R., Meuris, M., and Heyns, M. M., Microelectronic Engineering, 48:199 (1999). 93. Loewenstein, L. M., Charpin, F., and Mertens, P. W., J. Electrochem. Soc., 146(2):719 (1999). 94. Tonti, A., Extended Abstracts, The Electrochemical Society Fall Meet- ing, 91-2;758, The Electrochemical Society, Pennington, NJ, (1991). 95. Ohmi, T., Mishima, H., Mizuniwa, T., and Abe, M., Microcontamination, 7(5):25(1989). 96. Marra, J., and Huethorst, J. A. M., Langmuir, Vol. 7:2748 (1991). 97. Mayerhofer, D., J. Appl. Phys., 49:1993 (1978). 98. Bichebois, P., Workshop on Defect and Fault-Tolerance in VLSI Systems, p. 124, IEEE (1996). 99. Marra, J., Extended Abstracts, Third Symposium on Particles in Gases and Liquids: Detection, Characterization and Control, p. 52, San Jose, CA, (1991). 100. Leenaars, A. F. M., Huethorst, J. A. M., and Van Oekel, J. J., Langmuir, 6(11):1701 (1990). 101. Singer, P. H., Semiconductor International, 15(1):24 (1992). 102. Matsuzaki, H., Ookouchi, I., Kurokawa, K. E., and Takahashi, S., J. Chem. Engr. Japan, 21(5):490 (1988). 103. Mackinnon, S., Proceedings, Microcontamination ’94, p. 174 (1994). 104. Watanabe, M., Hamano, M., and Harazono, M., Mat. Sci. Eng., B4:401 (1989). 105. Park, J., and Pas, M., J. Electrochem. Soc., 142(6):2028 (1995). 106. Gale, G. W., Syverson, W. A., and Brigante, J. A., Fifth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 97-35:31, The Electrochemical Society, Pennington, NJ, (1997). 107. Namba, H., Orii, T., Ohno, H., and Gale, G. W., Solid State Phenomena, 103-104:83 (2005). 108. Gale, G. W., Ohno, H., Namba, H., Orii, T., Takagi, Y., and Yamasaka, M., Fourth International Conference on Semiconductor Technology (Yang, M., ed.), 2005-08:449, The Electrochemical Society, Pennington, NJ, (2005). 109. Dillenbeck, K., Particle Control for Semiconductor Manufacturing (Dono- van, R. P. ed.), 203, Marcel Dekker, NY, (1990). 110. Rosato, J. J., and Yalamanchili M. R., Solid State Technology, 48(10):50 (October, 2005). 111. Leenaars, A. F. M., Huethorsr, J. A. M., and Marra, J., U.S. Patent 5,271,774 (December, 21, 1993). 112. Haigermoser, C., Henry, S., Rho, E., Song, J., and Kim, H., Cleaning Technology in Semiconductor Device Manufacturing IX, 208th ECS Meeting, Los Angeles, CA, The Electrochemical Society, Pennington, NJ, p. 16 (2005). 113. Hashimoto, S., Kaya, M., and Ohmi, T., Microcontamination, 7(6):25 (1989). 4: Aqueous Cleaning, Gale et al. 265

114. Krygier, V., Microcontamination, 4(12):20 (1986). 115. Skidmore, K., Semiconductor International, 11(11):66 (1988). 116. Gotlinsky, B., Microelectronics Manufacturing and Testing, 10(13):1 (1987). 117. Gruver, R., Silverman, R., and Kehley, J., Proceedings of the Institute of En- vironmental Sciences, Annual Technical Meeting, p. 312, Mount Prospect, IL, (1990). 118. Rosenfeld, E., DeSelms, B., and Menon, V. B., Proceedings of the Micro- contamination 90 Conference and Exposition, Tutorial No. 107:225, San Jose, CA, (1990). 119. Menon, V. B., Michaels, L. D., Clayton, A. C., and Donovan, R. P., Solid State Technology, 32(10):S29 (1989). 120. The International Technology Roadmap for Semiconductors, ITRS, Semiconductor Industry Association, Austin, TX (2006). 121. Buchanan, D. A., IBM J. Res. Dev., 43(3):245 (1999). 122. Bersuker, G., Zeitzoff, P., Brown, G., and Huff, H. R., Materials Today, p. 2 6 (January, 2004). 123. Chang, T. C., Mor, Y. S., Liu, P. T., Tsai, T. M., Chen, C. W., Chu, C. J., Pan, F. M., Lur, W., and Sze, S. M., J. Electrochem. Soc., 149 (10):F145 (2002). 124. Dance, D. L., Burghard, R. W., and Markle, R. J., Microcontamination, 64:21 (May, 1992).

5 Gas-phase Wafer Cleaning Technology

Jeffery W. Butterbaugh

FSI International, Inc., Chaska, MN, USA

Anthony J. Muscat

Department of Chemical and Environmental Engineering, University of Arizona, Tucson, AZ, USA

5.1 Introduction and Overview of Gas-Phase and Vapor-Phase Cleaning and Surface Conditioning

In this chapter cleaning processes that are carried out by exposing the wafer surface to various gas-phase environments will be discussed. It has already been pointed out in previous chapters that wafer cleaning is one of the most repeated processes in integrated circuit manufacturing. Typical 45-nm node technology logic devices require over 120 cleaning steps during manufactur- ing accounting for over 15% of the total process steps, as shown in Chapter 1. While cleaning, in general, is a pervasive requirement, each of the 120 clean- ing steps carries its own set of contaminant removal and selectivity require- ments and thus may require very different approaches and technologies. While liquid-phase, or wet, cleaning will continue to be used for a majority of the cleaning steps, gas-phase cleaning has found application in meeting the selectivity or integration needs of specifi c cleaning steps. Gas-phase cleaning technology spans a wide variety of techniques. We will attempt to provide broad coverage of these techniques. Plasma, cryogenic aerosol, and super- critical fl uid cleaning techniques will be discussed in detail in Chapters 6 and 7. Readers may have seen the term “ vapor-phase ” used to refer to processes carried out at or near atmospheric pressure with the gaseous reactants near

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 269–353 © 2008 William Andrew Inc.

269 270 Dry Cleaning Processes the saturation point. In this chapter, the term “ gas-phase ” will include “ vapor- phase ” processes as well as processes carried out under vacuum conditions. The use of ultraviolet (UV) irradiation is an important aspect of many gas- phase cleaning processes. The capability of UV light to decompose organic molecules has been known for a long time, and UV cleaning of surfaces has been explored since the mid-1970s [1–4 ]. Since 1976, use of the UV/

O3 (ozone)-cleaning method has grown steadily. UV/O3 cleaners are now available commercially from several manufacturers. As shown in this chapter,

UV is also used to activate other gas-phase chemistries, such as Cl2 .

5.1.1 Limitations of wet cleaning

A general overview of wafer cleaning is covered in Chapter 1. Wet wafer cleaning will likely remain the dominant technique for the removal of contaminants from Si surfaces in IC (integrated circuit) manufacturing. Several factors, however, are driving a continued interest in the alternative methods of gas-phase wafer cleaning [5 , 6 ]. First, wet wafer cleaning operations present challenges for process inte- gration. Full-scale clustering of surface preparation with reduced pressure deposition, thermal and etching operations will be easier as techniques for gas-phase removal of trace contaminants become available [7]. Second, problems are anticipated with wet processing of high-aspect-ratio structures. The complications stem not from the diffi culty of getting liquid into small openings, as this problem can be dealt with by using surfactants, but from the diffi culty of getting it out [8]. Once forced into deep trenches and con- tacts, cleaning liquids can only be removed by evaporation, which can leave contaminants behind. Next, there is the diffi culty of controlling particles during wafer treat- ments in liquid chemicals and rinse/dry cycles. Use of “ all dry ” cleaning is expected to reduce this problem substantially, since particles are easier to control in gases than in liquids. Furthermore, the very replacement of liquid cleaning agents with their gaseous counterparts is expected to result in a decrease in the overall level of Si surface contamination, as at least part of the contamination originates from the wet cleaning environment itself [9]. Metallic contaminants, for instance, can be plated on Si sur- faces from HF solutions [10] and deposited from NH 4OH and other agents used in wet cleaning, such as H 2 O2 [ 11 – 13 ]. Organic contaminants, on the other hand, originate in part from the containers and utensils used in wet- chemical operations [14 ]. Finally, the cost of high-purity chemicals and

DI H 2O (deionized water), problems with waste disposal, and safety issues 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 271 are additional reasons for the continuing interest in gas-phase and other dry wafer cleaning technology. Attempts have been made to overcome some of these problems in wet processing. These approaches include spray cleaning [15 ], displacement processing [16 ], jet aerosol cleaning [17 ], megasonic-based cleaning [18 ], chemical recirculation [19], as well as others [20]. Chapter 4 discusses the limitations of wet cleaning.

5.1.2 Drivers for gas-phase cleaning and surface conditioning

Surface preparation or cleaning accounts for approximately one quarter of all processing steps used to build a device. Cleaning isolates the primary fabrication steps from one another to achieve a high yield of functional devices. For example, deposition, etching, and ion implantation processes alter the surface, leaving it in an unacceptable condition for the subsequent step in a device fl ow. Cleaning optimizes the chemical, material, and electrical properties of a surface for the subsequent processing step. If liquids, resists, equipment, wafer handling utensils, and the process environment in general would not shed contaminants, then there would be little need for wafer cleaning. One may conclude from such reasoning that an ultimate goal in IC manufacturing technology should be a totally “ cleaning-less ” fabrication process. Following this reasoning, one may see gas-phase cleaning methods playing an important role in bridging the IC technology of today with totally integrated “ cleaning-less ” technology of the future in which surface treatments will be limited only to processes such as native SiO2 removal, or surface passivation through oxidation or hydro- genation. It is in this context that both short term and long term roles of gas-phase processes in wafer cleaning technology should be considered. In general, it is not expected that gas-phase cleaning will replace wet clean- ing applications in which the latter is successfully used. Instead, gas-phase cleaning should be considered for those applications in which wet cleaning, due to its inherent limitations, could not be used. First and foremost, this con- cerns in situ cleaning operations carried out within the integrated processor systems. An example of an early application of gas-phase cleaning in cluster tool manufacturing is shown in Figure 5.1-1 , in which an atmospheric

pressure gaseous HF/H2 O process was clustered to a vacuum platform [21 ]. This system was used for clustered gate stack deposition as well as epitax- ial Si deposition. Other likely applications for a cluster tool with integrated cleaning include pre-contact cleans, pre-epitaxial, SOI (Si on insulator), SiGe 272 Dry Cleaning Processes

vacuum platform with CVD poly, EPI, and RTO chambers

surface cleaning module

Figure 5.1-1 Cluster tool used for early studies of integrated cleaning for gate- stack deposition and epitaxial Si deposition. An atmospheric pressure gaseous

HF/H2O process was used in the surface cleaning module and wafers were then transferred through a loadlock into the vacuum platform for gate dielectric growth and polySi gate deposition or epitaxial Si deposition [21]. Used with permission from Semiconductor International. cleans, and cleans applied prior to polySi emitter formation in bipolar tech- nology [7]. Overall, it can be expected that gas-phase cleaning modules will be used predominantly in conjunction with clusters tool. It remains to be seen whether further refi nements of gas-phase cleaning methods will eventually lead to the use of gas-phase cleaning reactors in the stand-alone mode. In further defi ning the potential role of gas-phase cleaning in IC pro- cessing, the ability of both gas-phase and wet cleans to remove specifi c surface contaminants should be considered. Clearly, the most challenging contaminants are metals, including transition and heavy metals, as well as alkali ions. Gas-phase cleaning may not be able to take off large amounts of these contaminants without substantial etching of Si and/or roughening the surface. Therefore, gas-phase cleans are not expected to be as effective as wet cleans on surfaces grossly contaminated with metals. Considering the nature of various cleaning modes, it may be appropriate to separate them into: (i) surface treatments that are expected to remove large amounts of surface contaminants and (ii) surface treatments that, besides removing trace contaminants, change the chemical state of Si surface. An example is the removal of native/chemical oxide or surface 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 273 passivation through oxidation or hydrogenation. In this latter case, the term “ surface conditioning” may be more appropriate than “ surface cleaning.” The nature of such surface conditioning will have to be closely related to the requirements of the subsequent processing step. Following this reasoning, the role of processes currently referred to as gas-phase cleaning may be limited in the future mostly to the in situ surface conditioning, while wet cleans will continue to carry out ex situ surface cleaning operations. If the currently observed trends continue, the emphasis should be gradually shifting toward the in situ processing with improved overall process cleanliness and less emphasis will be placed on surface cleaning in the traditional meaning of this term. To become tech- nically viable, any gas-phase cleaning method will have to meet certain requirements, which can be briefl y summarized as follows:

1. Gas-phase cleaning methods have to display experimentally proven capability to remove contaminants comparable to the performance of wet cleans. This requirement applies in par- ticular to the removal of heavy, transition, and alkali metals which, among other contaminants of concern, are the most diffi cult to volatilize. No general purpose gas-phase clean- ing will be considered effective unless it displays experi- mentally proven ability to remove trace metals. 2. Gas-phase cleans must not roughen the semiconductor surface nor generate defects in the oxide. This issue is particularly relevant to cleaning processes that are based on aggressive, plasma-generated chemistries and/or very-short-wavelength electromagnetic radiation. Consequently, a careful assess- ment of gas-phase cleaning environment interactions with surface features must be carried out. 3. Any gas-phase cleaning method has to feature adequately high throughput, ideally high enough to make the cleaning steps compatible with batch furnace processing. As further discus- sion in this chapter will reveal, gas-phase cleaning is typically a single-wafer process. Therefore, throughput is an important issue not only for interfacing gas-phase cleans with batch pro- cesses, but also for implementing cluster tool processing. 4. Gas-phase cleaning methods have to be effective at fairly low temperatures for two reasons. First, they have to meet an overall requirement of low-thermal budget processing. Second, elevated temperature at the early stage of gas-phase cleaning process may have an adverse effect on surface contaminants, which, instead of being removed, can diffuse and become permanently embedded in Si. 274 Dry Cleaning Processes

5. Gas-phase cleaning modules must be designed to assure compatibility with cluster tool processing and integrated process automation. As mentioned earlier, it is expected, at least for the time being, that gas-phase cleaning modules will be mainly used as a part of an integrated systems rather than as stand-alone units.

5.1.3 Gas-phase cleaning processes As early as 1960, when epitaxial technology was developed, it was found that a gas-phase in situ HCl pre-deposition etch improved the epitaxial-fi lm quality [ 22 – 25 ]. Soon, process engineers were experimenting with various gases, such as Cl2 [26 ], HF and HI [27 ] and other halides [28 , 29 ]. Because of the high temperatures required, it was not long before some sort of excitation was employed, such as reactive ions, UV light, plasmas, elec- tron cyclotron resonance, and RF (radio frequency) sputter or microwave radiation, in order to lower the process temperature. While these processes have demonstrated some value in wafer cleaning, it became apparent that various problems are associated with them [5 , 6 , 30 ]. The main diffi culty has to do with adverse radiation effects on the device structures themselves [ 31 – 33 ]. In addition, various contamination problems are observed, both from bombardment of materials in the systems, and due to the formation of undesirable reaction byproducts from the complex chemistries involved. Some of these byproducts, such as polymers, are extremely diffi cult to remove from the wafer surfaces. The goals of semiconductor wafer cleaning process are to remove the following from the surface: (i) organic contaminants, (ii) metallic con- taminants including transition, heavy, and alkali metals, and (iii) native or chemical oxides spontaneously formed on the surface during air exposure or during cleaning. A detailed description of wafer cleaning requirements is outlined in Chapter 2. Table 5.1-1 depicts these contaminants, and links them with gas-phase reactions covered in this chapter that can be used to remove them from a surface. In purely thermally-enhanced cleaning, externally generated thermal energy delivered to the wafer and process gases is used to break down gaseous molecules. The atomic species released in this process subsequently react with metallic and other impurities on the wafer surface. Typically, fairly high temperatures are needed to enforce these interactions. If combined with very low pressure, thermal treatments can, in some cases, result in the removal of surface contaminants by sublimation. An example of such a process is the thermal decomposition of SiO 2 throughout the formation of volatile SiO [34 ]. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 275

Table 5.1-1 Contaminants and Their Link with Gas-phase Used to Remove Them from the Surface

Contaminate Gross Fine Metals Native and Organics Organics Chemical Oxide

Vapor HCl and HCl and HF/H2O,

HF/H2O HF/H2O HF/CH3OH Photo UV/O3 UV/O3 UV/Cl2 UV and HF/H2O

One important consideration in thermally enhanced cleaning is, as indicated earlier, to trap, or preferably, to volatilize metal contaminants at the surface before they are driven into the Si or the oxide. Consequently, for as long as metallic contaminants remain on the surface, the Si wafer should not be subjected to elevated temperature treatments during cleaning operations. The cleaning mode defi ned in Table 5.1-1 as “ vapor ” refl ects an effort to replace chemistries used in liquid-phase cleaning with their gas-phase analogs.

Instead of using H 2 O-based solutions of given chemicals, H2 O vapor is added to the gas stream. A typical example of this type of process is a vapor HF etching of native/chemical SiO x . In some cases, moisture can form as a product of the cleaning reaction that can control the process, although the original reactant gases may have been entirely anhydrous. Listed next in Table 5.1-1 is photochemically enhanced cleaning. This approach is gaining in popularity due to its effectiveness and conceptual simplicity, resulting in relatively simple equipment. Reactant species are generated through the photolysis of gaseous compounds. Radiation in the wavelength range of UV is commonly used, as it corresponds to a wide spectrum of binding energies in compounds of interest in Si processing. Thus, as indicated in Table 5.1-1 , a variety of cleaning chemistries can be achieved with UV-enhanced processes. Plasma is still another source of energy with a potentially wide range of applications in dry wafer cleaning. Plasma-based cleaning processes are discussed in Chapter 6.

5.1.4 Advantages of gas-phase wafer cleaning During the development of improved wafer cleaning processes over a number of years, distinct advantages of gas-phase cleaning have been demonstrated. Some of these are indicated here. 276 Dry Cleaning Processes

Reduced Contamination: One of the problems associated with conven- tional aqueous cleaning processes has been the redepositing of contami- nants of various types back on the wafers. This has been observed in both the cleaning solution itself, as well as in the DI H2 O rinsing and drying steps. In the case of gas-phase cleaning processes, this self-contamination has not been observed, and very good results are typically obtained. Improved Process Uniformity: Typically, as smaller and controlled amounts of reactants are used in a semiconductor fabrication process, better control is achieved for both the specifi c reaction involved and the overall process. This improvement has been noted in various process steps, and a good example is ion implantation versus furnace pre-deposition. Similarly, gas-phase etching reactions have also resulted in superior control of etch selectivity, uniformity, and repeatability. Reduced Chemical Usage and Disposal: An important consideration in today’s manufacturing processes is that of environmental effects. Since gas or gas-phase cleaning typically uses less than 1/100 of a given chemical than does aqueous cleaning, considerable reduction of environmental problems can be achieved. An example of how gas-phase cleaning could replace several liquid cleaning, rinsing, and drying steps in a typical wafer processing sequence (MOS (metal oxide semiconductor) gate oxidation) is shown in Figure 5.1-2 .

Figure 5.1-2 An example of how gas-phase cleaning could replace several liquid cleaning, rinsing, and drying steps in a typical wafer processing sequence for gate oxide formation [35]. Where Sac. Oxide. is safrifi cial oxidation and Gate Oxid. is gate oxidation. Courtesy of Advantage Production Technology. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 277

Improved Safety Considerations: Along the lines of environmental improvements discussed above, gas-phase processing also provides a much safer working condition than encountered in typical wet-bench cleaning facilities. In addition, device processing may be carried out much more effi ciently. Gas-phase cleaning is more adaptable to computer controlled processing and results are signifi cantly improved because of this. Versatile Process Variables: Gas-phase processing allows much more versatility in the range of process variables permitted than do liquid or aqueous systems. For instance, it is much easier to vary either temperature or pressure in gas-phase cleaning systems. Thus, relative compositions of gas mixtures can be varied over a wide range, and resulting chemistries can be adjusted for particular applications. Furthermore, the volatility of certain reaction products can be maximized. Also, at reduced pressures it is possible for the reactants to penetrate narrow openings and to effect removal of unwanted materials from these openings. New Process Chemistries: Just about any liquid chemistry can be con- verted to gas or gas-phase. In addition, many additional gas species are available for improved chemical cleaning applications. It is anticipated that such new chemistries will be employed for the more effi cient removal of all types of contaminants. Sequential, In situ Processing: Perhaps one of the greatest advantages of gas-phase wafer cleaning will be its suitability for the so-called integrated or cluster-type processing. Such capability will permit various pre-process cleaning steps for the more critical applications. This subject is discussed more completely in a later section.

5.2 Chemistry and Mechanisms

An objective of any wafer cleaning operation is to selectively remove from a semiconductor or oxide surface elements and compounds other than Si and some of those that were purposely deposited there, e.g., SiO2 (silicon dioxide), SiN x (silicon nitride), or polySi layers. These undesirable impurities are commonly referred to as either physical (particles) or chem- ical contaminants. This section considers the mechanisms of dry wafer cleaning, focusing on the removal of chemical contaminants, which can appear on the Si surface in either atomic, ionic, or molecular form. Their removal during wet wafer cleaning is accomplished by selective reaction causing either dissolution of the contaminant in the solvent or its conver- sion into a soluble compound. The analog of making a product soluble in a liquid phase is to make it volatile in a gas phase. The fundamental steps for contaminant removal 278 Dry Cleaning Processes are transport of a gas phase reactant to a surface, adsorption of the species on the surface, reaction with the contaminant to form a product, desorption of the product, and transport away from the surface. Diffusivities in a gas are on the order of 0.1 cm2 /s or 104 times greater than in a liquid and gases have no surface tension. There is a lower resistance for transporting reactants and products to and from surfaces and gases can wet or contact any surface. Molecular fl ux scales with density, however, so the reactant concentration striking a surface per unit area per unit time in a liquid is on the order of 103 times greater than that in a gas. In addition there is no ana- log of a liquid solvation shell in a gas, which provides another driving force for product removal. Increasing reaction rates to compensate for the low fl ux can be accomplished by heating or adding activating species to the gas phase. Sources of activation energy for gas/solid surface reactions include heat, light, plasmas, and particle beams, which are summarized in Table 5.2-2 with implementations and concerns for their use. Thermal energy is effective since the majority of chemical reactions require a minimum energy to form products. Often there is little thermal budget left for cleaning steps in device fabrication and side reactions are a concern making room temperature processing desirable. Using light reduces the thermal energy needed. Light breaks chemical bonds either directly on a surface or in the gas phase to make reactive fragments with unpaired electrons called radicals that are highly reactive. Plasmas and particle beams contain radicals as well as electrons that break chemical

Table 5.2-2 Sources of Energy to Activate Gas/Solid Surface Reactions

Energy Source Implementation Concerns

Heat Furnace, Rapid thermal Thermal budget side processing (RTP) reactions (e.g. substrate etching) Light Infrared (IR), Surface damage Ultraviolet (UV) Plasma Direct, indirect Surface damage, (remote or downstream) contamination Particle Beam Electron, ion, reactive Surface damage, ion, and hot neutrals complex equipment 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 279

Figure 5.2-3 Schematic diagrams of the mechanisms involved in physical and chemical gas-phase cleaning. Used with permission from Anthony Muscat. bonds and generate ions with suffi cient kinetic energies to sputter surfaces and activate reactions. Figure 5.2-3 illustrates schematically the mechanisms involved in physical and chemical gas-phase cleaning. Physical removal of contaminants occurs via momentum transfer between ions accelerated toward a surface and a contaminant species. The kinetic energy of an ion is suffi cient to break the bond holding the contaminant on a surface. The Si substrate can be eroded as well in this process, and the relative removal rate of the contaminant and Si surface atoms depends on the mass, energy, and direction of the incoming ions. This lack of selectivity can create additional problems related to sputter etching of materials that were purposely formed on the surface and meant to remain there. Rede- position of the sputtered species can also create problems, particularly in the case of large diameter wafers, narrow geometries, and small mean free paths for gas molecules. In contrast, chemical removal offers better selectivity than physical sputtering, since a gas phase species is chosen because of its reactivity with a specifi c contaminant to make a volatile product, as depicted in Figure 5.2-3B. This reduces the risk of contamination by re-deposition and of incurring surface damage. The chemically reactive species are either mixed in the process gas or generated there by thermal decomposition, photolysis, or electron impact or attachment reactions in a plasma. The reaction products with the contaminant are either immediately removed from the surface because the temperature is above the desorption temperature or conditions are created to facilitate this volatilization process by increas- ing the temperature and reducing the pressure. The latter is a common requirement for the gas-phase removal of some metallic contaminants. In a Langmuir-Hinshelwood mechanism, the reactive species fi rst physically or 280 Dry Cleaning Processes chemically adsorb on substrate sites and through a combination of surface diffusion and reaction produce volatile products [36]. Alternatively, in the Rideal-Eley mechanism, a gas phase species reacts directly with either a substrate atom or contaminant producing volatile products [36]. The process of volatilization of metallic species involves effects specifi c to this process, not encountered in the case of gas-phase removal of organic species and native/chemical oxides. These effects are schematically illustrated in Figure 5.2-3B. First, the Si surface is exposed to chemically reactive species that form potentially volatile compounds with metallic contaminants of interest in Si processing. Chlorine is typically the element of choice for this application because metal chlorides are generally more volatile than other metal halides. The metal reaction process has to be carried out at low temperature (<200 ° C) to minimize penetration of the metals into Si and to avoid etching of the Si surface by Cl atoms. A Cl 2 partial pressure of 1 Torr illuminated with UV light produces Cl atoms that etch a Si surface above

150° C forming silicon tetrachloride (SiCl 4), which is volatile, and causes surface roughness [37]. Since SiCl 4 is more volatile than many chlorides of metals that contaminate a Si surface, as shown in Figure 5.5-32, it is diffi cult to prevent volatilization of Si in an environment in which these metals also are volatile. Depending on the application, slight Si etching might not be a problem as long as it is uniform and does not result in surface roughness. A benefi cial aspect of Si etching is that along with the stream of volatile

SiCl 4 molecules leaving the substrate, some metal chlorides are likely to be lifted off the surface [38 ], as indicated in Figure 5.2-3B . A disadvantage of using Cl is the formation of HCl when the process gas comes in contact with moisture, which complicates handling of the effl uent. An alternative is to use reactants, which remove metal atoms via the formation of highly volatile organometallic compounds. The process is essentially the reverse of a deposition reaction, in which bare ligands coordinate with a metal atom forming a large molecule that has a high vapor pressure. In Section 5.3.5 , the mechanisms are discussed for the chemical removal of contaminants from surfaces using HF/H2 O vapor (for removing SiO2 ), O3 and UV/O3 (for removing organics), and photo-initiated processes (for removing metals and surface preparation). These processes were chosen since they have been used to a limited extent in high-volume manufactur- ing of logic and memory devices and since they are the best understood. A number of other gases have been evaluated during the past 25 years for cleaning or etching Si as well as SiO2 surfaces. These include halogen fl uorides, such as ClF3, BrF3, and IF3 [39 ], and other fl uorides including NF3, BF3, PF3, and PF5 [40 ]. Some of the procedures involved excitation, such as a plasma, whereas others did not. As of this date, few of these 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 281 alternative processes have been used for high-volume device manufactur- ing applications.

5.3 Removal of Silicon Oxides with HF Vapor

Among gas-phase cleaning chemistries outlined in Table 5.2-2, HF vapor treatments carried out for the purpose of SiO 2 removal are certainly the best explored. The original paper describing vapor HF removal of

SiO 2 was written by Holmes and Snell in 1966 [41 ]. In their experi- ments, the authors suspended an oxidized Si wafer above an aqueous solution of HF. The solution was agitated by dry Ar, which also helped to

transport the HF/H2 O to the wafer. Oxide etching rates were determined as a function of HF concentration and temperature, as shown in Figure

5.3-4 . The authors observed that the rate of attack of the SiO2 by the vapor was comparable to that in the liquid bath. Two other key results from this early work were that the reaction rate followed the familiar volcano plot, peaking in their experiments at 20 – 30 ° C and falling to zero rapidly at higher ( ∼ 40 ° C) temperatures. They also suggested that surface condensation was important. As will be noted later in this chapter, the mechanism for HF etching of SiO2 involves an initial requirement for H2 O availability before etching begins, even though H2 O is a product of the reaction. An interesting application related to this effect was reported

Figure 5.3-4 SiO2 etch rates by HF vapor at 24°C as a function of temperature [41]. Solid line: 40 wt% acid and broken line: dilute acid. Reprinted from Microelectronics Reliability, Volume 5, Holmes, P. J., and Snell, L. E., A vapour etching technique for the photolithography of silicon dioxide, page 337. Copyright (1996), with permission from Elsevier and Microelectronics and Reliability. 282 Dry Cleaning Processes

in 1977 by Bersin and Reichelderfer [42]. In this case, the SiO2 etching was carried out selectively under a negative photoresist layer, which con- tained enough H 2O to initiate the reaction. In areas with no resist, SiO2 etching did not occur.

The overall reaction between SiO2 and HF gas producing SiF4 and H2 O is thermodynamically favorable:

→ ∆ S i O 2 ( s ) + 4 H F ( g ) S i F4 ( g ) + 2 H2 O ( g ) G ° ( 298 K ) = – 74.8 k J / m o l e

Eq. (5.3-1) where ∆ G is the change in Gibb’s free energy. An additional polar mol- ecule capable of making a H-bond with HF is needed, however, to lower the kinetic barrier of the reaction, since HF alone does not etch SiO2 . The polar molecule can either be intentionally added to the gas phase or be pres- ent on the surface. Water vapor is often used and is autocatalytic because it is a reaction product. Figure 5.3-5 shows the typical time response of the ellipsometric parameter y during etching of a thermal SiO2 fi lm with a low-pressure mixture of HF and H 2O vapor. The parameter y , which undergoes a sinusoidal variation as the SiO 2 thickness changes, displays

Initiation Bulk etching Termination ψ

Time

Figure 5.3-5 Typical time response of the ellipsometric parameter y during etching of a thermal SiO2 fi lm with a low-pressure mixture of HF and H2O vapor, showing three stages. Used with permission from Anthony Muscat. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 283 three distinct behaviors as a function of reaction time, starting when HF enters the reactor. These stages are termed initiation, bulk etching, and termination. The characteristics of each stage are discussed in turn in the sections that follow.

5.3.1 Initiation

In addition to H 2O vapor, molecules such as alcohols and carboxylic acids in the gas phase are effective in lowering the kinetic barrier of the etching reaction. Moreover, molecular groups on the surface of the oxide such as silanol (SiOH), hydroxylated boron (BOH), and phosphorus (POH) also enhance the reaction [43 ]. The interaction of HF with these groups and with surface siloxane (Si – O – Si) moieties produces a characteristic delay in etching called the induction or initiation time, which is on the order of 10 seconds. As shown in Figure 5.3-5, the SiO 2 thickness is constant during this time, indicating that no etching occurs. The surface chemistry is far from dormant, however, since temperature, pressure, HF concentration, vapor mixture used and concentration [44], additives such as HCl [45 ], and oxide type [46 , 47 ] affect the induction time. Although no defi nitive spectroscopic evidence has been presented to show the sequence of reaction events, many experimental results have been explained using a liquid-phase model.

The observation that H 2 O is required for initiation suggests that etching occurs in a thin liquid-like layer on the surface of the SiO 2 [48 ]. The induc- tion period is the time required for H 2 O and HF to condense on the sur- face and form active etching species. The agreement between experiments and a thermodynamic model predicting when a condensed layer will form, and the appearance of residues on SiO2 surfaces after etching support this interpretation. Clearly some etching conditions support the formation of a liquid layer on the surface. This is not a necessary condition, however, as a series of studies done in 1995 showed that etching could occur without a liquid layer on the surface [47,49 ]. Control of the liquid layer affects SiO2 etching selectivity [50] and could be important in removing oxides from other Group IV materials, such as Ge, as well as III-V materials, which are known to be sensitive to H2 O. Etching does not occur without H2 O adsorption on the surface [46 ], but the layer is present only during etching. In situ Fourier transform infrared (FTIR) spectroscopy showed that adsorbed H2 O appeared on the surface of thermal SiO 2 fi lms when HF was introduced to start etching and decreased when the fi lm was removed [51]. Water was not adsorbed on the surface before etching even though the partial pressure of H2 O in the gas phase was the same. These 284 Dry Cleaning Processes results are corroborated by mass spectrometer measurements during etching [46 ]. Similar conclusions can be made for HF, namely that HF does not etch thermal SiO2 fi lms without H 2 O vapor present. First principles molecular mod- eling starting with a hydroxylated surface (SiOH) concluded that Coulombic attraction between polarized atoms, in this case H in an HF molecule and O in the oxide matrix is responsible for initiating etching [52 ]. Another fi rst principles study showed that the concerted attack of HF and H 2O molecules on the Si– O bond of surface silanol (SiOH) groups is a low-energy etching pathway [53 ].

A gas phase H2 O molecule serves to catalyze the reaction donating one H to a surface SiO– H and accepting H from HF. Experimental evidence for a coordinated effect based on in situ FTIR spectroscopy showed that HF and

H2 O molecules formed a cluster in the gas phase under low-pressure (<200 Torr) conditions [51 ]. The initiation time correlated with the cluster concen- tration, suggesting that the HF – H2 O complex adsorbs on a SiO2 surface more strongly than either molecule alone. Water consequently acts as a carrier of HF molecules, providing a means for HF to stick on SiO2 and etch the surface.

5.3.2 Bulk etching

Etching of the SiO 2 starts once the surface is covered with adsorbed HF and H 2O molecules. This is detected as a change in fi lm thickness by an ellipsometer, represented by the parameter y in Figure 5.3-5. Oxide fi lms that start etching immediately with no induction period contain enough adsorbed H 2 O that HF sticks to the surface. Silicate glass fi lms that contain B or P typically show this behavior [43]. The response surface for etching thermal SiO2 fi lms as a function of the H2 O and HF fl ow rates in a reac- tor at 34 ° C and 100 Torr is shown in Figure 5.3-6 . The total fl ow rate was

fi xed at 563 sccm (standard cubic centimeters) with makeup N2 and can be used to obtain partial pressures of HF and H 2O. The broad span of the reaction rate from approximately 1 Å /second at 20 sccm (4 Torr) of HF and 35 sccm (6 Torr) of H2 O to 100 Å/second at 85 sccm (15 Torr) of HF and 65 sccm (12 Torr) of H 2 O is typical of etching thermal SiO2 fi lms. All of the etching experiments started as gas/solid reactions even though the surface was exposed to H2 O vapor for times on the order of 10 min before introducing HF gas, since H2 O was not detected on the surface by FTIR spectroscopy. This indicates that for subatmospheric pressures at 34° C, a liquid H2 O layer is not a prerequisite for etching, but rather a consequence of the reaction. Water did not buildup on the surface at low etching rates, whereas several layers of H2 O, producing a liquid fi lm accompanied high etching rates. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 285

Figure 5.3-6 Response surface plot of thermal SiO2 etching rate in gas phase

HF and H2O vapor mixtures at 34°C and 100 Torr [51]. Used with permission from Gerado Montano-Miranda.

The stability of this liquid fi lm depended on the rate of evaporation, which was a function of the gas phase concentration of the reactants, pressure, and temperature. Besides kinetics, the H2 O layer infl uences the etching rate through the dilution thermodynamics of HF in the liquid-like layer and the transport of species across the gas and liquid boundary and reaction layers above the surface. The infl uence of transport can be seen in the plateau that starts to develop at high etching rates. This plateau is due in part to the additional resistance offered by the increased production of H2 O at higher etching rates, developing into a proportionally thicker layer that opposes the diffusion of reactive species to the surface of the sample. This infl uence is not observed at low etching rates due to the absence of a H2 O layer. Without a detectable H 2 O layer observed with FTIR, the etching rates are almost two orders of magnitude lower than those achieved with a detectable H2 O layer. This is likely the result of a change in the active etching species attacking

SiO 2 in both the gas/solid regime and the gas/liquid/solid regime. In the gas/ solid regime the reaction takes place through gas phase species, including gas phase HF, H2 O, and the HF/H2 O cluster. In the gas/solid/liquid regime, the etching species are similar to those found in liquid phase etching, albeit different due to the higher concentrations of HF that can be achieved in a gas/liquid/solid process.

The most successful quantitative model of gas-phase HF/H 2O etching of SiO 2 in the bulk etching regime where a H2 O layer is present on the surface 286 Dry Cleaning Processes of the oxide fi lm is the work by Helms and Deal [48 ]. Etching rates of ther- mal oxide fi lms in a liquid phase HF/H 2O solution, as shown in Figure 5.3-7, up to approximately 150 Å /second are similar to those in a HF/H 2O vapor gas phase in this regime, as shown in Figure 5.3-8. This suggests that the mechanism of bulk etching is similar to liquid phase etching. Helms and Deal used this idea to develop a steady-state model that predicts the stability of a liquid layer in equilibrium with a vapor phase. Although it is now known that a condensed liquid layer is a suffi cient but not a necessary condition for etching, the Helms– Deal model correctly predicts rates in the bulk etching regime, when a liquid layer is present on the surface.

The steps for SiO 2 removal start with transport of HF and H2 O from a vapor phase to a boundary layer, as shown in Figure 5.3-9 . The hydrodynamic boundary layer is defi ned by the interfacial region between the vapor and liquid phases where the gas velocity changes from the free stream value to zero at the liquid surface. The reactants diffuse across the boundary and dissolve in the liquid layer, and subsequently diffuse across the liquid layer and react at the surface. The etching reaction removes the SiO x fi lm, pro- ducing hydrofl uosilicic acid (H 2 SiF6 ) and H2 O (product H2 O). The prod- ucts undergo transport because of the concentration driving force back to the vapor phase by diffusion and vaporization in the gas – liquid boundary layer. The products are carried away from the surface by fl ow in the vapor stream. Undesired secondary reactions can cause residue formation not encountered in aqueous processing. For example, there is a driving force for disproportionation of H2 SiF6 into silicon tetrafl uoride (SiF 4 ) and HF at the gas-liquid interface. At high etching rates, residues containing H 2 SiF6 can form and will remain on the surface after pumping out the gas phase, unless rinsed off immediately with liquid H2 O. Mass transport limitations have been observed in the bulk etching regime and modeled using classic stagnation fl ow for high etching rates [57 ].

In the Helms – Deal model equilibrium partial pressures of HF and H2 O are used to determine the stability conditions for a liquid layer, which is used to determine etching rates by comparison with liquid phase etching models [48 ]. This approach assumes that the system is at steady state with a fl ux of reactants, Φ, at the surface given by the ideal gas collision frequency

P Φ= i i Eq. (5.3-2) 2pmkT i

where Pi is the partial pressure of reactant i , mi is the mass of reactant i , and kT is the energy associated with temperature T . The fl ux of reactants 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 287

Figure 5.3-7 Thermal SiO2 etch rate data as a function of HF concentration

(wt%) in HF–H2O mixtures based on early results from Mai and Looney [54], Judge [55], and Harrap [56]. The dashed line is from Judge and the solid line an improved fi t of the data. Courtesy of Bruce E. Deal and C. Robert Helms.

180

160

140

120

100

80

60 17 Liter total flow 14 Liter total flow 40 11 Liter total flow Etch Rate (angstroms / second) 8 Liter total flow 20

0 0.0 0.5 1.0 4.54.03.53.02.52.01.5 5.0 6.05.5 Anhydrous HF Concentration (%)

Figure 5.3-8 Thermal SiO2 etch rates at various anhydrous HF concentrations at 25°C for various fl ow rates in a commercially available vapor cleaning systems. Courtesy of FSI International. 288 Dry Cleaning Processes

Figure 5.3-9 Overview of transport and reaction processes in SiO2 etching using gas phase mixtures of HF and H2O vapor. Used with permission from Anthony Muscat. leaving the surface is given by the appropriate reactant vapor pressures for the liquid phase. This is only valid if the sticking probabilities for the

HF and H2 O are nearly equal. This is indeed the case, as described below. Comparing masses, m = 1.05 m , so assuming that the fl uxes of each H F H2 O are proportional to their partial pressures leads to minimal error. For these conditions the net steady state fl ux into the condensed layer is

Φ = P – P0 ( T , [ HF ] ) Eq. (5.3-3) H2 O H 2 O H2 O Φ 0 H F = P HF – P HF ( T , [ HF ] ) Eq. (5.3-4) where the F are the fl uxes, P is the pressure, T is the surface temperature and [HF] is the HF concentration in the condensed layer. P H2 O and PHF are 0 0 the gas-phase partial pressures right above the surface and P and P are H2 O HF the equilibrium vapor pressures at sample temperature T and condensed layer composition [HF]. The HF concentration at steady state is deter- mined by the ratio of the net HF fl ux to the total reactant fl ux

PPT− 0 (,[HF]) [HF]= HF HF Eq. (5.3-5) PPT−+−00(,[HF]) P PT (,[HF]) HF HF HOHO22

For a given set of experimental conditions this expression can be solved, provided values for the equilibrium vapor pressures are available. Fortunately, there are extensive data in the literature on vapor/liquid equilibria for HF/ 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 289

Figure 5.3-10 Vapor pressures of HF and H2O with changes to the mole fraction of HF at various temperatures [48]. Reprinted with permission from Helms, C. R., and Deal, B. E., J. Vac. Sci. Technol. A 10(4):806 (1992). Copyright 1992, American Institute of Physics.

H 2O mixtures. The most complete reference on HF/H 2O vapor/liquid equi- libria is that of Munter et al. [58, 59 ]; their data are shown in Figure 5.3-10 where the equilibrium vapor pressures are plotted versus liquid composition for a series of temperatures including the values for pure HF. Additional data on the high HF concentration limit are also shown [60]. In the limit of low-HF concentrations, the vapor pressure of HF is very small so that the HF fraction in the liquid phase will be much larger than in the gas-phase. The converse is true in the limit of high HF concentrations. This in part explains etching with anhydrous HF, since any H2 O present or created by the reaction can be retained in the liquid due to the low H2 O vapor pressure at high HF concentrations. If the sticking probabilities are equal, that point where the gas-phase and liquid phase partial pressures are equal will be the azeotrope. This occurs at approximately 39 wt% HF [58, 59 ], which is near the equal partial pressure points. This supports the assumption of equal sticking probabilities at a liquid fi lm as does the experimental observation that HF and H2 O molecules cluster in the gas phase. The data in Figure 5.3-10 were modeled using a near regular solution approach based on van Laar equations for liquid phase activity coeffi cients. The model has been refi t from Helms and Deal’s original work [48] to recent data for the high HF partial pressure limit and all subsequent curves refl ect this fi t [60]. At a given temperature a condensed phase will form producing a liquid layer in equilibrium with a vapor, only if the combination of gas-phase 290 Dry Cleaning Processes

Figure 5.3-11 Partial pressures of HF and H2O indicating ranges corresponding to stable condensation at 20°C [48]. Reprinted with permission from Helms, C. R., and Deal, B. E., J. Vac. Sci. Technol. A 10(4):806 (1992). Copyright 1992, American Institute of Physics.

partial pressures is high enough so that the fl ux of molecules impinging on the surface is greater than that leaving by evaporation. This is represented by Eq. (5.3-5) where both the numerator and denominator must be greater than zero. The result is shown in Figure 5.3-11 for 20 ° C where condensation will occur on the high pressure side of the line (upper right) and where no con- densation is expected on the low-pressure side of the line (lower left). The Helms – Deal analysis assumed that no etching could occur in the non-condensed regime as shown in their original plot reproduced in Figure 5.3-11 . As already discussed, studies have shown that etching is possible in the gas/solid regime, albeit at a lower rate than in the gas/liquid/solid regime [49 , 61 ]. The Helms – Deal model is still valid but applies only to the bulk etching regime where the partial pressures are high enough to support a stable liquid layer. The problem of nucleating a condensed fi lm on a surface was neglected in the Helms– Deal analysis. In light of the work described on initiating the etching reaction on thermal SiO2 fi lms, this explanation is undoubtedly justifi ed due to the hydrophilic nature of the monolayer produced by this process. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 291

5. 3.2.1 Etching rate Etching rates can be predicted for the gas phase process and compared to liquid phase etching rates at the same HF concentration. Adsorption and desorption kinetics and transport processes are neglected as well as the depletion of HF during etching and the generation of byproducts and their effect on the process. The HF concentration in a liquid layer as a function of the partial pressures of HF and H 2 O in the vapor phase was computed using the data in Figure 5.3-10 and Eq. (5.3-5). The etching rate predicted at this HF concentration was computed using the curve fi t to the liquid phase data shown by the solid line in Figure 5.3-7 . The result is the predicted gas phase etching rate at 25 ° C based on a liquid layer in equilibrium with HF and H2 O partial pressures and is shown in Figure 5.3-12. This calculation also assumes a negligible pressure drop across any boundary layer or pressure gradient due to gas-phase diffusion limitations. Experimental etching rates in the gas phase range from a few Å /sec- ond for conditions where the HF concentration is dilute to hundreds of

Figure 5.3-12 Predicted SiO2 etch rates at 25°C as a function of HF partial pressures at the indicated H2O pressures by combining the equilibrium model for gas phase etching with the liquid-phase etching rate data in Figure 5.3-7 [48]. Reprinted with permission from Helms, C. R., and Deal, B. E., J. Vac. Sci. Technol. A 10(4):806 (1992). Copyright 1992, American Institute of Physics. 292 Dry Cleaning Processes

Figure 5.3-13 The points show the gas phase SiO2 etching rates at 25°C from references [35, 62] versus the equivalent HF fraction in a liquid phase calculated using Eq. (5.3.5). The solid line is the same as in Figure 5.3-7 and shows the liquid phase etching rate [48]. Reprinted with permission from Helms, C. R., and Deal, B. E., J. Vac. Sci. Technol. A 10(4):806 (1992). Copyright 1992, American Institute of Physics.

Å/second at high HF concentrations as shown in Figure 5.3-7 for 34° C. The predicted rates in Figure 5.3-12 for 25 ° C shows qualitatively that the values are in the range expected. A quantitative comparison at 25° C using the etching rate results of Deal et al. [35 , 62 ] where vapor pressure data are available is shown in Figure 5.3-13 . The fi t of the liquid phase etching data in Figure 5.3-7 (solid line) is shown for comparison. The agreement is reasonably good but the model appears to under-predict the HF concentra- tion in the liquid layer necessary to achieve a specifi c etching rate at low concentrations and over-predict the HF concentration necessary to equate gas and liquid etching rates at high concentrations. The latter effect may be due to incomplete mixing in the liquid layer generated by a gas phase process since the liquid rates were measured for stirred conditions as well as the buildup of reaction products. The deviation at low concentration is likely due to the inaccuracy of the vapor pressure model for low HF con- centrations where no data were available.

An example of the amount of thermal SiO 2 etched as a function of time is presented in Figure 5.3-14 . The initiation times to start etching given by the time intercepts as a function of HF/H2 O vapor ratio vary from 0 to 20 seconds. An estimation of HF concentration in the condensed aqueous 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 293

Figure 5.3-14 The amount of thermal SiO2 removed versus time for various ratios of azeotropic HF/H2O solutions (38.4 wt% HF) to H2O vapor. The wafer temperature was 25°C, the azeotrope temperature was 30°C, and the H2O vaporizer temperature was 60°C. The fl ow rate was 15 L/minute [35]. The etching rate was calculated from the slopes of the lines in Å/second, and the initiation time obtained from the time intercept is shown in seconds for each ratio studied. Solid State Technology.

layer for particular HF:H2 O vapor ratios can be made by comparing etching rates with those of Figure 5.3-7 for aqueous solutions [35 ]. For example, a 4.7 Å/second etching rate obtained by mixing 2.5 L/minute of azeotropic

HF with 12.5 L/minute of H2O corresponds to about a 5 wt% HF aqueous solution. Estimation of the HF concentration in a liquid layer can be used to determine etching selectivity differences between different types of silicon oxides and silicate glass fi lms.

In partially etching blanket SiO 2 layers or selectively etching oxides it is important to have process repeatability, etching as uniformly as possible across the wafer as well as from wafer-to-wafer. The effect of organic impurities on the SiO2 surfaces or even the surface chemistry itself on oxide etching characteristics, especially for vapor etch systems, has already been mentioned. Kao et al. [63 ] observed distinct improve- ment in SiO 2 etch uniformity for O3 pre-treated wafers, confi rming that even for relatively clean SiO2 , enough organic contamination is present on the SiO 2 surface to affect etching uniformity [64]. On the other hand, 294 Dry Cleaning Processes

Table 5.3-3 Typical Results for Vapor Phase Processes [174] Where IPA is Isopropyl Alcohol. Reproduced by permission of ECS—The Electrochemical Society from de Larios, J. M., and J. O., Borland, Third International Symposium on Cleaning Technology in Semiconductor Manufacturing

TROS oxide Thermal oxide Vapor Composition Etch Rate Etch Rate (Å/second) (Å/second)

Azeotropic HF 7.8 48

Azeotropic HF + Η2Ο 5.4 3.2 Azeotropic HF + HCl (not measured) 3.3 Anhydrous HF + IPA 6 1.5

etch repeatability from wafer to wafer is not affected appreciably. Other process variables which can affect gas-phase etch characteristics include temperature, chamber pressure, and any variable affecting composition of the condensed HF aqueous layer, such as gas fl ow rates, vaporizer composition and temperature, and type of solvent. Table 5.3-3 shows typical process results.

5.3.2.2 Silicon oxide types and selectivity

A majority of applications involving gas phase HF etching of SiO 2 relate to complete removal of a thick or thin SiO2 layer. After this removal, and after various cleaning treatments of “ stripped ” Si surfaces, a thin (5 – 15 Å )

SiO 2 layer generally remains. Thus, the important subject of the so-called native or chemical SiO2 arises, including native oxide regrowth [65 –71 ]. Many device structures are critically affected by the presence or absence of this thin layer of questionable composition. These structures include interconnect contacts, polySi bipolar emitters, epitaxial Si layers, and even

MOS gate SiO2 . Practical aspects of pre-cleaning effects on device proper- ties are discussed in Section 5.6. As mentioned above, any subsequent treatment of an HF-etched Si surface results in the formation of a very thin native or chemical SiO2 layer. This treatment may include cleaning solutions, DI H2 O, process gases, or even exposure to room ambient. These layers vary in composition, depending on the treatment, and often contain SiO2 , organics, various anions such as 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 295 sulfates, and other impurities. Thus it has been very diffi cult to characterize them and to obtain reproducible evaluations in different laboratories. Various techniques have been used to determine their thickness and com- position, such as XPS (X-ray photoelectron spectroscopy), Auger electron spectroscopy (AES), secondary ion mass spectroscopy (SIMS), and spec- troscopic ellipsometry. Surface impurities have been characterized by total refl ection X-ray fl uorescence (TXRF) and surface morphology has been characterized by electron energy loss spectroscopy (EELS), atomic force microscopy (AFM), and scanning tunneling microscopy (STM). These techniques are discussed in Chapter 10. Kinetics of fi lm growth have been investigated and typical results are presented in Figure 5.3-15, where it is noted that after a conventional

aqueous HF etch and DI H2 O rinse, the apparent native SiO2 thickness is 7– 8 Å. For a gas-phase HF treatment, however, the thickness is about 4 Å for this particular substrate, as measured by an ellipsometer. If both wafers are exposed to room ambient, a steady thickness increase is observed, but is much less for exposure to either charcoal-fi ltered air or Ar. This has led to the conclusion that much of the so-called re-oxidation is really due to a condensation of organic and other impurities on the surface [72 , 73 ].

Figure 5.3-15 An example of native SiO2 regrowth at 25°C in various ambient atmospheres following vapor and liquid HF stripping of thermal oxide [73]. Reprinted from Microcontamination. Copyright 1992, Tom Cheyney, Los Angeles, CA. Used with permission. 296 Dry Cleaning Processes

One of the device fabrication requirements in removing native/chemical

SiO2 from contact openings is to minimize the attack on the surrounding dielectric and ideally, it should not be etched at all. It has been found by

Miki et al. that extremely dry anhydrous HF can remove native SiO 2 layers without etching adjacent thermal SiO2 [50 ]. In their work, a gas-phase HF critical concentration was found below which no etching was observed.

This critical concentration depends on residual H2 O, temperature, and fl ow rate, as well as the nature of the SiO2 being etched. They suggested that H2 O was necessary for etching to proceed and that hydrated surfaces, such as chemical or native SiO2 , would therefore have lower HF partial pressure thresholds, whereas drier surfaces, such as thermal SiO2 , would have higher thresholds. Once the reaction is initiated at suffi ciently high HF pressure, enough H 2 O will be produced to allow the reaction to continue. Data that demonstrate such selective oxide etching are presented in Figure 5.3-16. It has also been observed that a similar selective etch process can be achieved using the vapor HF – H2 O reaction. This is accomplished for those conditions that produce a delay time of 10 seconds or more before etching of the thermal oxide begins. Since the condensed aqueous HF solution

Figure 5.3-16 Relationship of HF critical concentration for oxide etching and the moisture level at 25°C for various dielectric fi lms [50]. Reprinted with permission from Miki et al., IEEE Transcations on Electron Devices 37(1):107(1990). Copyright 1990, IEEE. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 297

Figure 5.3-17 Selective etching of native oxides in the presence of thermal oxides using vapor HF process. Courtesy of Bruce E. Deal and C. Robert Helms.

has not yet formed, the gaseous HF will etch the native oxide but not the thermal oxide. This phenomenon is illustrated in Figure 5.3-17, where an

8 Å native SiO 2 is removed before etching of the thermal SiO 2 commences.

Various types of dielectric fi lms, usually based on SiO2, are used in semiconductor device fabrication [22 , 74 , 75 ]. These can be deposited by a variety of methods and may contain additives, such as P and B, in amounts up to 10 wt% to form silicate glass fi lms. As a result, etch rates can vary considerably. For a given etching process, aqueous or vapor, each fi lm must be characterized. In addition, when two or more dielectrics are present on the same wafer, differential etching rates are often observed. Many times, etch rate differences can be used to advantage for fabricating a particular device, while in other cases severe problems result. In Table 5.3-4, examples of relative etch amounts for different dielectric fi lms are shown for a given etch time in a gas-phase HF/H2 O system. Similar data have been repeated for other vapor etching systems; an example is shown in Figure 5.3-18 .

5.3.3 Termination, residue formation, surface roughness, and electrical performance

The X-ray photoelectron spectroscopy (XPS) survey spectrum of a Si surface in Figure 5.3-19 taken after a typical HF/H2 O experiment that stripped the SiO2 shows F, O, and a small amount of C were present. The 298 Dry Cleaning Processes

Table 5.3-4 The Relative Etch Selectivity of Various Thin Oxide and Silicate Glass Films Compared to Thermal Oxide at 45°C HF Vaporizer Temperature and 12 seconds Etch Time. LTO is low-temperature deposited oxide, typically by PECVD (Plasma-Enhanced CVD) or thermal chemical vapor deposition (CVD). TEOS is tetraethylorthosilicate, a precursor used for

SiOx deposition in a PECVD system. PSG is phosphosilicate glass and BPSG is borophosphosilicate glass. Complied by the authors from data from Advantage and Genus.

Films Thickness Etched (Å) Selectivity

Thermal oxide (SiO2) 240 1.0 LTO (SiOx) 360 1.5 TEOS 550 2.1 PSG (4 wt% P) 776 3.2 BPSG (4 wt% B, 7 wt% P) 1288 5.4

Figure 5.3-18 Etched thickness of BPSG, PSG, and thermal SiO2 versus the partial pressure of H2O with a fi xed HF partial pressure of 600 Pa. Films were exposed to the gas mixture for 30 seconds [47]. Reproduced by permission of ECS—The Electrochemical Society from Watanabe, H., Ohnishi, H. S., Honma, I., Kitajima, H., Ono, H., Wilhelm, R. J., and Sophie, A. J. L., J. Electrochem. Soc. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 299

Figure 5.3-19 Survey (200 eV) and high resolution (50 eV) XPS traces of the surface after SiO2 removal [51]. Used with permission from Gerardo Montano-Miranda. inset, recorded from the Si region at 50 eV pass energy, shows only a Si metal peak and the complete removal of Si suboxides. The survey spec- trum shows that the peak at 686 eV is F in the form SiF x ( x = 1, 2, 3) and the peak at 532.4 eV is O in the form of silanol (SiOH) groups. The existence of SiOH is corroborated by FTIR data. The maximum amount of residual O as SiOH is typically found at moderate HF and H2 O partial pressures. The minimum amount of O in the layer is typically found at the highest HF concentrations. Gas phase SiO2 etching produces higher F sur- face coverage than liquid phase processes, which is a direct consequence of the chemistry of the H 2 O layer on the surface of the sample during etching. Although F termination is not desirable if the subsequent step is deposition, F atoms can be replaced with other terminating groups that facilitate deposition. Various refi nements of vapor HF etching techniques have so far not been able to eliminate entirely certain problems associated with this process. The most persistent one involves solid residues, formed as a product of

etching, that remain on the surface. An additional H 2O rinse needs to be applied following vapor HF exposure to wash these residues off. The positive side of this last treatment has been experimentally demonstrated to 300 Dry Cleaning Processes

Figure 5.3-20 Moisture content has to be very precisely controlled to achieve adequate process controllability. Courtesy of FSI International. consist of the ability to remove certain of the metallic residues in the form of soluble fl uorides [76]. Still, the need for H2 O rinsing seems to defeat the purpose of what was intended to be an entirely gas-phase operation. Also, a strong dependence of the vapor HF process on the moisture content

[77 ], the degree of hydration of etched SiO2 , and the amount of surface hydrocarbons, can all potentially lead to etch non-uniformity and diffi cul- ties in controlling etch selectivity, as well as to very high etch rates result- ing in undesired or excessive etching of some oxides on Si, in particular BPSG (borophosphosilicate glass). As a result, moisture content has to be very precisely controlled to achieve adequate process controllability, as shown in Figure 5.3-20 . Moreover, the need to add moisture to anhydrous gaseous HF in order to control etching reactions leads to the corrosion of stainless steel gas lines typically used in the state-of-the-art reduced pres- sure processing. Research has shown that the pH of HF solutions effects the promotion of H-terminated surfaces and surface microroughness. It is well appreci- ated that HF-last processing can lead to Si surfaces that are atomically clean except for a monolayer (ML) of H that terminates the Si dangling bonds [78 ]. Surprising, as it may seem, the surface concentration of F is not seen to increase above 0.1 ML. It has been shown that even Si surfaces fl uorinated in UHV (ultrahigh vacuum) are unstable in the pres- ence of H2 O giving a surface where the Si is primarily bonded to O and the remaining F appears as OF radicals. Thus in an aqueous H2 O 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 301 environment, F-terminated Si is clearly unstable. Both the low pH (HF- - dominated etching) and high pH (HF2 -dominated etching) cases appear capable of producing these H-terminated surfaces. However, results from Higashi et al. [78 ] show other differences between these two cases related to surface microroughness. The high pH case has led to a sur- face where crystallinity is clearly evident, whereas the low pH case has produced a H-terminated surface, which is considerably rougher. It is important to note that process induced surface microroughness has been correlated with poor interface and dielectric properties in MOS devices [11, 79–86 ]. In addition, cleaning induced microroughness has been attributed to less than ideal MOS properties for deposited SiO2 [87 –89 ]. Chapter 9 has an in depth discussion of H-terminated Si surfaces.

Two disadvantages of gas-phase SiO 2 etching and cleaning are (1) the lack of a liquid phase solvent and [2] the need to remove reaction products by evaporation rather than dissolution. This has been dealt with in some cases with a fi nal H 2O rinse [90], but the addition of a wet step at the end of the process defeats much of the purpose of gas-phase etching and cleaning in the fi rst place. Two gas-phase methods have shown promise for providing residue-free etching and cleaning, one employing HF and H2O at elevated temperatures [91, 92 ] and one employing HF with methanol or isopropanol as the condensable solvent medium [44, 93–95 ]. It was demonstrated that, if properly controlled, the HF/CH3 OH gas process suppresses formation of solid residue on the etched surface as shown in Figure 5.3-21 , and results in improved etch selectivity as compared to HF/

H 2 O vapor process. At the same time however, lower SiO2 etch rates were observed for this process as compared to etching with HF/H2 O vapor. The residues that can form during etching and cleaning occur by the competition between reaction product desorption and other reactions, which can be represented approximately as

→ H 2 S i F 6 + 3 H 2 O H2 S i O3 + 6 H F Eq. (5.3-6)

The equilibrium suggests that conditions that favors rapid desorption of

SiF 4 , high HF pressures and low H2 O concentrations, would produce less H2 SiO3 . Onishi et al. [91 , 92 ] have shown that increasing the reaction temperature by tens of degrees gives much lower residue concentrations.

This is likely related to the greater volatility of SiF4 at elevated tempera- tures. Alcohols in place of H2 O as the solvent have also shown improve- ments in residue formation. There are other implications of the potentially high reaction product limit associated with gas-phase HF etching and cleaning. First, regarding 302 Dry Cleaning Processes

Figure 5.3-21 Moisture content has to be very precisely controlled to achieve low deposition of reside, counted as particles in this experiment [94]. Reproduced by permission of ECS—The Electrochemical Society from Izumi, A., Matsuka, T., Takeuchi, T., and Yamano, A., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing.

etching itself, Thomsen [96 ] has shown that H2 SiF6 itself is capable of etching SiO2 via: → 5 H 2 S i F 6 + S i O 2 3 H 2 ( S i F 6 S i F4 ) + 2 H 2 O Eq. (5.3-7)

In addition, the presence of high concentrations (~ 10 mol % ) of H 2 SiF6 in HF/H2 O solutions signifi cantly reduces the vapor pressures of both HF and H2 O above such solutions. This is an additional mechanism, which will stabilize a condensed liquid phase at high HF concentrations, but may also lead to residue formation.

5.3.4 Other dry methods of oxide etching

Ruzyllo et al. investigated UV/NF3 :H2 :Ar etching of Si oxides [97]. This method was found to be suitable for native/chemical oxide etching, 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 303 including pre-metal oxide etch. As the current – voltage (IV ) characteristics indicate, this process is able to replace the standard HF dip conventionally applied immediately prior to contact metallization without compromising the characteristics of Al– Si Ohmic contacts. Similar chemistry was used previously by ArF excimer laser (193 nm) and enhanced etching of native oxide was observed [98 ]. Another development concerns the use of UV exposure in conjunction with anhydrous HF/CH3 OH chemistry [99 ]. In this process, the UV irra- diation can be used to control oxide etching reactions, leading to increased process versatility and improved performance. The UV irradiation can also be used to control moisture level on the Si surface. In addition, UV/

O3 treatment can be applied in situ prior to native oxide etching to remove hydrocarbons, and hence, to assure adequate etch uniformity. In other respects, this procedure preserves advantages of the straight anhydrous

HF/CH3 OH process.

5.3.5 Gas-phase oxide etching summary

The etching of SiO2 using gas phase mixtures of HF and H2 O vapor occurs after an initiation step in which HF and H2 O molecules adsorb on the surface simultaneously as a result of cluster formation in the gas phase. Once a liquid layer builds up on a surface, etching occurs by the same mechanism as a liquid phase process. The major differences between liquid and gas-phase etching are the initiation step, the possible presence of high concentrations of reaction products in the condensed phase, and the need to desorb or rinse off the reaction products after the etch. Initially it might seem that anhydrous HF etching results are counter to this model. However, small amounts of H2 O present in the ambient, on the surface, or produced as a consequence of an initial surface reaction, especially in the high HF partial pressure limit are suffi cient to produce an

HF-rich condensed phase. In addition, the suppression of the H2 O vapor pressure in the presence of high H2 SiF6 concentrations produces a con- densed phase for “ anhydrous ” conditions. The model for the low HF con- centration limit explains the observed thresholds for etching since, at high temperatures the sticking probability of HF, H2 O vapor, and the HF– H 2 O cluster are too low to initiate and support the etching reaction through the formation of a liquid layer on the surface. Although we have not specifi cally discussed mechanisms for the selective etching discussed, these effects can be understood within the same framework [43 ]. The key factor that leads to differences in selectiv- ity is the H 2 O content of the SiO2 being etched. For low H 2 O content SiO2 304 Dry Cleaning Processes

or oxide surfaces, higher HF/H2 O pressures will be necessary to initiate the reaction. This is the case for thermal SiO 2 as discussed above. Native, chemical, deposited, and silicate glass fi lms inherently contain more H 2 O so that lower partial pressures initiate liquid layer formation. This effect has been used to provide selective removal of native and silicate glass fi lms while thermal oxides under the same conditions do not etch [62 , 90 ].

5.4 O 3 and UV/O3 for Organic Removal, Resist Stripping, and Surface Oxidation

As seen in Table 5.1-1 , photochemically enhanced gas-phase wafer cleaning reactions fi nd applications in all areas of Si surface cleaning. In many cases, low pressure Hg vapor UV lamps as the radiation sources are suffi cient to generate useful chemistries. The general rule is to match the output of the UV source to the energies required for effi cient photolysis. The wide range of organic compounds potentially used in photochemical cleaning may display a broad range of binding energies. Consequently, in order to allow adequate process versatility, the UV sources for pho- tochemical wafer cleaning should ideally feature uniform high intensi- ties for wavelengths ranging from 150 – 600 nm. This means that, for a wide range of photochemical cleaning applications, UV sources other than low-pressure Hg vapor lamps are required. In the case of any UV source considered for these applications, it is important to verify its inert- ness with regard to defect generation in oxides existing on the exposed surfaces. The energy of UV light is close to the strength of a chemical bond, and direct or indirect dissociation can occur when a molecule absorbs light in this energy range. Photolytic processes in the gas phase and on the surface are possible. Examples from semiconductor processing include removing carbon-bearing residues and metal atoms using UV/O3 and UV/Cl2 as well as terminating a Si surface with a halogen. The reactors are made from UV-grade fused quartz (for example, GE, grade 214). An IR fi lter can be used to prevent unintended sample heating to less than 3 ° C. The best-established photochemically enhanced cleaning process is the

UV/O3 removal of organic contaminants. It was fi rst studied as a method to oxidize and volatilize organics from solid surfaces [2, 100 ]. It was later intro- duced to Si IC processing for a variety of applications, including pre-oxidation surface treatments [101, 102 ], organic removal prior to metallization [103], and epitaxial deposition [104]. Overall, the effi cacy of this process for surface C and hydrocarbons removal is well documented [105]. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 305

Figure 5.4-22 Growth of SiO2 on the Si surface during exposure to UV radiation in an O2 ambient [101]. Reproduced by permission of ECS—The Electrochemical Society from Ruzyllo, J., Duranko, G., and Hoff, A., J. Electrochem. Soc.

Besides effective removal of residual organics, the UV/O 3 process can also be used to strip photoresist and to remove polymer fi lms remaining on the surface after RIE (reactive ion etching) processes [106 ]. For all these applica- tions, it is essential that 185 and 254 nm wavelength radiation be present in the lamp spectrum to generate atomic O and O3 . These strong oxidizing agents are responsible for both decomposing organic compounds to form CO2 , as well as causing a slight oxidation of the Si surface. At room temperature, such UV-formed oxide typically does not grow thicker than about 1.5 nm, as shown in Figure 5.4-22 , which makes it very useful as a passivating oxide [101 , 102 ]. If needed however, substantially thicker oxides can be grown on Si by UV enhancement at temperatures not exceeding 500 ° C [107 ].

5.4.1 History of UV/ozone cleaning In 1972, Bolon and Kunz [1 ] reported that the UV light had the capabil- ity to depolymerize a variety of photoresist polymers. The polymer fi lms were enclosed in a quartz tube that was evacuated and then backfi lled with

O2 . The samples were irradiated with UV light from a medium-pressure Hg lamp that generated O3 . The polymer fi lms of several thousand angstroms thickness were successfully depolymerized in less than one hour. The major 306 Dry Cleaning Processes

products of depolymerization were found to be H2 O and CO 2 . Subsequent to depolymerization, the substrates were examined by AES and were found to be free of carbonaceous residues. Only inorganic residues, such as Sn and Cl, were found. When a Pyrex™ fi lter was placed between the UV light and the fi lms, or when a N2 atmosphere was used instead of O2 , the depolymer- ization was hindered. Thus, Bolon and Kunz recognized that O2 and wave- lengths shorter than 300 nm played a role in the depolymerization. During the period 1974 – 1976, Vig et al. [3 , 4 , 108 ] described a series of experiments aimed at determining the optimum conditions for producing clean surfaces by UV irradiation. The variables of cleaning by UV light were defi ned, and it was shown that, under the proper conditions, UV/O 3 cleaning has the capability of producing clean surfaces in less than 1 min- ute. Contact angle measurements, wettability tests, and AES were used to evaluate the results of cleaning experiments. Most of the experiments were conducted on polished quartz wafers, the cleanliness of which could be evaluated by the “ steam test,” a highly sensitive wettability test [108–110 ]. Contact angle measurements and the steam test can detect fractional mono- layers of hydrophobic surface contamination. Also tested was a black-light, long-wavelength UV source that emitted wavelengths above 300 nm only. This UV source produced no noticeable cleaning, even after 24 hours of irradiation. In the studies of Vig et al., it was found that samples could be cleaned consistently by UV/O 3 only if gross contamination was fi rst removed from the surfaces. The cleanliness of such UV/O3 -cleaned surfaces has been verifi ed on numerous occasions by AES and XPS [ 1 , 3 , 4 , 111 – 113 ]. Figure

5.4-23 shows the results from AES before and after UV/O3 cleaning [111]. Ten minutes of UV/O 3 cleaning reduced the surface contamination on an Al thin fi lm to below the AES detectability level, about 1% of a ML. The effectiveness of UV/O3 cleaning has also been confi rmed by ion scattering spectroscopy/SIMS (ESS/SIMS) [114 ]. A number of wafers of AT-cut quartz crystal [110 ] were pre-cleaned and exposed to the UV light until clean surfaces were obtained. Two different UV module confi gurations were used. Each of the wafers was then thoroughly contaminated with human skin oil, which has been a diffi cult contaminant to remove. The wafers were pre-cleaned again, groups of wafers were exposed to each of the four UV/O3 combinations mentioned earlier, and the time needed to attain a clean surface, as indicated by the steam test, was measured. The samples were placed within 5 mm of the UV source, where the temperature was about 70 ° C. In one UV module confi guration the wafers were exposed to 253.7 nm +

184.9 nm + O 3. In this confi guration the wafers became clean in 20 seconds. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 307

(a)

S d[E-N(E)]dE C

AI 0

(b) d[E-N(E)]dE

AI 0

0 200 400 600 800 1000 Electron energy (ev)

Figure 5.4-23 Auger spectra of evaporated Al fi lm on Si surface; (a) before UV/

O3 cleaning and (b) after UV/O3 cleaning [111]. Reprinted from Journal of Applied Surface Science, Volume 21, Benson, R. C., Nall, B. H., Satkiewitz, F. G., and Charles, H. K. “Surface analysis of adsorbed species from epoxy adhesives used in microelectronics,” page 219. Copyright (1985), with permission from Elsevier. 308 Dry Cleaning Processes

Table 5.4-5 Exposure Types Versus Cleaning Times to Remove Contamination on the Wafer Surface [108]. Reprinted with permission from Clatterbaugh et al., IEEE Transactions on Components, Hybrids, and Manufacturing Technology, 7(4):349(1984). Copyright 1984, IEEE

Exposure Type Cleaning Times to Remove Contaminant

“Black light” (<300 nm) No cleaning

O3, no UV 10 hours 253.7 nm, no O3 1 hours 253.7 nm + O3 90 seconds 253.7 nm + 184.9 nm + O3 20 seconds

In the second UV module confi guration the wafers were exposed to 253.7 nm + O3 . In the second confi guration the wafers reached the clean condition in 90 seconds. Samples exposed to 253.7 nm without O3 and to O3 without UV light were cleaned within 1 and 10 hours, respectively. The results are summarized in Table 5.4-5 . Although many organic molecules also absorb radiation at 184.9 nm, it was not possible from these experiments to isolate the cleaning effect of the 184.9 nm radiation. The O3 concentrations had not been measured. As is discussed below, within each box the O 3 concentrations vary with distance from the UV source. The UV/O3 cleaning method is now used in a variety of applications, in electronics, optics, and other fi elds.

5.4.2 Wavelengths emitted by the UV sources

Since only the light that is absorbed can be effective in producing photochemical changes, the wavelengths emitted by the UV sources are important variables. The low-pressure Hg discharge tubes generate two wavelengths of interest: 184.9 and 253.7 nm. Whether or not these wave- lengths are emitted depends upon the lamp envelopes. The emissions through the three main types of envelopes are summarized in Table 5.4-6. Pure quartz is highly transparent to both wavelengths.

The 184.9 nm wavelength is important because it is absorbed by O 2, thus leading to the generation of O3 [115], and many organic molecules also absorb it. The 253.7 nm radiation is not absorbed by O2 , therefore, it does not contribute to O 3 generation, but is absorbed by most organic molecules [116, 117 ] and by O 3 [115]. The absorption by O 3 is principally responsible 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 309

for the destruction of O3 in the UV box. Therefore, when both wavelengths are present, O3 is continually being formed and destroyed. An intermediate product, both of the formation and of the destruction processes, is atomic O, which is a very strong oxidizing agent. The absorption of either or both wavelengths by the organic and other contaminant molecules results in the dissociation or excitation of those molecules. The reaction of the atomic O with excited or dissociated contaminant molecules is believed to be respon- sible for the cleaning action of UV/O3 , as is discussed below. The absorption spectrum of O 2 is shown in Figure 5.4-24 and that of O 3 in Figure 5.4-25. The effects of the principal wavelengths generated by

Table 5.4-6 Principal Wavelengths of Low-pressure Hg Discharge Lamps

Wavelength (nm) Lamp Envelope High-silica Glass Fused Quartz Glass

184.9 T O O 253.7 T T O 300.0 T T T

T = transparent and O = opaque.

Figure 5.4-24 Absorption spectrum of O2 [115]. Used with permission from John Wiley and Sons. 310 Dry Cleaning Processes

Figure 5.4-25 Absorption spectrum of O3 [115]. Used with permission from John Wiley and Sons.

Table 5.4-7 Effects of the Principal Wavelengths Generated by Low-pressure Hg Discharge Lamp. Used with permission from John Vig.

Wavelength (nm) Effects

184.9 Absorbed by O2 and organic molecules Creates atomic O and O3 Breaks contaminant molecule bonds

253.7 Absorbed by organic molecules and O3 Not absorbed by O2 Destroys O3 Breaks contaminant molecule bonds

low-pressure Hg discharge lamps are summarized in Table 5.4-7. In the studies of Vig et al., wafers exposed to 253.7 nm + 184.9 nm + O3 became clean much faster than the samples exposed to 253.7 nm + O3 only, or to 253.7 nm without O3 , or to O 3 without UV light, as is summarized in Table 5.4-5 . Therefore, although both UV light without O 3 and O3 without UV light can produce a slow cleaning effect in air, the combination of short- wavelength UV light and O 3 , such as is obtained from a quartz UV lamp, produces a clean surface orders of magnitude faster. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 311

5.4.3 Distance between the sample and UV source Another variable that can greatly affect the cleaning rate is the distance between the sample and the UV source. In Vig et al.’s experiment, the UV lamps were essentially plane sources. Therefore, one may conclude that the intensity of UV light reaching a sample would be nearly independent of distance. However, this is not so when O3 is present, because O 3 has a broad absorption band [115 , 118 , 119 ] centered at about 260 nm, as is shown in Figure 5.4-25. At 253.7 nm, the absorption coeffi cient is 130/ cm-atm. The intensity ( I) of the 253.7 nm radiation reaching a sample therefore decreases as

– 130 p d I = I 0 e Eq. (5.4-7) where p is the average O3 pressure between the sample and the UV source in atmospheres at 0° C, and d is the distance to the sample in centimeters.

When a quartz UV tube is used, both the O 3 concentration and the UV radiation intensity decrease with distance from the UV source. Two sets of identically pre-cleaned samples were placed in the second UV confi guration described in Section 5.4.1, above. One set was placed within 5 mm of the UV tube; the other was placed at the bottom of the box about 8 cm from the tube. With the O3 generator off, there was less than a 30 % difference in the time it took for the two sets of samples to attain a minimal (approximately 4 ° ) contact angle, about 60 minutes ver- sus 75 minutes. When the experiment was repeated with the O 3 generator on, the samples near the tube became clean nearly ten times faster (about 90 seconds versus 13 minutes). Similarly, using the fi rst UV confi guration described in Section 5.4.1, above, samples placed within 5mm of an O3 - producing UV tube were cleaned in 20 seconds versus 20 – 30 minutes for samples placed near the bottom of the box at a distance of 13 cm. There- fore, to maximize the cleaning rate, the samples should be placed as close as practicable to the UV source.

5.4.4 Organic contamination removal

Vig et al. tested the effectiveness of the UV/O 3 cleaning procedure for a variety of contaminants, including residues from cleaning solvents, such as acetone, ethanol, methanol, isopropyl alcohol, trichloroethane, and trichlorotrifl uoroethane. After contamination the wafers were pre-cleaned, then exposed to UV/O3 by placement within a few millimeters of the UV tube. After a 60-sec exposure, the steam test and AES indicated that all traces of the contaminants had been removed. The pre-cleaning step is 312 Dry Cleaning Processes used to remove inorganic contaminants, such as dust particles, metals, and salts, cannot be removed by UV/O3 . Ion-implanted Si wafers, each with approximately a 1 µ m coating of exposed Kodak Micro Resist 747, were placed within a few millimeters of the UV source. After an overnight (10 hours) exposure to UV/O3 , all traces of the photoresist had been removed from the wafers, as confi rmed by AES. For many years, concern about C-containing impurities on device wafers has been expressed. However, much of this concern was based on intuitive thinking and speculation, rather than real experimental verifi cation. Even so, various methods were developed for organic impurity removal, most involving UV/O3 chemistry [100, 104 ]. More recently, as gas-phase cleaning technology was being developed, the sensitivity of Si and oxide surfaces to ambient contaminants, especially hydrocarbons, became much more obvious. One such investigation was especially signifi cant since it demonstrated that common laboratory air and materials could produce considerable organic contamination [72]. Furthermore, it was proposed that a good percentage of the native oxide regrowth observed using ellipsometric measurement techniques is not due to oxide growth at all, but merely a build-up of these organics and other impurities.

The use of UV/O 3 chemistries or even heat at lower pressure has proven successful in removing unwanted organic impurities from wafer surfaces. Investigations involving these types of pre-cleaning treatments have been carried out in molecular beam epitaxy (MBE) investigations, or even by conventional low temperature epitaxial processing [105 , 120 , 121 ]. Some of these investigations have involved activated gas-phase processes, but as was noted earlier, radiation-producing reactions, such as plasma and sputtering, tend to cause undesirable effects on device properties.

5.4.5 Mechanism of UV/ozone cleaning

The available evidence indicates that UV/O 3 cleaning is primarily the result of photosensitized oxidation processes, as is represented sche- matically in Figure 5.4-26. The contaminant molecules are excited and/ or dissociated by the absorption of short-wavelength UV light. Atomic

O and O 3 are produced simultaneously when O2 is dissociated by the absorption of UV with wavelengths less than 245.4 nm. Atomic O is also produced when O 3 is dissociated by the absorption of the UV and longer wavelengths of radiation [118 , 119 ]. The excited contaminant molecules and the free radicals produced by the dissociation of contaminant mol- ecules react with atomic O to form simpler, volatile molecules, such as

CO 2 , H2 O, and N2 . 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 313

Figure 5.4-26 Simplifi ed schematic of the UV O2 cleaning process. Used with permission from John Vig.

The energy required to dissociate an O 2 molecule into two ground state O atoms corresponds to 245.4 nm. However, at and just below 245.4 nm the absorption of O2 is very weak [115, 118 , 119 ]. The absorption coeffi cient increases rapidly below 200 nm with decreasing wavelengths, as is shown in Figure 5.4-24. For producing O 3, a convenient wavelength is the 184.9 nm emitted by low-pressure Hg discharge lamps in fused quartz enve- lopes. Similarly, since most organic molecules have a strong absorption band between 200 and 300 nm, the 253.7 nm wavelength emitted by the same lamps is useful for exciting or dissociating contaminant molecules.

The energy required to dissociate O3 corresponds to 1140 nm; however, the absorption by O3 is relatively weak above 300 nm. The absorption reaches a maximum near the 253.7 nm wavelength, as is shown in Figure 5.4-25 . The actual photochemical processes occurring during UV/O3 cleaning are more complex than that shown for O 2 as outlined in Figure 5.4-26. For example, the rate of production of O 3 by 184.9 nm photons is promoted by the presence of other molecules, such as N2 and CO2 . As was described previously, the combination of short-wavelength UV light and O3 produced clean surfaces about 200 – 2000 times faster than UV light alone or O3 alone, as shown in Table 5.4-5 , and increasing the temperature increased the reaction rates. The physical and chemical mechanism of hydrocarbon removal by

UV/O3 cleaning was studied in an integrated processing facility with in situ analysis capabilities [105]. On Si wafers intentionally contaminated with heptanol, volatilization of the hydrocarbons appeared to proceed by means of oxidation of the long carbon chain at every carbon atom. In the

fi nal stage of the process, the carbon desorbed as CO2 (but some carbon containing species remained on the surface). The desorbing gases were 314 Dry Cleaning Processes

found to be mostly CO2 and H2 O. UV/O 3 cleaning at elevated (>60 ° C) temperature resulted not only in more complete hydrocarbon removal but also in the removal of surface OH-groups.

Mattox [122] found that mild heat increases the UV/O 3 cleaning rates. Bolon and Kunz [1], on the other hand, found that the rate of O3 depo- lymerization of photoresists did not change signifi cantly between 100 and 300 ° C.

5.4.6 UV/ozone cleaning in vacuum systems

–4 Sowell et al. [2] reported that, when 10 Torr pressure of O 2 was present in a vacuum system, short-wavelength UV desorbed gases from the walls of the system. During UV irradiation, the partial pressure of O2 decreased, while that of CO2 and H 2O increased. Similar results were obtained by Hiroki et al. who found that upon turning on a short-wavelength UV lamp in a vacuum chamber, the outgassing of H2 , CO, CO2 , CH4 , etc. increased, while H 2 O and O2 were slightly reduced [123 ]. When UV/O3 cleaning of Si surfaces in air was compared with UV/O3 cleaning in 1 – 20 Torr of pure O2 in a vacuum chamber, it was found that, whereas a clean surface could be produced in 7 seconds in air, it took about

50 min to produce the same cleanliness level in 5 Torr of O2 . It took 60 minutes in 20 Torr of O 2 , and no cleaning effect was observed in 1 Torr after 60 minutes of cleaning [121]. It should be noted, however, that the cleaning conditions differed. In the air cleaning, the UV source was 1 cm from the sample. In the vacuum chamber, the UV source was 6 cm from the sample and the UV passed through a quartz window before reaching the sample.

A UV/O 3 cleaning method that is suitable for use in an ultrahigh vacuum environment uses a low pressure Hg UV lamp and a separate O3 source [124 ]. The O 3, generated in an O 2 glow discharge at liquid N 2 -temperatures, is admitted into the vacuum chamber through a valve. A slow cleaning action – 5 was observed at a 2 × 10 Torr pressure. Using the same O3 source, cleaning was also observed without the UV light when the sample was heated to 500 K

(227 ° C). The O3 molecules that reach the sample surface decompose on the heated surface. The atomic oxygen created by the decomposition can react with the contaminant molecules. Caution should be exercise when using a Hg UV source in a vacuum system because, should the lamp envelope break or leak, Hg can enter, ruin the usefulness of the system and cause hazards due to its toxicity. Mercury has a high vapor pressure; its complete removal from a vacuum chamber is a diffi cult task. Other types of UV sources, such as Xe or deuterium lamps, are safer to use in vacuum systems. The UV light can also be radiated into systems 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 315 through sapphire or quartz windows, or through deep-UV fi ber optic bundles.

A small partial pressure of O2 should be present during UV cleaning. Caution must also be exercised when using UV/O3 in a cryopumped vac- uum system, since cryopumped O 3 is potentially explosive [125 ], partiularly during regeneration of the cryopump. A convenient method of dealing with this potential hazard is to use two kinds of UV sources, one an O 3 - generating source, the other an O3 -killer source [126 ]. Integrated processing systems, which incorporate UV/O3 cleaning, have been proposed [35 , 85, 105 , 127 ] and built [128 –130 ] for processing devices in vacuum or in controlled atmospheres.

5.4.7 Surface oxidation with UV/O3

Ozone’s oxidation power is second only to that of F2 . Ozone can oxidize most inorganic compounds to their fi nal oxidative state. Extended exposure to UV/O3 has been found to signifi cantly increase the oxide layer thick- ness on Al surfaces [131 ]. Whereas the oxide thickness on air-exposed Al surfaces is normally limited to about 50 Å, UV/O 3 exposure increased the oxide layer thickness signifi cantly beyond the normal 50 Å limit, as shown in Table 5.4-8 . Passivation of the Al surface may be advantageous. When the oxidation of Si surfaces was studied by AES, it was found [132] that an etched Si surface can be exposed to air for about 1hr with- out showing the Si oxide signal at 82 eV. Under the UV/O3 treatment a rapid oxidation takes place. The peak characteristic of Si oxide appears after 1 minute of irradiation. The increase of the intensity of this peak gives evidence for a thickening of the layer. Ten minutes of UV/O 3 clean- ing increased the oxide thickness on oxidized Si substrates from 0.9 nm

(native oxide thickness with no UV/O3 exposure) to 1.2 nm [104 ].

Table 5.4-8 UV/O3 Exposure Versus Oxide Thickness on Al [131]. Reprinted with permission from Clatterbaugh et al., IEEE Transac- tions on Components, Hybrids, and Manufacturing Technology, 7(4):349(1984). Copyright 1984, IEEE

Substrate Treatment Oxide Thickness (Å)

Evaporate 1 µm of Al (native oxide) 47

10 minutes UV/O3 cleaning 90 60 minutes UV/O3 cleaning 200 316 Dry Cleaning Processes

The O3 produced by a UV generator was found to enhance the growth rate of SiO 2 during the thermal oxidation of Si at 800° C [133]. After a 140 minutes oxidation period, the SiO 2 fi lm thickness was 290 Å with O 3 versus 148 Å without O 3. The oxide growth rate enhancement decreased at higher temperatures and longer exposure times (i.e., with thicker fi lms), presumably because during the initial stage of Si oxidation, the reaction at the Si surface may be the controlling factor, whereas in the later stage, the diffusion of reactant through the oxide layer becomes important.

5.4.8 Other surface/interface effects Energetic radiation such as UV and gamma radiation has been reported to produce dehydration and the formation of free radicals on silica surfaces [134 ]. However, dehydrated (or siloxinated) silica surfaces are hydropho- bic [135, 136], whereas UV/O3 -cleaned silica (quartz) surfaces exhibit a very low (<4° ) H 2O contact angle, thus indicating that the UV/O3 does not dehydrate the surfaces, nor does it modify surface silanol groups the way high temperature vacuum baking does [137 ]. UV/O 3 has been shown to convert hydrophobic surfaces into hydrophilic ones.

5. 5 UV/Cl 2 for Metallic Contamination Removal

Besides UV/O 3 treatments, there is a growing interest in applying UV stimulation to gas-phase metal removal and native/chemical oxide etching processes. UV exposure of Si in a Cl2 ambient atmosphere can be used to remove metallic contaminants from the Si surface fi rst by complexing them and then volatilizing the resulting chlorides [38, 138, 139]. The same complexing and volatilization cycle also concerns Si itself, as indicated in Figure 5.5-27, which may help lift metal contaminants off the surface. The role of the lift-off process was confi rmed in an investiga- tion in which selected trace metals were removed without additional ele- vated temperature-reduced pressure treatment [140]. However, lift-off only is clearly not enough to remove alkali metals such as Ca and Na. Figure

5.5-28 demonstrates overall effectiveness of UV/Cl2 treatment in trace metal removal, but at the same time illustrates problems with volatilization of Ca. It is evident that additional treatments following UV/Cl2 exposure will be needed to accomplish volatilization of these metals. Alternatively, different chemistries, based for instance on the process of formation of organometallics, will have to be developed. The only problem with this 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 317

Figure 5.5-27 Mechanisms of metal contaminant removal during dry wafer cleaning; (a) formation of metal chlorides and removal through lift-off process and (b) evaporation of metal chlorides at reduced pressure and increased temperature. Used with permission from Anthony Muscat.

approach is a need to avoid non-uniform, excessive etching of Si potentially resulting in rough surfaces.

5.5.1 Metallic contamination removal It has long been known that heavy metal impurities can result in severe yield and reliability problems in Si devices. These impurities are typically Fe, Cu, Zn, Ni, Cr, and even Au. A discussion of all metals and their affect on device performance is discussed in Chapter 2. They can originate at any process step, even with the starting Si material. They will affect a variety of device characteristics, including junction leakage, surface and bulk recombination, emitter to collector shorting, and gate oxide integrity. In addition to heavy metals, light elements such as C, Al, S, and even the alkali metals (Na, K, and Li) can result in catastrophic failures in devices. It is essential that all metallic impurities be analyzed and controlled to acceptable minimum concentrations. This control may be diffi cult and depends on the location of the metal species in or on the device wafer, as well as its chemical nature. For instance, it may be located on top of an oxide layer, within the oxide, at the oxide– Si interface, or in the Si itself. It also may be in elemental form, or as a compound such as an oxide or carbide. Thus, these properties of the metal impurities can determine the nature of the optimum removal process itself, whether it is gettering, 318 Dry Cleaning Processes

Figure 5.5-28 TXRF data showing changes in surface concentration of metallic contaminants on the Si surface as a function of Cl2 content H2 during UV exposure [140]. Reprinted from Proceedings Microcontamination 92. Copyright 1992, Tom Cheyney, Los Angeles, CA. Used with permission. aqueous cleaning or vapor-cleaning. It is possible that combinations of these may be appropriate. Of all the types of impurities discussed above, gas-phase removal of metallic impurities has proven the most diffi cult. Part of this diffi culty has been due to the high temperatures required for vaporization of metal- lic compounds such as oxides or halides. Also, the location of the metal impurities has posed some problems. On the other hand, aqueous cleaning followed by a H 2O rinse has proven more satisfactory since most of the metal reaction products are H 2O soluble and can be rinsed away. Even so, it is believed that overall possibilities for gas-phase metal removal remain good, especially when considering related particle and organic impurity cleaning processes. A more detailed discussion on mechanisms and future possibilities involving vapor-cleaning techniques follows. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 319

Figure 5.5-29 Surface impurity concentrations obtained with anhydrous HF etch and DI water rinse cleaning [90]. Copyright 1991 by IEST. Used by permission. Reprinted with permission from 1991 IES Proceedings, published by IEST. www. iest.org (847) 981-0100. From: Syverson, D., “An Advanced Dry/Wet Cleaning Process for Silicon Surfaces,” 1991 Proceedings IES 37th Annual Technical Meeting. Arlington Heights, IL: IEST, p. 829 (1991). Where AHF is anhydrous HF and R-D is DI water rinse and dry.

Some investigations have been reported concerning gas-phase removal of metallic impurities from Si wafers. These involve HF chemistries [62 ,

141 ], combinations of HF and UV/O3 [142 ], dry HF – H2 O combinations [90 ], other gas chemistries [143 ], and UV excited Cl2 processes [144 ]. Data showing the effectiveness of HF/H2 O cleaning for metal impurity removal from Si surfaces, with and without a post-clean DI H 2O rinse, are shown in Figure 5.5-29, as measured with TXRF [90]. In the UV/Cl 2 case, photoexcited chlorine species are used to etch a thin Si layer, thus remov- ing metal impurities incorporated on or in the Si. Mechanism of metal removal may be by volatilization of the metal halide or by liftoff. A criti- cal part of this process involves the uniformity and control of etching the Si layer. Data demonstrating this Si etching are shown in Figure 5.5-30, as reported by Ito [145 ] using atomic adsorption spectroscopy.

5.5.2 Mechanisms of UV/Cl2 cleaning Gas-phase metal removal requires that the metal be in a form that can be volatilized, which typically requires elevated temperatures as well as 320 Dry Cleaning Processes

Figure 5.5-30 Recovery of cleanliness on Fe contaminated wafers after photoexcited cleaning [145]. Copyright 1991 by IEST. Used by permission. Reprinted with permission from 1991 IES Proceedings, published by IEST. www.iest.org (847) 981-0100. From: Ito, T., “Wafer Dry Cleaning with Photo- Excited Halogen Radicals,” 1991 Proceedings IES 37th Annual Technical Meeting, Arlington Heights, IL: IEST p. 808 (1991). Reference (Ref.) is after a wet cleaning step. The fi gure shows Fe concentration on a Si surface before and after UV-excited dry cleaning process with and without a rinse. the formation of a more volatile compound. For the purposes of this dis- cussion we will assume that temperatures above 400 ° C are unacceptable for typical cleaning processes. Even at this temperature, however, some metals may be volatile enough so that they can simply be thermally desorbed. This is illustrated in Figure 5.5-31 where the vapor pressures of volatile metals are plotted versus temperature from the tabulations of Kub- aschewski and Alcock [146 ]. The right axis is the equivalent fl ux, assuming the sticking probabilities are unity for equilibrium vaporization. A vapor pressure of 10–6 Torr corresponds to an equivalent fl ux of approximately 1 ML/second, suffi cient for removal of the metal in reasonable times. From this curve, therefore, we might expect all these metals to be volatile enough for simple thermal cycling to be suffi cient to remove them. However, the analysis assumes that the metals are not chemically bonded to Si, O, or other species. This may not be the case for Li, Ca, Mg, and Sr, which form stable oxides as well as silicides. Na and K oxides are not stable in contact 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 321

Figure 5.5-31 Vapor pressure for “high” vapor pressure metals as a function of temperature, the right hand axis corresponds to the equivalent fl ux leaving the surface assuming a unity equilibrium sticking coeffi cient [146]. Used with permission from Pergamon Press.

with Si, but with stable silicides this approach may not be effective with them either. Of the volatile metals, Zn, Cd, and Pb have the best chance for this process to work, since they do not have stable silicides and their oxides are unstable with respect to Si.

A method that has been examined experimentally is the use of Cl 2 (nor- mally excited by UV radiation) to produce volatile species of the met- als, which can be desorbed. A plot for some metal chlorides similar to that of Figure 5.5-31 for the pure metals is shown in Figure 5.5-32 . The experimental results obtained on many of these metals can therefore be understood based on simple evaporation of volatile chlorides. However, signifi cant cleaning effi ciencies have been observed at 170° C [145], below what would be necessary for this mechanism alone (except for possibly

Fe). It seems likely that the UV radiation or the joint evaporation of SiCl x may well catalyze the metal chloride removal; it is also possible that some volatile metal – Si – Cl complex may form as well. A diffi culty with this method appears to be related to the rapid reaction and desorption rate of Si in the form of SiCl2 or SiCl4 under conditions that lead to effi cient metal removal. The tendency for this to occur is also illustrated in Figure 5.5-32 where the SiCl 2 and SiCl 4 vapor pressures are 322 Dry Cleaning Processes

Figure 5.5-32 Vapor pressure of some important metal chlorides showing their volatility at temperatures used in UV enhanced vapor phase metal cleaning [146]. Used with permission from Pergamon Press.

shown. Temperatures below 100 ° C and Cl2 partial pressures below 1 Torr retard Si etching and surface roughness.

5.5.3 UV Ð NH3 Nitrogen-bearing layers could be important in formaing interfacial

fi lms on substrates with high change mobilities. Amine (NH2 ) groups were deposited on Si using UV light as shown in Figure 5.5-33 [147 ]. Without

UV illumination, 10 Torr of NH 3 at 75° C for 10 minutes resulted in no detectable N on the surface. With UV, the same conditions resulted in 0.64 ML of N on the Si, indicating the presence of surface amine groups. UV can activate reactions through direct photolysis of the gas molecules, surface mediated photolysis of physisorbed molecules, photon stimulated desorption of the surface terminating H atoms, or some combination of the three mechanisms. To identify which UV wavelengths were necessary for amine deposition, some samples were processed with a monochroma- tor placed between the light source and the reactor, allowing the samples to be exposed to only a narrow range of wavelengths at a time. By com- paring the amine coverages measured for the various samples against the wavelength range exposed to the sample, only those samples exposed to photons with wavelengths shorter than 210 nm show signifi cant amine 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 323

Figure 5.5-33 Amine coverage on H-terminated Si 〈100〉 as function of wavelength for samples exposed to monochromatic UV photons at 75°C under

10 Torr NH3 (black triangles) [147]. The deposition results are overlaid on the absorbance spectra of gas phase NH3 [152] and crystalline Si [153], as well as the output spectrum for the Xe lamp corrected for absorbance by the quartz reactor. Used with Permission from Casey Finstad. deposition as shown in Figure 5.5-33 . The correlation between the onset of deposition in the range of 210– 225 nm and the literature values for

NH 3 photodissociation (wavelengths shorter than 217 nm) strongly sug- gests that direct dissociation of the NH3 molecules was the primary reac- tion pathway. Lasers with photons ranging from 193 to 517 nm have been used to enhance thermal oxidation of Si crystals [148, 149 ]. One proposed mechanism suggests photogenerated carriers, localized near the surface due to atomic scale roughness, induce lattice distortion and weaken the

Si – Si bonds near the Si/SiO2 interface. When the Si – Si bonds break, the dangling bonds readily react with oxygen [150]. If Si– Si bonds scission were a signifi cant reaction pathway contributing to the growth of surface amines, deposition would have been observed at wavelengths within the range at which photo-enhanced oxidation was observed. The fl ux pro- vided by the Xe lamp was also several orders of magnitude lower than the fl ux provided by the lasers used in the oxidation studies. Dangling bond creation through the direct excitation and cleavage of Si – H bonds would also promote deposition of amine groups, but has only been observed with 157 nm photons; as the 200 nm photons in this study lack suffi cient energy [151 ]. 324 Dry Cleaning Processes

Mechanisms involving interactions between photo-generated carriers and adsorbates, such as surface mediated dissociation of NH3 or photo-induced desorption of H, usually show a photo-yield increase when the pho- ton energy increases beyond the substrate’s band gap and generation of photo-carriers becomes signifi cant [154]. Reaction probability will also increase as the substrate optical absorption coeffi cient increases. The absorption coeffi cient changes with wavelength — when the photon wave- length corresponds to a higher absorption coeffi cient, more photons will be captured near the surface where they can drive reactions. The molecular orbitals of the adsorbate should also be considered because photo-generated carriers can only induce bond breakage if an antibonding orbital is acces- sible. The observed onset of deposition between 210 and 225 nm did not correlate with either the ~ 1.1 eV indirect band gap of Si (~ 1100 nm) or the increase in absorption that occurs at the direct band gap of 3.4 eV (365 nm), so photo-generated carriers were not believed to play a signifi cant role.

5.6 Applications for Gas-Phase Cleaning 5.6.1 Pre-furnace and pre-gate The purpose of pre-furnace (thermal) and pre-gate cleaning is to remove contaminants that could (a) diffuse into the substrate during the thermal processes, such as metallic contaminants, (b) form compounds that are subsequently diffi cult to remove, such as SiC, or (c) interfere with the formation of a high quality grown or deposited gate dielectric fi lm. Generally, it is acceptable to leave a clean oxide on the Si surface before furnace operations, except in the case of epitaxial deposition, which will be discussed in the next section. Integrity of the thin gate oxide is perhaps the most critical property of MOS devices, and it is the most dependent on contaminants such as heavy metals or particles. These contaminants are generally present on or in the Si prior to gate oxidation and become incorporated in the oxide as it is formed. The oxide integrity normally is refl ected by low dielectric breakdown or even direct electrical shorts. Various tests are employed to evaluate gate oxide integrity. These include ramped voltage or fi eld

breakdown ( VBD or E BD), charge-to-breakdown ( QBD ) or time-dependent dielectric breakdown (TDDB). Based on the above requirements, the pre-furnace or pre-gate gas-phase clean needs to remove metallic and organic contaminants while avoiding excessive etching or roughening of the Si surface. As many contaminants are contained in chemical or native oxides on the surface of the Si, it is 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 325 often desired to consider these incoming surface oxides as contaminants to be removed and replaced with a “ clean ” oxide at the end of the clean- ing process. It should be recognized that dry, gas-phase processes will generally fail to completely remove high levels of contamination by them- selves, and will require some level of wet cleaning to remove gross con- tamination. For instance, it is very diffi cult to completely remove metal contaminants at a level of 1 × 10 12 atoms/cm2 with gas-phase process with- out signifi cant etching and roughening of the Si surface [155]. Gas-phase cleaning for pre-gate and pre-furnace applications should be considered as a fi nal clean up to be carried out immediately before thermal treatment to remove any trace contaminants that may have deposited on the surface fol- lowing the wet cleaning step. Preferably, the wafer is transferred directly from the cleaning system into the thermal process without exposure to the ambient environment. Work by Kasi and Liehr [156] showed that a H-terminated Si surface, prepared by aqueous HF treatment, is highly susceptible to hydrocarbon con- tamination from the environment. They then demonstrated that treatment of the H-terminated surface with UV/O2 was able to remove the hydrocarbon contamination and prepare the surface for gate oxidation. Gate dielectrics grown on the UV/O 2 prepared surface gave equivalent performance to gate dielectrics grown on standard, aqueous RCA- prepared surfaces. In some comparisons of gas-phase versus conventional aqueous gate oxidation pre-cleans, improved oxide integrity for gas-phase HF pre- cleans has been reported [63, 157 ]. These studies indicated that the gas- phase processes result in a reduction of particles and other impurities on the surface, which may contribute to this improvement. A typical compari- son of TDDB obtained for gas-phase and aqueous pre-cleans is presented in Figure 5.6-34 . In a later study, an atmospheric pressure, gas-phase process using HF,

HCl and H2 O vapor, including spin-rinse-dry, was integrated to a single- wafer gate stack cluster by Frystak and co-workers [158]. After a wafer was pre-cleaned in the atmospheric pressure gas-phase process, it was moved directly into a vacuum loadlock for the gate stack cluster where it received rapid thermal oxidation, for growth of the gate oxide, followed by rapid thermal CVD of polySi for the gate electrode. Frystak found that CMOS devices fabricated using this gate stack cluster with integrated gas-phase pre-clean performed very well. The performance of these CMOS devices was equivalent to devices fabricated with conventional batch aqueous cleaning and batch fi lm formation processes. A vacuum-based, single-chamber, UV-assisted gas-phase pre-gate clean- ing sequence was developed by Schwab and co-workers for application to 326 Dry Cleaning Processes

Figure 5.6-34 Best fi t of present oxidation model to reverse-RCA and HF- 〈 〉 cleaned surfaces for 800°C dry O2 oxidation of Si 100 [45]. Reproduced by permission of ECS—The Electrochemical Society from Wong, M., Moslehi, M. M., and Reed, D. W., J. Electrochem. Soc.

a clustered gate stack tool [159]. This sequence started with a short UV/Cl 2 step to remove surface contaminants and bring the wafer temperature up to a point where it could be controlled by the surrounding chamber. The wafer was then exposed to a mixture of anhydrous HF and IPA + H2 O vapor, without UV illumination, to remove native SiO 2 from the surface. After the surface oxide was removed, the wafer temperature was increased by UV irradiation and then the wafer was exposed to Cl2 in order to remove trace metal contaminants. In a fi nal step, a clean surface oxide was regrown by exposure to UV/O 2. Chamber pressures during these processes were about 100 Torr for the oxide removal and the oxide regrowth steps and about 30 Torr for the metals removal step. While full integration of this process into a gate stack cluster tool was not completed, the results indicated good poten- tial as an integrated, all-dry, pre-gate clean. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 327

It has been noted [11 , 85 , 86 , 160 ] that Si surface roughness is partially responsible for low dielectric breakdown in gate oxides. This is believed to be due to higher electric fi elds at non-uniform areas of the oxide, which are caused by the rough Si surface during oxidation. Surface roughening during gas-phase processing, and especially during UV/Cl2 steps has been studied and minimized or eliminated [155 ]. Another problem observed with gate oxides caused by surface contamination is excess carrier trapping in the oxide. This is especially critical with respect to memory-type devices where tunneling oxides are employed. It is also important for devices exposed to ionizing radiation. The latter involves the same effects mentioned earlier where radiation-produced defects result from gas-phase cleaning processes. Not only have gas-phase cleaning processes been found to incorporate fewer impurities in the oxides, which can lead to charge or carrier trapping, but also F species left on the surface after gas-phase HF cleaning that appear to provide trap- or radiation-resistant oxides [161 –163 ]. Somehow, the F species neutralize the oxide traps and signifi cantly retard charge trapping.

5.6.2 Pre-epitaxial deposition When several variations of HF treatments were examined for suitability as pretreatment for a Si epitaxy process, the optimum treatment consisted of the steps of HF dipping, DI H2 O rinsing, N 2 gas blowing for drying, and UV/O3 cleaning [164]. In the production of high-quality epitaxial fi lms by MBE, the cleaning of substrate surfaces is one of the most important steps.

UV/O3 cleaning of Si substrates in Si MBE has been found to be effective in producing near defect-free MBE fi lms [ 104 , 121 , 165 – 168 ]. By using UV/

O 3 cleaning, the above 1200 ° C temperatures required for removing surface C in the conventional method can be lowered to well below 1000° C. The slip lines resulting from thermal stresses and thermal pits that are often produced by the high-temperature treatment are minimized in the lower temperature processing. Impurity redistribution in the substrate is also reduced. Epitaxial Si deposition following a pre-clean involving gaseous HCl is one of the fi rst examples of integrated processing [22]. Also, MBE scien- tists have used various gas-phase pre-cleans for the preparation of exotic structures— both Si and compound semiconductor-based [169]. Investiga- tors have demonstrated the use of in situ gas-phase cleaning processes in combination with lower temperature, at about 750 ° C, Si epitaxial deposi- tion [169, 170 ]. Because a fi nal H2 O rinse is often not necessary, less O, as well as C and other impurities, are found after gas-phase cleaning at the epitaxial Si interface. The results indicate that such integrated processing 328 Dry Cleaning Processes may be required for contamination-free epitaxial deposits, especially as process temperatures drop even further. Using the same system mentioned above for integrated gate stack, Frystak and co-workers investigated the effects of various atmospheric pressure, gas-phase pre-cleans on low-temperature epitaxial Si deposition [171]. In these studies, it was found that the atmospheric pressure, gas-phase HF processes were not able to produce a completely O-free interface after epitaxial Si deposition. Diffi culties with interfacing an atmospheric pressure process with a vacuum cluster tool may have led to these results. They did fi nd that rinsing the wafer in the presence of gas-phase HF produced the same results as an aqueous HF pre-clean with respect to interface contaminants and epitaxial defects. In another study of gas-phase cleaning for epitaxial deposition, UV irradiation using a high pressure Hg lamp and disilane gas at 20 Torr and 730 ° C, has also led to effective surface cleaning prior to Si epitaxy [172 ].

5.6.3 Pre-tungsten CVD

After introduction of the gas-phase anhydrous HF plus H2 O vapor process in 1987 [173 ], removal of native SiO2 from polySi surfaces before tungsten CVD became one of the most widespread applications for this technology. Until the introduction of the anhydrous HF preclean process, W CVD was plagued by adhesion problems driven by the inability of com- monly used aqueous HF and the rinse/dry processes to leave the surface free of residual oxide and watermarks. Studies have shown that when using an anhydrous HF cleaning step before

WSi x (tungsten silicide) deposition the amount of O left at the interface under the deposited fi lm is 80% less than the O left after aqueous HF with

DI rinse [174 ]. However, since the introduction of integrated polySi – WSi x CVD cluster tools, the need for cleaning between the polySi and WSi x depo- sition has been eliminated by rapid vacuum transfer with no queue time.

5.6.4 Polysilicon emitters The polySi emitter structure in bipolar device technology has shown great promise but has been very diffi cult to prepare. In this technology, the polySi is deposited onto a single crystal Si region. An n-type dopant, such as arse- nic, implanted into the polySi is diffused into a shallow p-type base region to form the emitter. The presence or lack of a thin oxide layer between the polySi and single-crystal Si regions will affect both current gain and emitter 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 329 resistance. Results demonstrated that integrated processing permits in situ removal of the initial thin oxide layer by gas-phase HF, regrowing a con- trolled 10 Å oxide fi lm, and then depositing the polySi. The resulting bipo- lar device exhibits tighter distribution of both emitter resistance and current gain [175 , 176 ]. Other similar results have been reported [177 , 178 ].

5.6.5 Contact cleaning Interest in gas-phase contact cleaning has been driven by a concern that eventually the contact holes will become too narrow and deep for effective wet cleaning approaches, although even at the 65-nm technology node it appears that wet processes will continue to work for contact cleaning. Recent work has demonstrated the feasibility of gas-phase HF/

H2 O cleaning following contact dry etching and O2 plasma stripping by demonstrating good electrical contact to the deposited Al layer [179 ]. One of the biggest challenges for contact cleaning is minimizing the etching of dielectric material to avoid enlarging the contact hole, while still removing all of the contaminating oxides at the bottom of the contact. The use of gaseous HF and methanol was proposed as a way to achieve better selectivity between native oxide and the dielectric material forming the contact hole [180 ]. Methanol, ethanol, and isopropanol were all inves- tigated. In this work, an etching selectivity of BPSG to native oxide of 15 was obtained using the gaseous HF/methanol process, while a gaseous HF/

H2 O process produced a BPSG:native oxide etch selectivity of over 100 and an aqueous buffered HF process produced a BPSG:native oxide etch selectivity of about 55.

5.6.6 Via cleaning While making contacts to the transistor source, drain, or gate is gener- ally carried out once in the fabrication of an IC, via s are opened between every interconnect level. However, the requirements of each via clean- ing step can depend on what metal levels are being connected since the dielectric fi lm thickness (via depth) and via diameter may be different at different interconnect levels. Atmospheric pressure, gas-phase HF/

H2 O processes followed by liquid H 2O rinse have been used to remove dry-etch sidewall residues and Al 2 O3 formed by the plasma stripping in producing Al interconnects with CVD SiO2 from tetraethylorthosilicate (TEOS) precursor [181]. The goals of the via cleaning process include: (1) complete removal of polymeric and silicate residues left after dry etching and ashing of the via hole, (2) minimal change in size or shape of the via 330 Dry Cleaning Processes hole, (3) no damage or degradation of the dielectric fi lm, and (4) low via resistance.

Tong et al. [180 ] showed that gas-phase HF + H2 O exposure for less than 5 seconds followed by a liquid H2 O rinse was able to remove all etch residues, as indicated by scanning electron microscope (SEM) analysis, and also signifi cantly reduce via resistance and improve via yield over a more conventional solvent (ethylene glycol + HF) process in use at the time. Tong et al. [180] measured a 40% reduction in via resistance when using gas-phase HF cleaning compared to ethylene glycol/HF cleaning. The signifi cant reduction in via resistance was believed to be facilitated by the conversion of Al2 O3 to AlF3 at the bottom of the via. Gas-phase HF was not widely used for via cleaning due to the sensitiv- ity of polymer removal to conditions of the etching and ashing steps. It has been found that solvent and aqueous-based processes can produce good results over a wider range of conditions.

5.6.7 Post-Al etch residue removal Gaseous processes for residue removal after Al etching were developed at the same time that hydroxylamine-based liquid chemical cleaning solutions were being developed. It was found that gaseous HF mixed with H2 O vapor could be used to remove etch residues and prevent Al corrosion [182]. While the window for the gaseous HF process was somewhat narrower than for the hydroxylamine processes, the gaseous HF process still found widespread application for manufacturing of 0.25- µ m node Al interconnects. However, its use declined after the development of fl uoride-based aqueous cleaning solutions and dilute acid cleaning solutions for Al.

5.6.8 Hard mask trim

A gaseous process using HF and NH3 to controllably remove thin layers of SiO 2 was developed by researchers at IBM described in a series of issued US Patents [183 –189 ]. The application of hard mask trimming was described in US Patent 6,926,843 and also by Natzle et al. [190]. The

gaseous HF/NH 3 exposure is generally carried out at room temperature and at pressures under 15 mTorr. This exposure forms a self-limiting layer of solid reaction product, which is then removed in a subsequent heating step of over 100 ° C. The self-limiting room temperature reaction allows very controlled thin fi lm SiO 2 etching, which is used to “ trim ” the width of an oxide hard mask that is subsequently used to pattern a polySi gate. This 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 331 method was used to create sub-lithographic features on one part of the chip while maintaining the size of features on another part of the chip, which were protected from the gaseous HF/NH3 exposure.

5.6.9 Nanostructure formation HF has been particularly useful as a highly selective gas phase etchant for the formation of micro- and nanostructures such as accelerometer beams and cylindrical DRAM (dynamic random access memory) capaci- tors [191, 192 ]. Accelerometers and cylindrical capacitors are often formed with deposited polySi layers on top of sacrifi cial SiO2 layers or with sac- rifi cial SiO 2 “ molds ” . Removal of the sacrifi cial SiO2 layer, also known as “ release ” , leaves these structures freestanding and able to function. Etching the sacrifi cial SiO 2 in liquid etchants is diffi cult because the surface tension of H 2O causes the released structures to bend, touch, and bond together as H 2 O evaporates from the surface [193 ]. This bending and bonding of small structures is also referred to as “ stiction ” . Using a gas-phase mixture of HF and H2 O allows the sacrifi cial oxide to be etched without causing stiction. However, care must be taken in controlling the etching process to ensure that liquid phase etch byproducts do not form and cause stiction to occur. The vapor from heated aqueous HF, as well as mixtures of anhydrous

HF and H 2O vapor, have been used in the formation of accelerometer beams [194–196 ]. To avoid liquid formation during the gas-phase etch- ing process the etching rate and removal of etching products must be carefully controlled by adjusting the reactant concentration as well as the Si wafer temperature. Because the etching rate must be kept low at about 0.25 µ m/minute and the oxide fi lms must be etched laterally on the order of 3 – 5 µ m, the accelerometer release process could take as long as 20 minutes. Also, as the etching process proceeds the amount of oxide being etched decreases causing a decrease in the amount of gaseous H2 O being produced by the etching process. Therefore, the ratio of gaseous

H 2 O to anhydrous HF in the reactant stream needs to be increased as the etching process proceeds [192].

Depending on the type of SiO 2 used for the cylindrical capacitor mold, it is possible, and often desirable, to remove the mold using only anhy- drous HF as the reactant. Silicate glass fi lms, such as BSG or BPSG, are

hygroscopic and do not require the addition of gaseous H2 O to initiate the etching reaction. Also, while raising the temperature will suppress the etch- ing of thermal oxide, it has much less effect on the etching of the silicate glass fi lms. Therefore using anhydrous HF at elevated temperature gives 332 Dry Cleaning Processes

Figure 5.6-35 Cylindrical capacitors after removal of the mold layer using gaseous anhydrous HF. Courtesy of FSI International.

much higher etch rate selectivity of silicate glasses to thermal SiO2 [191 ]. This selectivity is very useful in the case where a silicate glass is used as the mold layer on top of a SiO2 layer. Figure 5.6-35 shows released cylinders approximately 100 nm wide and 1000 nm tall [197].

The selectivity of silicate glass etching over thermal SiO2 etching is also useful in trench DRAM capacitor formation. In this case, after the deep trench has been formed by a plasma etching process, the silicate glass hard mask must be removed without lateral etching of the pad oxide layer which is under the pad nitride and is exposed on the trench sidewall, as shown in Figure 5.6-36. A 60° C, anhydrous HF process is able to remove the BSG hardmask while suppressing lateral etching of the pad oxide [198 ].

5.6.10 Pre-thin fi lm measurement It has been shown that some organic contamination can be removed from the surface of Si and SiO 2 by simply heating the wafer to 300 ° C while being exposed to the radiation from Hg lamps under atmospheric conditions [199 –203 ]. This technique is referred to as rapid optical sur- face treatment (ROST). While this technique might be used as part of a pre-gate cleaning sequence, it seems to be most useful as a pre-treatment before thin fi lm measurement [199 , 203 ]. As the thickness of some oxide 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 333

Figure 5.6-36 Selective hard mask removal for deep trench capacitor (a) before removal and (b) after hard mask removal [198]. Courtesy of FSI International.

fi lms used in semiconductor device fabrication has decreased to the level of tens of angstroms, measurement tools have become challenged in accurately and precisely measuring that thickness. One problem is inter- ference from the adsorption of a few monolayers of organic contamina- tion from the cleanroom ambient. Organic contamination interferes with the ellipsometry reading and can increase the measured thickness by a few angstroms. Kamieniecki found that exposing the wafer surface to illumination from Hg lamps while heating the wafer to approximately 300° C under atmospheric conditions can remove surface contamination as measured by surface charge analysis [201]. This technique was applied to thin SiO 2 fi lms that were cleaned and then exposed to ambient conditions [200, 203 ]. It was found that in just 60 min ambient exposure, the measured oxide fi lm thickness could increase by 1 Å , which is a 4% error for a 25-Å thick fi lm. A 30-sec exposure with this technique was able to return the ellipsometry measurement back to that of the freshly cleaned surface, as shown in Figure 5.6-37 [200 ].

5. 7 Process Equipment

5.7.1 Anhydrous HF etching equipment The industry’s fi rst commercial gas-phase etching tool was FSI International’s Excalibur® system [141, 173 , 204 ]. Designed jointly with Texas Instruments for the etching of SiO2 fi lms, the system was introduced commercially in 1987. Operating at ambient temperature and pressure, the single-wafer system 334 Dry Cleaning Processes

Figure 5.6-37 Kinetics of organic contamination of a Si wafer exposed to clean room air, as measured by ellipsometry [200]. Used with permission from Adrien Danel.

provides a controlled mixture of anhydrous HF and H2 O vapor to the pro- cess chamber using programmable mass fl ow controllers. The N2 carrier gas, anhydrous HF, and the H2 O vapor ratios can be varied to satisfy selectivity requirements for etching dissimilar oxides simultaneously. Figure 5.7-38 pro- vides a schematic diagram of the key components of the FSI Excalibur® , HF vapor etching system. Other systems that are commercially available include the Semitool Equinox ® , the DNS SU-3000, and the Primaxx MEMS-CET. The initial systems used in production were etch-only systems that proved effective for thin fi lm etches, especially for native oxide removal before CVD processes. An integrated rinse feature, added later, provided improved performance by reducing particles on the wafer and removing metallic and dopant residues resulting from various etches required for pre-CVD, pre-gate and pre-contact processes [90 ]. Subsequent product improvements have included the addition of anhydrous HCl for enhanced metallic contamination removal, O3 gas for light organic cleaning and re- oxidation of the Si surface and a N 2-ambient wafer staging area and load- lock capability for downstream processes. Applications for the FSI systems have included silicide cleaning, pre-gate cleans including the etching of the sacrifi cial oxide before gate oxidation, and pre-metal contact cleans, especially where CVD technology was chosen over PVD (physical vapor deposition) for fi rst level metal contacts. Additional applications include pre-epitaxial and pre-polySi deposition cleans and bond pad etching. 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 335

Figure 5.7-38 Schematic of the FSI Excalibur® integrated HF vapor system with rinsing capability. Courtesy of FSI International.

Controlled etch rates can vary from 1 to 300 Å /second with total 1s uniformity of ± 2 % , within wafer, wafer to wafer and batch to batch on a 200-Å etch of thermal oxide. The system is particle neutral at sizes equal to or greater than 0.2 µ m. Oxide etch rates as a function of anhydrous HF concentration for various total fl ow rates are shown in Figure 5.3-8 .

5.7.2 Sub-atmospheric gas-phase HF etching system Another type of wafer cleaning system involves gas-phase chemistries based on the vaporization of azeotropic mixtures of HF and/or HCl and

H2 O vapor [62 ]. A schematic of a system for this approach is shown in Fig- ure 5.7-39. The chamber of this system was about the size of a basketball and was constructed of a specially formulated SiC material. It consisted of two hemispheres and a center ring. It accommodated one wafer, up to 200 mm in diameter, positioned vertically. Gases were admitted through one side and evacuated from the other. In one version of this system, wafers were maintained at room temperature (22 – 25 ° C) but in later versions, higher wafer temperatures were employed. Vaporizers, shown in Figure

5.7-39 , contained solutions of HF/H2 O, HCl/H2 O, H2 O, or various solvents such as CH 3OH. The solutions were heated to appropriate temperatures to 336 Dry Cleaning Processes

Figure 5.7-39 Schematic of a sub-atmospheric vapor phase wafer cleaning and etching system [62]. Reproduced by permission of ECS—The Electrochemical Society from Deal, B. E., McNeilly, M. A., Kao, D. B., and deLarios, J. M., First International Symposium on Cleaning Technology in Semiconductor Device Manufacturing. provide various vapor pressures of the reactants. Vapors of these reactants were transported to the chamber by N2 or Ar, whose fl ow rates were regu- lated by mass fl ow controllers. All materials in contact with the acids were SiC- or Tefl on™ based. Also shown in Figure 5.7-39 is a vacuum pump which permitted the system to be evacuated to the low mTorr-range. Thus, vapor-phase cleaning and etching processes could be carried out at reduced pressure, which assisted in vaporization of reactants, reaction products, and contaminants. A typical cleaning sequence started with an initiation step, where the reactants were adsorbed on the Si wafer surface, then the etching initiated; fi nally the reactants were desorbed from the surface, leaving a clean surface. In detail, the process proceeded: (1) At the start of the cycle, the wafer was automatically inserted into the chamber, the door was closed, and the chamber evacuated to about 1 Torr for a few seconds. (2) Reactant gases were then admitted to the chamber to pressures ranging from 100 to 400 Torr for 10– 60 seconds. (3) The system was then again 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 337

evacuated to the low mTorr range for a few seconds. (4) After which N 2 or Ar was readmitted to the chamber. The fi nal evacuation or desorb step dried the wafer so that no further drying was necessary. This overall cycle could be varied and was programmed and controlled by computer.

An improved model of the system employed wafer heating and O3 activation. The SiC chamber was modifi ed to a single hemisphere with a fl at quartz window. This window or plate was covered by a special transparent material that resisted attack of the quartz by HF. The wafer could be exposed to IR or UV for heating up to 400 ° C. In addition, a corona discharge O3 source was connected to the inlet lines so that O3 could be admitted to the chamber before or during the clean/etch treatment cycle. It was found that pre-treatment of oxide surfaces using O3 at elevated temperatures was very effective for removing deposited hydrocarbons, and much more uniform etching of Si oxides could be achieved. Gas-phase cleaning and etching was shown to eliminate many of the par- ticle contamination problems, which have been associated with aqueous- type cleaning. In general few, if any, particles are added during gas-phase processes, and often particles are actually removed. It is also possible to employ other in situ monitoring tools, such as residual gas analyzers (RGA), ellipsometers, and in-line particle counters. Other experiments involving gas-phase HF processing, along with appropriate equipment, have been reported by van der Heide et al. [205 ], Onishi, Oki, and co-workers [91 , 92 , 144 ], Wong et al. [45, 157 ], and by Izumi and co-workers [93].

Activated Chlorine: While the use of activated Cl2 gas for cleaning Si wafers has not been developed to the point of commercial application, it is probably the most likely to be used in wafer production after HF vapor cleaning and etching. It was mentioned earlier that vapor HCl has been successfully used for a number of years as a pre-epitaxial Si deposition step for removing surface contamination. It has since been that the use of activated Cl 2 gas can be an effective method for removing metal impurities from the Si surface [138 , 206 –210 ].

Most of the work in this area has involved UV activation of Cl 2 gas [138 , 207 –210 ]. The mechanism involves etching and removing a thin layer of Si, which either reacts or vaporizes the metal atoms as MClx along with the Si, or by lifting the metal on top of the evaporating SiCl 4 . Typically, UV light in the 180– 50 nm wavelength range is used at tem- peratures from 100 to 400 ° C. In Figure 5.7-40 , the relationship of Si removal rate to substrate temperature for Cl2 with and without UV irra- diation is shown [208 ]. The main challenge in establishing a production- worthy process is the control of the Si etch rate so that a minimum but uniform layer is removed. 338 Dry Cleaning Processes

Figure 5.7-40 Photochemical etching of Si by Cl2 gas with and without UV radiation [208]. Used with permission from Semiconductor World.

A second type of Cl2 activated etching of Si has been reported using a downstream microwave discharge system [211]. The authors investigated effects of various process variables, such as Si crystal orientations, doping concentration, and substrate temperature. As was the case for UV activation, the main problem in this type of cleaning process is the ability to uniformly etch the Si.

5.7.3 UV/O 3 processing equipment

The materials chosen for the construction of a UV/O3 cleaning facility should remain uncorroded by extended exposure to UV/O3 . Polished Al with a relatively thick anodized oxide layer, such as Alzak® , is one such material. It is resistant to corrosion, has a high thermal conductivity, which helps to prevent heat buildup, and is also a good refl ector of short-wave- length UV. Most other metals, including Ag, are poor refl ectors in this range. Oxidation of the materials used for construction is important to avoid in the highly oxidizing atmosphere. Al coating thicknesses vary and thicker oxide coatings may stand up to oxidation better than the thinner ones. Some com- mercially available UV/O3 cleaners are now constructed of stainless steel. The refl ectance of stainless steel in the 200– 250 nm range is about 20% . To date, no corrosion problems have been reported with such systems. How- ever, UV/O3 cleaning systems should be inspected periodically for signs 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 339 of corrosion to avoid the possibility of particles being generated. Organic materials should not be present in the UV cleaning box. Also, the plastic insulation usually found on the leads of UV lamps must be replaced with inorganic insulation such as glass or . Low-pressure Hg discharge lamps and an Al stand with Alzak ® reflectors are examples of commercially available configurations. Lamps should be chosen to produce intensities of short-wavelength UV radiation, about 1.6 mW/cm2 for a sample position 1cm from the tube. The module can be injected with O 2 or air; however, they should be completely enclosed to prevent leaking of the O 3 gas and any radia- tion from the light source. The height between the wafer and the UV source are important; an adjustment to bring the wafers close to the UV lamp is an option. Efficient venting and air circulation is important to these modules. The box should be enclosed so as to minimize recon- tamination by circulating air, and to prevent accidental UV exposure and O 3 escape. The lamp material is important, as it must transmit the wavelength to produce highly reactant O species. Fused quartz transmits both the 253.7 and 184.9 nm wavelengths. A typically lamp emits about 0.1 mW/cm2 of 184.8 nm radiation measured at 1 cm from the tube. A high-silica glass tube made of Corning UV Glass No. 9823 transmits at 253.7 nm but not at 184.9 nm. In contrast to 184.9 nm, which does not generate measurable

O3 , 253.7 nm does. The most widely available sources of short-wavelength UV light are the Hg arc lamps. Low-pressure Hg lamps in pure fused quartz envelopes operate near room temperature, emit approximately 90 % at the 253.7 nm wavelength, and generate suffi cient O3 for effective surface cleaning. Approximately 5 % of the output of these lamps is at 184.9 nm. Medium- and high-pressure UV lamps [115 ] generally have a much higher output in the short-wavelength UV range. These lamps also emit a variety of additional wavelengths below 253.7 nm, which may enhance cleaning action. However, they operate at high temperatures (the envelopes are near red-hot), have a shorter lifetime, higher cost, and present a greater safety hazard. The Hg tubes can be fabricated in a variety of shapes to fi t different applications. In addition to Hg arc lamps, microwave-powered Hg vapor UV lamps are also available [212]. Other available sources of short-wavelength UV include Xe lamps and deuterium lamps. These lamps must also be in an envelope transparent to short-wavelength UV, such as quartz or sapphire, if no separate O3 gen- erator is to be used. In setting up a UV cleaning facility, the UV source 340 Dry Cleaning Processes

should be chosen that generate enough UV/O3 to allow for rapid photo- sensitized oxidation of contaminants. However, too high an output at the

O 3 - generating wavelengths can be counterproductive because a high con- centration of O3 can absorb most of the UV light before it reaches the parts to be cleaned. The parts should be placed as close to the UV source as possible to maximize the intensity reaching them.

5.7.4 UV/O 3 safety considerations

In constructing a UV/O 3 cleaning module, awareness of the safety hazards associated with exposure to short-wavelength UV light is impor- tant. The UV light can cause serious skin and eye injury within a short time. In the UV modules used by Vig et al. [4], switches are attached to the doors so that when the doors are opened the UV lamps are shut off automatically. If the application demands that the UV lamps be used with- out being completely enclosed (for example, as might be the case if a UV cleaning facility is incorporated into a wire bonder), then proper clothing and eye protection (e.g., UV safety glasses with side fl aps) should be worn to prevent skin and eye damage. Short-wavelength UV radiation is strongly absorbed by human cel- lular DNA. The absorption can lead to DNA-protein cross-links, and can result in cancer, cell death, and cell mutation. It is now well-known that solar UV radiation is the prime causative factor in human skin cancer [213], and is a signifi cant risk factor in eye cancer [214]. The 290– 320 nm portion of solar UV radiation has been found to be the most dangerous wavelength region for causing skin cancer. Because the atmosphere fi lters out the shorter wavelengths, humans are not nor- mally exposed to wavelengths as short as 254 nm. However, in a study of the effects of UV radiation on skin cancer rates, it was found that the 254-nm wavelength was many times more effective in causing cell mutations than were those above 300-nm wavelengths. Therefore, it is essential that personnel not be exposed to the short wavelengths needed for UV/O3 cleaning because even low doses of these wavelengths can cause signifi cant damage to human cells. Safety exposure limits for UV germicidal irradiation have been set by the American Conference of Governmental and Industrial Hygienists.

Another safety hazard is O 3, which is highly toxic. In setting up an UV cleaning module, one must ensure that the O3 levels to which people are exposed do not exceed 0.1 ppm TWA and 0.3 ppm STEL. The time- weighted average (TWA) exposure is a person’s average airborne exposure 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 341 in any 8-hour work shift of a 40-hour workweek. The short-term exposure limit (STEL) is a person’s 15-min time weighted average exposure, which is not to be exceeded at any time during a work day. Ozone is a potential hazard in a cryopumped vacuum system because cryopumped O3 can become explosive under certain conditions [125 ].

One method of minimizing the hazards associated with O 3 is to use two types of short-wavelength UV sources for UV/O 3 cleaning [126]: one, an O 3 -generating UV lamp, e.g., a low-pressure Hg light in a fused quartz envelope, the other, a UV lamp that does not generate O3 but which emits one or more wavelengths that are strongly absorbed by O 3 , e.g., a low-pressure Hg light in a high-silica glass tube which emits at

253.7 nm but not at 184.9 nm. Such a non-O3 generating UV source can be used as an O3 -killer. For example, in one cryopumped vacuum system, UV/O 3 cleaning was performed in up to 20 Torr of O 2. After the cleaning was completed and the O3 -generating UV lamp was turned off, 10 min of O3 -killer UV light reduced the concentration of O3 to less than 0.01 ppm, a level that is safe for cryopumping. Therefore, with the O3 -killer lamp, O3 concentrations were reduced by at least a factor of 100 within 10 min. Without the O 3 -killer lamp, the half-life of O3 is 3 days at 20° C.

5.8 Integrated Process Equipment

Integrated processing is the term or expression normally used to describe the combination of two or more sequential processes in semiconductor device fabrication whereby these processes are carried out in situ in a con- trolled ambient. Often such processes involve a fi lm deposition onto a Si surface, and the resulting interface is critically dependent on the cleanliness of that surface. A typical example might involve a Si cleaning treatment followed by the deposition of a contact metal such as Al. A more complex sequence would be the preparation of a MOS gate structure, where the integrated processing sequence would involve (i) sacrifi cial oxide strip, (ii) gate oxide pre-clean, (iii) gate oxidation, and (iv) polySi gate deposition.

Thus the cleanliness of two critical interfaces (SiO2 – Si and polySi – SiO2 ) is preserved by controlling the ambient and surface cleanliness throughout the multi-process sequence. The cluster– tool confi guration, used to carry out integrated processing, typically consists of a central automated handling system, which transports device wafers from a loading station to and from various process modules. When the process is complete, the wafers are transported to an unloading 342 Dry Cleaning Processes station. The wafers are exposed during transport (and in the handler) to only vacuum or an inert gas such as N2 or Ar. Vacuum level is usually main- tained at least to 1 × 10–5 Torr. Cluster tools are available on various commercially available tools. Sev- eral reviews, which discuss the current status and future potential of inte- grated processing and cluster tool concepts, are available [21 , 215 –225 ].

5.9 Summary

The goal of this chapter has been to present an overview of issues involved in current and future gas-phase wafer cleaning technology. It is important to recognize that this technology will cover only part of the anticipated future needs in IC manufacturing. In applications that are less challenging from the point of view of process integration, wet wafer clean- ing methods are expected to maintain their present dominant role. Taking into account all of the features outlined in this overview, wafer gas-phase cleaning should be classifi ed as a single wafer process, and, as such, should be considered for practical applications. One of the important aspects of current and future device fabrication involves the uniform removal or etching of oxide layers. Examples have been presented showing how such etching can be carried out, both in blanket form across a wafer as well as in selected regions. The concept of native oxide formation and regrowth has been reviewed. In addition, this chapter discusses differences in etch rates and reaction mechanisms for different types of oxides and dielectric fi lms. Moreover, a detailed discussion of mechanisms involved in gas-phase etching, and cleaning in general, has been presented, which should help considerably in the development of more advanced cleaning processes in the future.

The UV/O 3 cleaning procedure has been shown to be a highly effective method of removing a variety of contaminants from Si, and compound semiconductor wafers, as well as from many other types of surfaces. It is a simple-to-use gas-phase process that is inexpensive to set up and operate. It can produce organic-free surfaces at room temperature, either in a ambient pressure or in a controlled atmosphere. The UV/O 3 process is a gas-phase process that creates an oxide very similar to that after the standard RCA wet surface clean. The UV/O3 process also removes hydrocarbons with similar effi ciency as the RCA clean [226 ]. In combination with a gas-phase method for removing inorganic

contamination, such as cleaning with UV-excited high purity Cl2 gas [38], 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 343 the method may meet the requirements for the all-dry cleaning methods that will be necessary for processing of future generations of semiconductor devices. When compared with plasma cleaning, UV/O3 cleaning produces less radiation damage and is more controllable [166 ]. The variables of the UV cleaning procedure are the contaminants initially present, the pre-cleaning procedure, the wavelengths and intensity emitted by the UV source, the atmosphere between the source and sample, the distance between the source and sample, the temperature of the substrate, and the time of exposure. For surfaces that are properly pre-cleaned and placed within a few millimeters of an O 3 -producing UV source, the pro- cess can yield a clean surface in less than 1 min. The combination of short- wavelength UV plus O3 produces a clean surface substantially faster than short-wavelength UV without O3 or O 3 without UV light. Clean surfaces will remain clean indefi nitely during storage under UV/O 3, but prolonged exposure of oxide-forming metals to UV/O3 in room air can produce rapid corrosion. The cleaning mechanism seems to be a photosensitized oxida- tion process in which the contaminant molecules are excited and/or dissociated by the absorption of short-wavelength UV. Simultaneously, atomic O is generated when molecular O2 is dissociated and when O 3 is dissociated by the absorption of short and long wavelengths of radia- tion. The products of the excitation of contaminant molecules react with atomic O to form simpler molecules, such as CO2 and H 2O, which desorb from the surfaces. In terms of performance, certainly the greatest challenge remaining is the ability of gas-phase cleans to remove all metallic contaminants of concern in Si technology. While the ability of various gas-phase cleans to remove several types of contamination has been experimentally confi rmed, however, their effectiveness in volatilization of some others, such as Na and Ca, still need to be unequivocally proven. Finally, this chapter discusses the importance and requirements for reducing the levels of various types of contaminants using gas-phase cleaning. These impurity types include organics, oxides, particles, and residues, and different kinds of metals. It is believed that the diffi culty of accomplishing such minimization of these contaminants by vapor cleaning increases in the order listed above. In other words, a form of vapor cleaning, UV light plus O 3, has been used for some time to remove exposed resist from wafer surfaces. On the other hand, at least some of the metals may be very diffi cult to remove completely using known vapor cleaning techniques without subsequent H2 O rinsing. 344 Dry Cleaning Processes

Acknowledgments

We acknowledge the contributions by Jerzy Ruzyllo, John R. Vig, Bruce E. Deal, and C. Robert Helms in the fi rst edition. This chapter combined and expanded upon Chapters 5 – 7 of the fi rst edition. In many areas, we used text and fi gures from the fi rst edition; however, the organization of the material was changed to remove redundancy and improve the fl ow of the chapter. In addition, you will fi nd the discussion of plasma cleaning completely cov- ered in Chapter 6 of the second edition. We hope you found the information in this new Chapter 5 of the second edition comprehensive and easy to use.

References

1. Bolon, D. A., and Kunz, C. O., J. of Polymer Engin. Sci., 12:109 (1972). 2. Sowell, R. R., Cuthrell, R. E., Mattox, D. M., and Bland, R. D., J. Vac. Sci. Technol, 11:474 (1974). 3. Vig, J. R., Cook, C. F. J., Schwidtal, K., LeBus, J. W., and Hafner, E., IEEE Proc. 28th Ann. Symp. on Frequency Control, AD 011113:96 (1974). 4. Vig, J. R., LeBus, J. W., and Filler, R. L., IEEE Proc. 29th Ann. Symp. on Frequency Control, AD A017466:220 (1975). 5. Ruzyllo, J., Microcontamination, 6(3):39 (1988). 6. Ruzyllo, J., Solid State Technology, 33(3):S1 (1990). 7. Moslehi, M. M., Chapman, R. A., Wong, M., Paranjpe, A., Najm, H. N., Kuehne, J., Yeakley, R. L., and Davis, C. J., IEEE Trans. Electron Dev., 39:4 (1992). 8. Tipton, C. M., and Bowling, R. A., First International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.) 90-9:33, The Electrochemical Society, Pennington, NJ, (1990). 9. Burkman, D., Peterson, C. A., Zazzera, L. A., and Kopp, R. J., Semiconduc- tor Processing Microcontamination 6(11):57 (1983). 10. Hsu, E., Parks, H. G., Craigin, R., Tomaoka, S., Ramberg, J. S., and Lowry, R. K., Second International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (J. Ruzyllo and R. E. Novak, eds.), 92– 12:33, The Electrochemical Society, Pennington, NJ, (1992). 11. Heyns, M. M., Microcontamination, 9(4):29 (1991). 12. Kern, W., J. Electrochem. Soc., 137:1887 (1990). 13. Meuris, M., Heyns, M., Mertens, P., Verhaverbeke, S., and Philipossian, A., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (J. Ruzyllo and R. E. Novak, eds.), 92–12:144, The Electrochemical Society, Pennington, NJ, (1992). 14. Licciardello, A., Puglisi, C., and Pignataro, S., Appl. Phys. Lett., 48:41 (1986). 15. Burkman, D., Semiconductor International, 4(7):103 (1981). 16. Walter, A. E., and McConnell, C. F., Microcontamination, 8(1):35 (1990). 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 345

17. Jurcik, B. J. J., Brock, J. R., and Tractenberg, I., J. Electrochem. Soc., 138:2141 (1991). 18. Shwartzman, S., Mayer, A., and Kern, W., RCA Review, 46(3):81 (1985). 19. Skidmore, K., Semiconductor International, 11(8):64 (1988). 20. Skidmore, K., Semiconductor International, 10(9):80 (1987). 21. Butterbaugh, J. W., Semiconductor International, 21(6):173 (June, 1998). 22. Bean, K. E., Semiconductor Materials and Process Technology Handbook for VLSI and ULSI, p. 80, Noyes Publications, NY (1988). 23. Chu, T. L., Gruber, G. A., and Stickler, R., J. Electrochem. Soc., 113:156 (1966). 24. Lang, G. A., and Stavish, T., RCA Review, 24:488 (1963). 25. Shepherd, W. H., J. Electrochem. Soc., 112:988 (1965). 26. Dismukes, J. P., and Ulmer, R., J. Electrochem. Soc., 118:634 (1971). 27. Dismukes, J. P. a. L., E. R., Proc. Am. Inst. Chem. Eng.,135 (1970). 28. Reisman, A., and Berkenblit, M., J. Electrochem. Soc., 112:812 (1965). 29. Gregor, L. V., Balk, P., and Campagna, F. J., IBM J. Res., 9:365 (1965). 30. Ruzyllo, J., Frystak, D. C., and Bowling, R. A., Proc. IEEE International Electron Device Meeting, p. 409 (1990). 31. Fonash, S. J., J. Electrochem. Soc., 137(12):3885 (1990). 32. Moghadam, F. K., and Mu, X.-C., IEEE Transactions on Electron Devices, 36(9):1602 (1989). 33. Ruzyllo, J., Hoff, A. M., Frystak, D. C., and Hossain, S. D., J. Electrochem. Soc., 136(5):1474 (1989). 34. Ghidini, G., and Smith, F. W., J. Electrochem. Soc., 131:2924 (1984). 35. Deal, B. E., McNeilly, M. A., Kao, D. B., and deLarios, J. M., Solid State Technology, 33(7):73 (1990). 36. Masel, R. I., Principles of Adsorption and Reaction on Solid Surfaces, Wiley, New York (1996). 37. Finstad, C. C., Thorsness, A. G., and Muscat, A. J., Surf. Sci., 600:3363 (2006). 38. Ito, T., Sugino, R., Watanabe, S., Nara, Y., and Sato, Y., First International Symposium on Cleaning Technology in Semiconductor Device Manufactur- ing (Ruzyllo, J., and Novak, R. E., eds.), 90–9:114, The Electrochemical Society, Pennington, NJ, (1990). 39. Saito, Y., Yamaoka, O., and Yoshida, A., Appl. Phys. Lett., 56(12):1119 (1990). 40. Ibbotson, D. E., Mucha, J. A., Flamm, D. L., and Cook, J. M., J. Appl. Phys., 56(10):2930 (1984). 41. Holmes, P. J., and Snell, J. E., Microelectronics Reliab., 5:337 (1966). 42. Bersin, R. L., and Reichelderfer, R. F., Solid State Technology, 20(4):78 (1977). 43. Thorsness, A. G., and Muscat, A. J., J. Electrochem. Soc., 150(12):F219 (2003). 44. Torek, K., Ruzyllo, J., Grant, R., and Novak, R., J. Electrochem. Soc., 142(4):1322 (1995). 45. Wong, M., Moslehi, M. M., and Reed, D. W., J. Electrochem. Soc., 138(6):1799 (1991). 46. Watanabe, H., Kitajima, H., Honma, I., Ono, H., Wilhelm, R. J., and Sophie, A. J. L., J. Electrochem. Soc., 142(4):1332 (1995). 346 Dry Cleaning Processes

47. Watanabe, H., Ohnishi, H. S., Honma, I., Kitajima, H., Ono, H., Wilhelm, R. J., and Sophie, A. J. L., J. Electrochem. Soc., 142(1):237 (1995). 48. Helms, C. R., and Deal , B. E., J. Vac. Sci. Technol., A 10(4):806 (1992). 49. Muscat, A., Lawing, A., Sawin, H., Butterbaugh, J., Syverson, D., and Hiatt, F., Fourth International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.) 95-20:371, The Electrochemical Society, Pennington, NJ, (1995). 50. Miki, N., Kikuyama, H., Kawanabe, I., Miyashita, M., and Ohmi, T., IEEE Transactions on Electron Devices, 37(1):107 The Electrochemical Society, Pennington, NJ, (1990). 51. Montano-Miranda, G., and Muscat, A. J., Solid State Phenomena, 92:207 (2003). 52. Hoshino, T., and Nishioka, Y., J. Chem. Phys., 111(5):2109 (1999). 53. Kang, J. K., and Musgrave, C. B., J. Chem. Phys., 116(1):275 (2002). 54. Mai, C. C., and Looney, J. C., Solid State Technology, 9(1):19 (1966). 55. Judge, J. S., J. Electrochem. Soc., 118:1772 (1971). 56. Harrap, V., Semiconductor Silicon (Huff, H. R., and Burgess, R. R., eds.) p. 354, The Electrochemical Society, Princeton, NJ, (1973). 57. Zhou, J., and Wolden, C. A., J. Eelctrochem. Soc., 147(11):4142, The Elec- trochemical Society, Pennington, NJ, (2000). 58. Munter, P. A., Aepli, O. T., and Kossatz, R. A., Industrial and Engineering Chemistry, 39(3):427 (1947). 59. Munter, P. A., Aepli, O. T., and Kossatz, R. A., Industrial and Engineering Chemistry, 41(7):1504 (1949). 60. Miki, N., Maeno, M., Maruhasi, K., and Ohmi, T., J. Electrochem. Soc., 137(3):787 (1990). 61. Nakanishi, N., and Kobayashi, N., Int. Conf. Sol. State Devices & Mats., 255 (1995). 62. Deal, B. E., McNeilly, M. A., Kao, D. B., and deLarios, J. M., First International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.) 90–9:121, Electrochemical Society, Pennington, NJ, (1990). 63. Kao, D. B., Cairns, B. R., and Deal, B. E., Electrochemical Society Fall Meeting Extended Abstracts, 91-2:802, The Electrochemical Society, Pen- nington, NJ, (1991). 64. Kao, D. B., Choe, D. H., Nobinger, G. L., deLarios, J. M., Krusell, W. C., Cairns, B. R., Deal, B. E., and McNeilly, M. A., Proc. Semicon I Korea 91 Tech. Symposium: 11-107, The Electrochemical Society, Pennington, NJ, (1991). 65. Archer, R. J., J. Electrochem. Soc., 104:619 (1957). 66. Deal, B. E., and Kao, D.-B., Tungsten and Other Refractory Metals for VLSI Applications II (Broadbent ed.) Materials Research Society:, p. 27 (1986). 67. Deal, B. E., and Kao, D.-B., Tungsten and Other Refractory Metals for VLSI Applications II (Broadbent ed.) Materials Research Society, p. 27 (1986). 68. Hattori, T., Takase, K., Yamagishi, H., Sugino, R., Nara, Y., and Ito, T., Jpn. J. Appl. Phys., 28(2):296 (1989). 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 347

69. Morita, M., Ohmi, T., Hasegawa, E., Kawakami, M., and Ohwada, M., J. Appl. Phys., 68(3):1272 (1990). 70. Raider, S. I., Flitsch, R., and Palmer, M. J., J. Electrochem. Soc., 122:413 (1975). 71. Ting, W., Hwang, H., Lee, J., and Kwong, D. L., Appl. Phys. Lett., 57(26):2808 (1990). 72. Olsen, J. E., and Shimura, F., J. Vac Sci. Technol., A7(6):3275 (1989). 73. Nobinger, G. L., Moskowitz, D. J., and Krusell, W. C., Microcontamination, 10(4):21 (1992). 74. Kern, W., Schnable, G. L., and Fisher, A. W., RCA Review, 37(3):3 (1976). 75. Bean, K. E., Thin Solid Films, 83:173 (1981). 76. Witowski, B., Chacon, J., and Menon, V., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo J., and Novak, R. E., eds.), 92-12:372, The Electrochemical Society Inc., Pennington, NJ, (1992). 77. McIntosh, R., Kuan, T. S., and Defresart, E., J. Electron. Mat., 21(57), The Electrochemical Society, Pennington, NJ, (1992). 78. Higashi, G. S., Chabal, Y. J., Trucsk, G. W., and Raghavachari, K., Appl. Phys. Lett., 56(7):1990 (1990). 79. Hahn, P. O., Grundner, M., Schnegg, A., and Jacob, H., Applied Surface Science, 39:436 (1989). 80. Hahn, P. O., Grundner, M., Schnegg, A., and Jacob, H., Semiconductor Silicon (Huff, H., Barraclough, and Chikawa, K. G., J-i., eds.), 90-7:296, The Electrochemical Society, Pennington, NJ, (1990). 81. Hahn, P. O., and Henzler, M., J. Appl. Phys., 52(6):4122, The Electrochemi- cal Society, Pennington, NJ, (1981). 82. Hahn, P. O., and Henzler, M., J. Vac. Sci. Technol., A2(2):574 (1984). 83. Hahn, P. O., Yokohama, S., and Henzler, M., Surface Science, 142:545 (1984). 84. Helms, C. R., Johnson, N. M., Schwarz, S. A., and Spicer, W. E., J. Appl. Phys., 50(11):1979 (1979). 85. Offenberg, M., Liehr, M., and Rubloff, G. W., J. Vac. Sci. Technol., A9(3):1058 (1991). 86. Ohmi, T., 23rd International Conference on Solid State Devices and Materials , p. 481 (1991). 87. Batey, J., Tierney, E., and Nguyen, T. N., IEEE Electron Device Letters, 8(4):148 (1987). 88. Stasiak, J., Batey, J., Tierney, E., and Li, J., IEEE Electron Device Letters, 10(6):245 (1989). 89. Batey, J., Tierney, E., Stasiak, J., and Nguyen, T. N., Applied Surface Sci- ence, 39:1 (1989). 90. Syverson, D., 1991 Proceedings Institute of Environmental Sciences, p. 829 (1991). 91. Syverson, D., Proceedings of the Institute of Environmental Sciences, An- nual Technical Meeting, p. 829, Mount Prospect, IL, (1991). 92. Onishi, S., Matsuda, K., and Sakiyama, K., Third International Symposium on Ultra Large Scale Integration Science and Technology (Ruzyllo, J., and 348 Dry Cleaning Processes

Novak, R. E., eds.) 91-11:226, The Electrochemical Society, Pennington, NJ, (1994). 93. Izumi, A., Matsuka, T., Takeuchi, T., and Yamano, A., 23rd International Conference on Solid State Devices and Materials, p. 135, The Electrochemi- cal Society, Pennington, NJ, (1991). 94. Izumi, A., Matsuka, T., Takeuchi, T., and Yamano, A., Second International Symposium on Cleaning Technology in Semiconductor Device Manufactur- ing (Ruzyllo J., and Novak, R. E. eds.), 92–12:260, The Electrochemical Society Inc., Pennington, NJ, (1992). 95. Ruzyllo, J., Torek, K., Daffron, C., Grant, R., and Novak, R., J. Electro- chem Soc., 140(4):L64–L66, The Electrochemical Society, Pennington, NJ, (1993). 96. Thomsen, S. M., J. Am. Chem. Soc., 74:1690 (1952). 97. Torek, K., and Ruzyllo, J., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 92-12:80, The Electrochemical Society Inc., Penning- ton, NJ, (1992). 98. Hirose, M., Yokoyama, S., and Yamakage, Y., J. Vac. Sci. Technol., B3:1445, The Electrochemical Society, Pennington, NJ, (1985). 99. Grant, R., Torek, K., Novak, R., and Ruzyllo, J., U. S. Patent 5,234,540 (1992). 100. Vig, J. R., J. Vac. Sci., and Technol., A3:1027 (1985). 101. Ruzyllo, J., Duranko, G., and Hoff, A., J. Electrochem. Soc., 134:2052 (1987). 102. Liehr, M., Offenberg, M., Kasi, S., Rubloff, G., and Holloway, K., 22nd International Conference on Solid State Devices and Materials, p. 1099 (1990). 103. Norstrom, H., Ostling, M., Buchta, R., and Peterson, C. S., J. Electrochem. Soc., 132:2285 (1985). 104. Tabe, M., Appl. Phys. Lett., 45(10):1073 (1984). 105. Kasi, S. R., and Liehr, M., Appl. Phys. Lett., 57:2095 (1990). 106. Ruzyllo, J., Duranko, G., Kennedy, J., and Pantano, C., ULSI Science and Technology (Broydo, S., and Osburn, C. M., eds.) 87-11:281 Electrochemi- cal Society, Pennington, NJ, (1987). 107. Ishikawa, Y., Takagi, Y., and Nakamichi, I., Japan. J. Appl. Phys., 28:1453, The Electrochemical Society, Pennington, NJ, (1989). 108. Vig, J. R., IEEE Transactions on Parts, Hybrids, and Packaging, PHP- 12(4):365 (1976). 109. Schrader, M. E., Surface Contamination: Its Genesis, Detection and Control, 2:541 (1979). 110. Bryson, C. E., and Sharpen, L. J., Surface Contamination: Its Genesis, De- tection and Control, 2:687 Plenum Press, New York, NY, USA (1979). 111. Benson, R. C., Nall, B. H., Satkiewitz, F. G., and Charles, H. K. J., Appl. Surf. Sci., 21:219 (1985). 112. Holloway, P. H., and Bushmire, D. W., IEEE Proc. 12th Ann. Reliability Phys. Symp., p. 180 (1974). 113. Peters, R. D., IEEE Proceeding of 30th Annual Symposium on Frequency Control, AD046089:224 (1976). 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 349

114. Braun, W. L., Appl. Surf. Sci., 6:39–46 (1980). 115. Calvert, J. G., and Pitts, J. N. J., Photochemistry, 687:205–209 (1966). 116. Fikhtengolts, V. S., Zolotareva, R. V., and L’vov, Y. A., Ultraviolet Spectrum of Elastomers and Rubber Chemicals, Plenum Press, New York, NY, USA (1966). 117. Lang, L., Absorption Spectra in the Ultraviolet and Visible Region, Aca- demic Press, Burlington, MA (1965). 118. McNesby, J. R., and Okabe, H., Advances in Photochemistry, 3:166 (1964). 119. Volman, D. H., Advances in Photochemistry, 1:43 (1963). 120. Kasi, S. R., Liehr, M., Thiry, P. A., Dallporta, H., and Offenberg, M., Appl. Phys. Lett., 58(1):106 (1991). 121. Kaneko, T., Suemitsu, M., and Miyamoto, N., Jpn J. of Appl. Phys., 28(12):2425 (1989). 122. Mattox, D. M., Thin Solid Films, 53:81 (1978). 123. Hiroki, S., Abe, T., Murakami, Y., Kinoshita, S., Naganuma, T., and Adachi, N., J. Vac. Soc. Japan, 31(10):850 (1989). 124. Lenssinck, J. M., Hoeven, A. J., van Loenen, E. J., and Dijkkamp, D., J. Vac. Sci. Technol., B9(4):1963 (1991). 125. Chen, C. W., and Struss, R. G., Cryogenics, 9:131 (1969). 126. Vig, J. R., and Lebus, J.W, U. S. Patent 4,028,135 (1977). 127. Hafner, E., and Vig, J. R., U. S. Patent 3,914,836 (1975). 128. Frank, J. M., Proc. 35th Ann. Symp. on Frequency Control, AD-A110870:40 (1981). 129. Liehr, M., J. Vac. Sci. Technol., A8(4):1939 (1990). 130. Ney, R. J., and Hafner, E., Proc. 33rd Ann. Symp. on Frequency Control, AD-A213544:368 (1979). 131. Clatterbaugh, G. V., Weiner, J. A., and Charles, H. K. J., IEEE Transactions on Components, Hybrids and Manufacturing Technology, CHMT-7(4):349 (1984). 132. Baunack, S., and Zehe, A., Phys. Stat. Sol., 115(1):223 (1989). 133. Chao, S. C., Pitchai, R., and Lee, Y. H., J. Electrochem. Soc., 136(9):2751 (1989). 134. Tagieva, M. M., and Kiseler, V. F., Russian J. Phys. Chem., 35:680 (1961). 135. Hair, M. L., Proc. 27th Ann. Symp. on Frequency Control, AD 771042:73 (1973). 136. White, M. L., Proc. 27th Ann. Symp. on Frequency Control, AD 771042:79 (1973). 137. Lamb, R. N., and Furlong, D. N., J. Chem. Soc., Faraday Trans., 1(78):61 (1982). 138. Sato, Y., Sugino, R., Okuno, M., and Ito, T., 22nd Conference on Solid State Devices and Materials, p. 1103 (1990). 139. Sugino, R., Okuno, M., Shigeno, M., Sato, Y., Ohsawa, A., Ito, T., and Okui, Y., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo J., and Novak, R. E., eds.), 92-12:72, The Electrochemical Society Inc., Pennington, NJ, (1992). 140. de Larios, J., Krusell, W., McKean, D., Smolinsky, G., Bhat, S., Doris, B., and Gordon, M., Proceedings, Microcontamination, 92:706, The Electro- chemical Society, Pennington, NJ, (1992). 350 Dry Cleaning Processes

141. Syverson, D. J., and Duranko, G. T., Solid State Technology, 31(10):101 (1988). 142. Zazzera, L. A., and Moulder, J. F., J. Electrochem Soc., 136(2):484 (1989). 143. Gluck, R. M., Electrochemical Society Fall Meeting Extended Abstracts, 91-2:759, The Electrochemical Society, Pennington, NJ, (1991). 144. Oki, I., Biwa, T., Kudo, J., and Ashida, T., Electrochemical Society Fall Meeting Extended Abstracts, 91-2:790, The Electrochemical Society, Pen- nington, NJ, (1991). 145. Ito, T., 1991 Proceedings IES 37th Annual Technical Meeting, Arlington Heights, IL: IEST p. 808 (1991). 146. Ito, T., Proceedings of the Institute of Environmental Sciences, Annual Tech- nical Meeting, p. 808, Mount Prospect, IL, (1991). 147. Finstad, C. C., Ph.D. Thesis, University of Arizona (2004). 148. Young, E. M., and Tiller, W. A., Appl. Phys. Lett., 42(1):63 (1983). 149. Young, E. M., and Tiller, W. A., Appl. Phys. Lett., 50(2):60 (1987). 150. Quenon, P., Wautelet, M., and Dumont, M., J. Appl. Phys., 61(8):3112 (1986). 151. Vondrak, T., and Zhu, X.-Y., Phys. Rev. Lett., 82(9):1967 (1999). 152. Okabe, H., Photochemistry of Small Molecules, John Wiley and Sons, New York, NY, (1978). 153. Okabe, H., Photochemistry of Small Molecules, John Wiley and Sons, New York, NY, (1978). 154. Kornblit, L., and Ignatiev, A., Surf. Sci., 136, L57(1984). 155. Butterbaugh, J. W., Gray, D. C., Hiatt, C. F., Sawin, H. H., and Lawing, A. S., Second International Symposium on Ultra Clean Processing of Silicon Surfaces (Heyns, M., Meuris, M., and Mertens, P., eds), p. 229 (1994). 156. Kasi, S. R., and Liehr, M., J. Vac. Sci. Technol. A, 10(4):795 (1992). 157. Wong, M., Liu, D. K. Y., Moslehi, M. M., and Reed, D. W., IEEE Electron Device Letters, 12(8):425 (1991). 158. Frystak, D. C., Kuehne, J., Wise, R., Fowler, B., Grothe, P., Barnett, J., and Miner, G., MRS Proceedings, 470:221 (1997). 159. Schwab, B. D., Gifford, R. W., and Butterbaugh, J. W., ECS Proceedings, 2001–26:233, The Electrochemical Society, Pennington, NJ, (2001). 160. 159. Schwab, B. D., Gifford, R. W., and Butterbaugh, J. W., Seventh In- ternational Symposium on Cleaning Technology in Semiconductor Device Manufacturing, (Ruzyllo,J. Novak, R., Hattrori, T., and Opila, R., eds.), 2001–26:233, The Electrochemical Society, Pennington, NJ, (2001). 161. Lo, G. Q., Ting, W., Kwong, D.-L., Kuehne, J., and Magee, C. W., IEEE Electron Device Letters, 11(11):511, The Electrochemical Society, Penning- ton, NJ, (1990). 162. Morita, M., Kubo, T., Ishihara, T., and Hirose, M., Appl. Phys. Lett., 45(12):1312 (1984). 163. Nishioka, Y., da Silva, E. F. J., Wang, Y., and Ma, T. P., IEEE Electron De- vice Lett., 9:38 (1988). 164. Suemitsu, M., Kaneko, T., and Miyamoto, N., Jpn. J. Appl. Phys., 28(12):2421 (1989). 165. 164. Suemitsu, M., Kaneko, T., and Miyamoto, N., Jpn. J. Appl. Phys., 28(12):2421 (1989). 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 351

166. Nara, Y., Yamazaki, T., Sugii, T., Sugino, R., Ito, T., and Ishikawa., H., Proceedings of the SPIE - The International Society for Optical Engineering, 797:90 (1987). 167. Sherman, A., J. Vac. Sci. Technol., B8(4):656 (1990). 168. Thornton, M. C., and Williams, R. H., Physica Scripta, 41(6):1047 (1990). 169. Iyer, S. S., Arienzo, M. M., and Fresart, E. D., Appl. Phys. Lett., 57:895 (1990). 170. Galewski, C., Lou, J. C., and Oldham, W. G., IEEE Transactions on Seminconductor Manufacturing, 3(3):93 (1990). 171. Frystak, D. C., Wise, R., Grothe, P., Barnett, J., Fowler, B., and Carpio, R., Fifth International Symposium on Cleaning Technology in Semiconduc- tor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 97-35:62 (1998). The Electrochemical Society, Pennington, NJ, (1997). 172. Yamazaki, T., Sugino, R., Ito, T., and Ishikawa, H., 18th Conference on Sol- id State Devices and Materials, p. 213, The Electrochemical Society, Pen- nington, NJ, (1986). 173. Cleavelin, C. R., and Duranko, G. T., Semiconductor International, 10(2):94 (1987). 174. de Larios, J. M., and Borland, J. O., Third International Symposium on Cleaning Technology in Semiconductor Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 94-7:347, The Electrochemical Society, Pennington, NJ, (1994). 175. de Boer, W. B., and van der Linden, R. H. J., Electrochemical Society Fall Meeting Extended Abstracts, 91-2:808, The Electrochemical Society, Pen- nington, NJ, (1991). 176. Werkhoven, C. J., Westendorp, J. E. M., Huusen, F., and Granneman, E. H. A., Semiconductor International, 14(6):228, The Electrochemical So- ciety, Pennington, NJ, (1991). 177. Zhou, Z.-H., Yu, F., and Reif, R., J. Vac. Sci. Technol., B9(2):374 (1991). 178. Kermani, A., Johnsgard, K. E., and Wong, F., Solid State Technology, 34(5):71 (1991). 179. Kwon, O., and Sawin, H. H., J. Electrochem. Soc., 153(6):G515 (2006). 180. Izumi, A., and Matsuka, T., U. S. Patent 5,571,375 (1996). 181. Tong, J. K., Martin, J. S., Rogers, T. C., and Syverson, D. J., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Novak, R. E., and Ruzyllo, J., eds.), 95-20:235, The Electrochemical Society, Pennington, NJ, (1995). 182. Bohannon, B. K., Bowling, R. A., Poarch, B. S., Wallace, B. M., and Syverson, D. J., Proceedings of the 39th Annual Technical Meeting of Insti- tute of Environmental Sciences, p. 275, The Electrochemical Society, Pen- nington, NJ, (1993). 183. Bohannon, B. K., Bowling, R. A., Poarch, B. S., Wallace, B. M., and Syver- son, D. J., Proceedings of the Institute of Environmental Sciences, Annual Technical Meeting, p. 275, Mount Prospect, IL, (1993). 184. Cantell, M. W., Natzle, W. C., and Ruegsegger, S. M., U. S. Patent 6,926,843 (2005). 185. Hanafi , H. I., and Natzle, W. C., U. S. Patent 7,176,534 (2007). 186. Jeng, S. J., Natzle, W. C., and Yu, C. F., U. S. Patent 5,282,925 (1994). 352 Dry Cleaning Processes

187. Kleinhenz, R. L., Natzle, W. C., and Yu, C. F., U. S. Patent 6,074,951 (2000). 188. Liu, J. C., Natzle, W. C., Wise, R. S., Yan, H. W., and Zhang, B., U. S. Patent 6,838,347 (2005). 189. Natzle, W. C., Doris, B. B., Deshpande, S. V., Mo, R. T., and O’Neil, P. A., U. S. Patent 6,790,733 (2004). 190. Natzle, W. C., Horak, D., Deshpande, S., Yu, C. F., Liu, J. C., Mann, R. W., Doris, B., Hanafi , H., Brown, J., Sekiguchi, A., Tomoyasu, M., Yamashita, A., Prager, D., Funk, M., Cottrell, P., Higuchi, F., Takahashi, H., Sendelbach, M., E., S., Yan, W., Tsou, L., Yang, Q., Norum, J. P., and Iyer, S. S., 2004 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, p. 62 (2004). 191. Chang, S. L., Hanestad, R., and Butterbaugh, J. W., Solid State Technology, 45(5):71 (1998). 192. Hanestad, R., Butterbaugh, J. W., ben-Hamida, A., and Gelmi, I., Pro- ceedings of the SPIE—The International Society for Optical Engineering, 4557:58 (2001). 193. Lee, K. T., Shim, W. W., Ko, H. H., Kim, H. Y., Han, Y. P., Hah, S. R., and Moon, J. T., Solid State Phenomona, 76–77:15 (2001). 194. Offenberg, M., U. S. Patent 5,683,591 (1997). 195. Scheiter, T., Naeher, U., and Hierold, C., U. S. Patent 5,662,772 (1997). 196. Scheiter, T., Naher, U., and Hierold, C., Eurosensors XI, Eleventh European Conference on Solid State Tranducers, p.1369 (1997). 197. Hanestad, R., Schwab, B., Butterbaugh, J. W., Lee, K. T., Shim, W. G., Kim, S. Y., and Han, Y. P., Solid State Phenomona, 92:203 (2003). 198. Becker, D. S., and Butterbaugh, J. W., Proceedings: Advanced Surface Prep- aration Leading in the Nano-Era, Semicon-Europa, SEMI (2003). 199. Danel, A., Tardif, F., and Kamieniecki, E., Solid State Technology, p. S3 (September, 1999). 200. 199. Danel, A., Tardif, F., and Kamieniecki, E., Solid State Technology, 42(9):p. S3 (September, 1999). 201. Kamieniecki, E., U. S. Patent 6,325,078 (2001). 202. Roman, P., Tsai, C. L., Hengstebeck, R., Pantano, C., Berry, J., Kamieniecki, E., and Ruzyllo, J., Sixth International Symposium on Wafer Cleaning Technology in Semiconductor Device Manufacturing, (Hattori, T., No- vak, R. E., and Ruzyllo, J., eds.), 99-36:145, The Electrochemical Society, Pennington, NJ, (2000). 203. Tardif, F., Danel, A., Kamieniecki, E., and Harrington, J., Proceedings of the SPIE—The International Society for Optical Engineering, 3882:126, The Electrochemical Society, Pennington, NJ, (1999). 204. Novak, R. E., Solid State Technology, 31(3):39 (1988). 205. van der Heide, P. A. M., Baan Hofman, M. J., and Ronde, H. J., J. Vac. Sci. Technol., A7(3):1719 (1989). 206. Ehrlich, D. J., Osgood, R.M., and Deutsch, T. F., Appl. Phys, Lett., 38(12):1018 (1981). 207. Horioka, K., Okano, H., and Horiike, Y., 16th International Conference on Solid State Devices and Materials, p. 50 (1984). 208. Ito, T., and Sugino, R., Semiconductor World, p. 120 (March, 1989). 5: Gas-phase Wafer Cleaning, Butterbaugh and Muscat 353

209. Okano, H., Horiike, Y., and Sekine, M., Electrochemical Society Spring Meeting Extended Abstracts, 83-1:673 (1983). 210. Sugino, R., Nara, Y., Yamazaki, T., Watanabe, S., and Ito, T., 19th Confer- ence on Solid State Devices and Materials, p. 207 (1987). 211. Ogryzlo, E. A., Ibbotson, D. E., Flamm, D. L., and Mucha, J. A., J. Appl. Phys., 67(6):3115 (1990). 212. Petelin, A. N., and Ury, M. G., VLSI Electronics: Microstructure Science, p. 8 (1984). 213. Peak, M. J., Peak, J. G., and Jones, C. A., Photochemistry and Photobiology, 42:141 (1985). 214. Tucker, M. A., Shields, J. A., Hartge, P., Augsburger, J., Hoover, R. N., and Fraumeni, J. F. J., New England J. Medicine, 313:789–792 (1985). 215. Bader, M. E., Hall, R. P., and Strasser, G., Solid State Technology, 33(5):149 (1990). 216. Bergendahl, A. S., Horak, D. V., Bakeman, P. E., and Miller, D. J., Semiconductor International, 13(10):94 (1990). 217. Burggraaf, P., Semiconductor International, 13(9):56 (1990). 218. Korolkoff, N. O., Solid State Technology, 33(8):73 (1990). 219. McNab, T. K. P., Semiconductor International, 13(9):58 (1990). 220. McNab, T. K. P., Semiconductor International, 13(11):86 (1990). 221. Newboe, B., Semiconductor International, 13(8):82 (1990). 222. Ohmi, T., Microcontamination, 8(6):27 (1990). 223. Ohmi, T., and Shibata, T., Microcontamination, 8(7):25 (1990). 224. Ohmi, T., and Shibata, T., Microelectronic Engineering, 10:177 (1991). 225. Shankar, K., Solid State Technology, 33(10):43 (1990). 226. Liehr, M., and Thiry, P. A., J. Electron Spectroscopy and Related Phenom- ena, 54-55:1013 (1990).P 0 H2 O 6 Plasma Stripping, Cleaning, and Surface Conditioning

Dennis W. Hess School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, Atlanta, GA, USA

Karen A. Reinhard Cameo Consulting, San Jose, CA, USA

6.1 Introduction to Plasma Stripping and Cleaning

Plasma processing for integrated circuit (IC) manufacturing is ubiqui- tous. Typically a wafer is processed through multiple plasma processing steps before it emerges from the fab as an array of integrated circuits. Plasma processes include thin fi lm deposition, etching, photoresist stripping, and cleaning, also known as residue removal. Cleaning processes prepare the wafer surface chemically and in some cases structurally for the next step. This chapter focuses on photoresist stripping, cleaning processes and applications. The chapter will also touch upon other applications such as soft-silicon etching, pre-deposition surface cleaning including cleaning for epitaxial fi lm growth, and chamber cleaning to remove fi lms and residues.

6.1.1 Overview of plasma stripping, cleaning, and surface conditioning applications In state-of-the-art IC fabrication processes, more than 100 cleaning steps are invoked; see Chapter 1 for an overview of all cleaning process steps. Plasma stripping and cleaning is used for a variety of applications, and serves a variety of purposes. The most common of these applications are as follows. Stripping: remove bulk photoresist materials after either plasma etch or ion implantation steps.

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 355–427 © 2008 William Andrew Inc.

355 356 Dry Cleaning Processes

Residue Removal : remove residues at the bottom or sidewalls of an etched via or trench that result from the ablation of underlying barrier, etch stop, or metal layers; remove polymer or inorganic residues that are formed to establish selectivity to underlying layers and to generate aniso- tropic profi les. Pre-deposition Cleaning: clean surfaces of fi lms, residues, and particles prior to dielectric or metal fi lm deposition to ensure good adhesion or low contact resistance. Surface Conditioning : alter or condition the surface to inhibit oxidation or adsorption of impurities during process sequences. In most cases, the stripping or cleaning cycle must meet two or more of these process requirements. Figure 6.1-1 shows examples of the difference between stripping bulk photoresist material and residue removal. Surface conditioning is essential prior to fi lm deposition, in particular epitaxial deposition of Si, SiGe, or other materials that are to be deposited

Residue Bulk Resist Device Feature

Substrate a) After etch and before stripping and cleaning Residue

b) After stripping and before cleaning

c) After stripping and cleaning

Figure 6.1-1 Schematic of device feature showing the difference between stripping and cleaning. Stripping removes the bulk photoresist, but generally leaves residue. Cleaning removes the residue remaining on the feature. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 357 on the crystalline Si surface. Chapter 9 reviews the requirements for and the production of a H-terminated Si surface. Plasmas are used routinely to clean deposited fi lms or residues from vacuum chambers after plasma etch, plasma deposition, and chemical vapor deposition (CVD) processes. Plasmas are also used to condition the inside of processing chambers prior to the deposition process; that is, plasmas can etch away potential particles or remove material from the reaction chamber that may inhibit the process and subsequently deposit a protective passivating fi lm. In single wafer etch sequences, a chamber clean is often performed after the etch step to ensure reproducible chamber conditions for the following wafer etch cycle. Although the required preci- sion and control are not as stringent as those of resist stripping and surface preparation, these cleaning applications may use large volumes of gases. As a result, environmental concerns and cost are often paramount and will be discussed in future sections.

6.1.2 Introduction to plasma processing The reactive chemical atmosphere in a glow discharge or in plasma offers the potential to effectively modify and/or clean a solid surface at low temper- atures and high rates. Impact collisions between high-energy electrons and feed gas molecules create reactive chemical moieties such as free radicals and ions in ground and in excited states. If subsequent reactions between these chemical species and fi lms or residues on wafer surfaces result in volatile products, fi lm/residue removal occurs. Alternatively, surfaces can be modifi ed or conditioned by plasma reactions to alter the chemical or physical nature of the surfaces. However, for plasma cleaning and stripping processes to be viable, acceptable selectivity to existing fi lms or surfaces must be established, and radiation damage to or alteration of existing fi lms must be minimized. In principle, these results can be achieved without the use of large quantities of liquid acids, bases, organic solvents or deionized

H2O, thereby establishing relatively environmentally benign processes. However, in many post-stripping applications, a liquid treatment is used in conjunction with a plasma stripping process for complete residue removal, especially when inorganic metal residues are present. A plasma is a partially ionized gas formed by coupling radio frequency or microwave energy into a low pressure gas. Collisions between electron that have been accelerated by the applied electric fi eld and neutral gases or vapors result in dissociation of the gases and vapors. The plasma consists of a variety of chemical species in different electronic states, approxi- mately equal densities of negatively and positively charged species as well 358 Dry Cleaning Processes as radicals, stable neutral species and free electrons. Suffi cient energy must be imparted to the plasma to sustain this high-energy gas, since recombination occurs through collisions with the walls of the reaction ves- sel and with other species in the plasma. Due to the high-energy, highly reactive nature of the plasma, enhanced chemical reactivity is achieved with fi lms and the wafer surface relative to what would be observed under ambi- ent conditions. The plasma parameters (e.g., electron energy distribution, ion energy distribution, residence time) that control the ionization, dis- sociation, and excitation in plasma are dependent on the pressure, the gases used to form the plasma, and the power applied to the plasma. The gas used for cleaning must dissociate to form chemically active species that react with the fi lms to be removed but these reactive species should not react with the underlying fi lms. Selection of appropriate gases and plasma conditions ensure that the cleaning process can etch various mate- rials, strip photoresist and remove post-etch and implant residue, as well as condition the wafer surface by H-passivating the Si and removing native oxide. For example, an O2 plasma generates O radicals, electrons, positively – – + + and negatively charged oxygen species (e.g., O 2 O , O 2 , O ) and excited state species. The excited species are molecules or atoms that have absorbed energy and enter a quantum state that is at higher energy than the ground state of the species. Thus, the various excited and dissociated oxygen species can remove photoresist at a much faster rate than can be achieved in an O2 ambient environment — where essentially no reaction takes place.

6.1.3 Challenges of plasma stripping, cleaning, and surface conditioning Plasma stripping, cleaning, and surface conditioning are used for many different fi lm layers when fabricating an integrated circuit. The layers are composed of various materials, and these different materials require strip- ping and cleaning processes suitable for the specifi c materials. The clean- ing process must remove all undesirable material and must not deteriorate the underlying fi lms, or yield will suffer. The temperature of the process, the reactant gas mixture, or the ion fl ux must not affect the microelectronic device. A cleaning process suitable at one stage in wafer processing may not suffi ce at another due to the specifi c residues on the surface, which may require tailored cleaning chemistries and processes. These clean- ing procedures must be reproducible. In addition, contamination must be controlled during the process, since addition of particles or metallic contamination may adversely affect the electrical properties of the device. Furthermore, thermal budgets for the overall manufacturing process must 6: Plasma Stripping and Cleaning, Hess and Reinhardt 359 be controlled as device dimensions shrink; this is especially important for shallow junctions. Diffi culties and limitations involved in photoresist stripping and surface cleaning depend upon whether the process takes place at the front end of line (FEOL) prior to gate dielectric formation, FEOL source/drain post-implant, or back end of line (BEOL) after via, trench or metal etch. Each application has its own unique set of challenges as outlined in the ITRS (International Technology Roadmap for Semiconductors) [ 1 ]. In FEOL pre- gate cleaning, oxides and carbonaceous residues must be removed without roughening the Si or SiGe surface. Requirements through the 65-nm node are surface O = 0.1 × 10 13 atoms/cm 2 ; surface C = 1.2 × 10 13 atoms/cm 2 ; surface roughness = 0.4 nm. For the 45-nm node, surface O = 0.1 × 1013 atoms/cm2 ; surface C = 0.9 × 1013 atoms/cm 2 ; surface roughness = 0.2 nm. Furthermore, the amount of Si and oxide loss per cleaning step should both be 0.04 nm through the 65 and 45 nm nodes. Removal of post-ion implant photoresist layers presents a formidable challenge, particularly for high-dose implants, where tenacious, graphite-like residues must be selectively removed with no damage to underlying layers. In BEOL, side- wall and trench/via bottom residues comprised of organic and inorganic components, must be removed, again with little or no damage to existing structures or fi lm compositions. For BEOL metal deposition, the surface the metal is deposited on must be free of oxides to allow low resistance between the existing metal layer and the deposited metal layer. Although the reactive plasma atmosphere of ions, electrons, and pho- tons that bombard surfaces is conducive to the rapid removal of unwanted fi lms, residues and the establishment of anisotropic profi les, inherent dis- advantages exist. For instance, chamber and fi xture surfaces are typically bombarded by high-energy particles, thereby causing sputtering of impu- rities onto wafer surfaces. Similarly, impurities in the plasma atmosphere are ionized and can be implanted into existing or growing fi lms or sub- strates. Large ion and electron currents that fl ow in high-density reactors can damage dielectrics by breaking chemical bonds during current fl ow and by dielectric charging ultimately degrading device reliability or lead- ing to dielectric breakdown. Such concerns must be eliminated or at least mitigated by controlling plasma properties or by post-plasma treatments. One of the most challenging photoresist stripping problems that has emerged in recent years involves the use of low dielectric constant (k ) materials in BEOL processing sequences. Since such dielectrics are either polymeric, contain carbon, and/or have porosity, exposure to O- or F-containing plasma atmospheres removes C from the fi lm and causes an increase in k value, ion bombardment can also result in fi lm densifi cation, 360 Dry Cleaning Processes

again increasing k value. Although reducing (H2- and N2-containing) plas- mas avoid strongly oxidizing chemistries, removal rates and effi ciency of stripping can be compromised. Considerable effort has been expended to develop plasma processes for this application, mostly involving downstream approaches to minimize radiation damage and sputtering of impurities onto sensitive surfaces. Issues such as process optimization, abatement, and recovery/recycle of perfl uorinated compounds (PFC) for plasma-based cleaning of chambers are beyond the scope of this review. Selected discussion of these issues can be found in other references [2 , 3 ], where the phase-out of PFCs for etch- ing, stripping, and cleaning processes is also discussed.

6.2 Applications of Plasma Stripping, Cleaning, and Surface Conditioning

The applications for plasma stripping and cleaning are numerous. Dur- ing the IC manufacturing process, stripping and cleaning of the surface takes place after every etch or implant step, cleaning takes place before deposition, after chemical mechanical polishing (CMP) steps, in some cases after the deposition step, and also in pre-lithography steps where surface contamination is a concern.

6.2.1 Overview of plasma stripping, cleaning, and surface conditioning applications Figure 6.2-2 shows typical cleaning processes used in IC manufacturing that incorporate plasma stripping steps. After ion implant or etching steps that utilize photoresist for masking, stripping of the photoresist and clean- ing of any post-strip residue is required. Plasma stripping is the method of choice for removing the photoresist due to a variety of reasons that will be discussed in subsequent sections of this chapter. The post-strip cleaning is usually an aqueous, semi-aqueous, or solvent-based process. See Chapter 4 for an overview of wet cleaning processes. Integration of plasma photoresist stripping with wet cleaning and poly- mer removal after etching processes is discussed by Martinetti et al. [ 4 ]. Typically, the post-etch cleaning process involves the use of plasma photo- resist strip steps followed by a wet cleaning step. However, plasmas are also employed as a post-strip cleaning step, removal of unwanted polymer and in some cases damaged Si or undesirable sputtered metals or SiOx materials. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 361

Figure 6.2-3 shows a typical remote plasma processing sequence for Si wafer cleaning. Pre-deposition cleaning of high-density plasma oxide (HDP), epitaxial Si or Si/Ge, and pre-Al or Cu barrier deposition has involved H2-based remote plasma cleaning. As previously noted in Chapter 4, pre-diffusion and oxidation processes use wet cleaning chemistries to mini- mize contaminants on the Si surface prior to introduction into high tem- perature or vacuum environments. The wet chemistry has usually involved a modifi ed RCA or an O3-based treatment followed by a dilute HF immersion and deionized water (DI H2O) rinse and surface tension gradient dry.

6.2.2 Bulk resist stripping The primary objective in bulk resist stripping is the rapid removal of resist material without etching or damaging underlying fi lms or substrates. Oxygen-based plasma removal of resist (organic) materials appears to pro- ceed in a manner analogous to the thermal oxidation of polymers [ 5 , 6 ].

Figure 6.2-2 Typical process fl ows utilizing plasma strip and cleaning steps. Note, most plasma strip and clean steps are followed by a wet clean step.

Figure 6.2-3 Typical process fl ow utilizing plasma pre-deposition clean steps. The plasma pre-deposition clean is typically preceded by a wet cleaning step. In most cases the plasma pre-deposition clean step is in situ prior to the deposition step. 362 Dry Cleaning Processes

However, the reactive free radicals and excited state species present in plasmas enhance chemical reactions with the polymer, thereby increasing the removal rate. For instance, O atoms abstract H from the polymer, forming

H2O and OH, while creating highly reactive C radicals in the polymer material for reaction with O species to readily form CO and CO2. Temper- ature increases also enhance polymer removal rates due to the Arrhenius dependence of the rate coeffi cient. Finally, ion, electron, and photon bom- bardment of the polymer breaks chemical bonds in large part via random chain scission [7] and promotes oxidant adsorption, polymer etching, and volatile product desorption [ 8 ].

Polymer structure and chemistry affect the oxidation and thus O2-based etch rates of resist materials [9 , 10 ]. For instance, polymers with aromatic groups are more etch resistant than aliphatic materials. Polymers contain- ing halogens display increased etch rates due to the fact that halogens are released as the polymer is degraded; the resulting F or Cl species generated in the plasma effi ciently abstract H from the polymer chain, thus facilitating reaction with and removal of the polymer by O species. Resist removal requirements were met initially by using parallel plate or barrel reactors at higher pressures than those used for etching, so that the reactant concentration was high and the ion energy was low [ 11 ]. As radiation damage became a more severe problem, downstream stripping confi gurations became dominant, using either microwave or inductively coupled plasma coils to create the plasma. In this mode, ion/electron/pho- ton bombardment can be virtually eliminated, while establishing a high

Table 6.2-1 Typical High-Temperature O2-based Single-Step Photoresist Strip Process for Downstream Microwave or Inductively Coupled Plasma Process Modules

Bulk Photoresist Strip Process Step 1

Gas fl ow 1000–4000 sccm O2 Additives CF4, H2/N2, N2, H2O Process time 30–60 seconds Strip rate >1 µm Microwave plasma 1000–2500 W RF bias plasma Off Pressure 0.7–2.0 Torr Temperature 200–350°C Reference [12] 6: Plasma Stripping and Cleaning, Hess and Reinhardt 363 neutral species concentration. Plasma parameters (pressure, frequency, and power) can then be optimized to allow effi cient generation of atoms and radicals and rapid transport (short residence time) to the resist surface

[12]. A typical high-temperature O2-based single-step bulk photoresist stripping process is shown in Table 6.2-1 . Achievement of high strip rates at reasonable temperature (<200 ° C) is sometimes attained by adding selected gases or vapors to the O2 plasma; note the additives in Table 6.2-1. As mentioned above, halogen atoms in the plasma atmosphere are effective in promoting resist removal due to H abstraction. In addition, it has been suggested that the presence of halogens, especially F, markedly increases O atom concentrations, thereby further enhancing the removal rate [13– 17 ]. As a result, additions of F-containing molecules such as CF 4 , SF 6 , and NF3 are common in downstream stripping O2 -based systems. Care must be exercised, however, to ensure that the long-lived F atoms do not etch other fi lms or substrates. In fact, F-containing gases are frequently added to O2 -based plasmas to promote the removal of Si or SiO-based residues; this will be discussed in more detail in Section 6.3.3-1 Etch rates of polymer materials display a maximum with F-containing feed gas composition (10 – 25 atomic % F-containing additive) in both par- allel plate reactors and downstream confi gurations due to the trade-off between enhanced O atom generation at low F content and O atom dilution at high-F content [ 18 , 19 ]. In addition, polymer surface fl uorination to a depth of 30 – 50 nm occurs [ 20 , 21 ]. Fluorination of the polymer surface sta- bilizes the polymer or resist material, thereby inhibiting etch rates [ 21 , 22 ].

Other additives to O2 also affect the removal rate of bulk photoresist or organic materials in a downstream reactor. Similar to halogen additions,

N 2 addition increases the O atom concentration in O2 plasmas and thus the resist stripping rate; since the effective activation energy does not change relative to pure O2 plasmas, the mechanism of resist removal appears to remain that of attack of the hydrocarbon material by O atoms [23 ].

Addition of H 2 O vapor to an O2 plasma is analogous to the addition of F- ∼ containing vapors; i.e., strip rates increase up to 15 % H 2O addition, and the effective activation energy decreases [ 24 ].

Mechanistically, H2 O addition increases atomic O atom concentration in the plasma, and it also dissociates to give OH, which can extract H from the polymer backbone in a manner similar to F. Dissociation of the

O2 molecule is catalyzed by the addition of additives. These additives not only can increase the stripping rate of photoresist, they can change the selectivity to the substrate or fi lm material underlying the photoresist. Comparison of the etch rates and effective activation energies of various additives to O2 plasmas is discussed in [24 ], where the similarity of F- and 364 Dry Cleaning Processes

H2 O-containing plasmas is described; likewise, the effect of N2 addition is apparent [24 ]. Note also that achievement of high strip rates is possible at low temperatures (<180 ° C) with CF4 and H2 O additions. The addition of H2 to O 2 plasmas increases the stripping rate [24 ] similar to that of H2 O addition; at low concentrations of H 2, <30% , the stripping rate increases, as show in Figure 6.2-4. However, at high concentrations of H 2, the strip- ping rate decreases substantially when using a MW (microwave) down- stream reactor [24 ]. Water additions to stripping plasmas appear to have a further advantage in that Na contamination is passivated or electrically inactivated according to fl atband shifts in metal oxide semiconductor (MOS) capacitors after resist strip [24 , 25 ]. Apparently, Na remains on the underlying SiO 2 surface, but is electrically inactive, in that it is immobile in the SiO 2. That goes against the common theory that Na is very mobile in SiO2 . A study by Savas [ 26 ] shows that Na, most likely originating in the photoresist, is driven into the SiO 2 layer by an increase in the overetching time of the plasma stripping step. When compared to the wet cleaning step, which should remove most of the Na, the 300% overetching sample shows approximately an order of mag- nitude increase in Na surface contamination, while the 100 % overetched

Figure 6.2-4 The stripping rate (ashing rate) of photoresist with respect to the atomic

O concentration as a function of the O2:H2 ratio [24]. Reprinted with permission from Fujimura, S., Shinagawa, K., Suzuki, M.T., and Nakamura, M., J. Vac. Sci Technol., B9(2):357 (1991). Copyright 1991, American Institute of Physics. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 365

Figure 6.2-5 Surface Na contamination after plasma stripping processes with different over-etch times compared to wet cleaning control wafer [26]. Used with permission from Solid State Technology. sample shows less increase in Na contamination, but never achieves the level of the wet cleaning process, as shown in Figure 6.2-5 .

6.2.3 Post-ion implant resist stripping and cleaning Post-ion implant resist stripping is diffi cult when high-ion doses are implanted and the photoresist is cross-linked and dehydrated during the process. High-dose implants are used when shallow source/drain junc- tions are formed, when the polysilicon gate material is doped, and when a collector implant is needed for bipolar-complementary MOS (BiCMOS) and bipolar devices. Figure 6.2-6 shows the range of doses for implants used when a photoresist mask is appropriate [27 ]. High dose is usually 14 2 defi ned as a dose greater than 5 × 10 atom/cm for As, P, B, or BF 2 . Figure 6.2-7 shows a schematic of the physical changes experienced by the photoresist during the high-dose implant. The crust that forms from the implant is highly cross-linked and dehydrated; also, the solvents that are uniformly distributed in the bulk photoresist have been out-gassed in the top layer and the crust has less H than the un-implanted photoresist [28 ]. In addition to the crust layer, the implanted species may be oxidized to As2 O5 , P4 O10 , and B 2 O3 , none of which are volatile, and all of which are slightly hygroscopic. SiO2 may be sputtered from the barrier layer and reside on the top or sidewall of the photoresist. All these residues must be removed to produce high-yielding devices. A schematic of the cross section of a high-dose implanted photoresist is shown in Figure 6.2-8 . The photoresist crust is modifi ed compared to the 366 Dry Cleaning Processes

Figure 6.2-6 The high-dose implant regime is defi ned as greater than 5 × 1014 atoms/cm2. Various implant layers are shown that use high doses [27]. Reprinted with permission from Rubin, L., and Poate, J., The Industrial Physicist p.12 (June/ July 2003). Copyright 2003, American Institute of Physics.

underlying bulk photoresist, which is similar in chemical composition to the resist immediately after the photolithography process [29 ]. The crust layer is carbonaceous and does not behave like bulk resist during the strip- ping process [30 ]. This crust must be removed at a lower temperature to prevent the underlying bulk resist from “ popping ” [31 ]. Popping occurs when the bulk photoresist is heated and the entrapped solvent vaporizes; however, the crust inhibits the solvents from escaping and as the pressure builds up, popping occurs as illustrated in Figure 6.2-8 . The “ popped ” pho- toresist crust can redeposit on the wafer surface. This residue is extremely diffi cult to remove with a simple O2 -based resist strip process and due to the nature of the contamination, which behaves similar to a particle, the wet cleaning chemistry must be tailored to remove this material. Sulfuric acid hydrogen peroxide mixture (H2 SO4 and H2 O2 , knows as SPM), dis- cussed in Chapter 4, does not always chemically attack this material [ 31 ]. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 367

Implanted Sputtered Oxide Resist on Sidewall

Implant Species Device Feature Residue a) Cross-section view of device after high dose implant

Implant Species Implanted Residue Resist

Device Feature Sputtered Oxide on Sidewall b) Top view of device after high dose implant

Figure 6.2-7 Cross-section view (a) and top view (b) of the photoresist and features after a high-dose implant step.

Fujimura recognized as early as 1987 that high-dose implants photore- sist stripping (HDIS) needed special process recipes to prevent excessive contamination from the popping of the resist [ 32 ]. Typical plasma strip- ping and cleaning processes for bulk photoresist stripping are shown in Table 6.2-1 ; while Table 6.2-2 shows a high-dose implant strip process. The bulk photoresist strip process is a simple one-step recipe, while the high-dose implant crust removal, photoresist strip, and residue removal steps all require different plasma parameters. Process A is a 3 step process (1) crust removal, (2) intermediate bulk photoresist strip, and (3) fi nal bulk photoresist strip. Process B adds a post-strip clean step using a F-containing 368 Dry Cleaning Processes

Implant Crust Layer

Bulk Photoresist

Heat Temperature greater than resist hard bake temperature

Figure 6.2-8 Schematic of a cross-section of high-dose implanted photoresist showing how “popping” occurs when the bulk photoresist is heated during the plasma strip step without fi rst removing the crust layer. additive. The F-containing gas effectively removes the implant residue and the sputtered oxide. The forming gas, (H2 /N2 ,) treatment reduces the implant residue to volatile hydrides such as AsH3 , PH 3 , or B2 H6 . A DI H2 O rinse or SPM process is suggested after the plasma process to insure that all non-volatile implant species have been removed. The residue that may be present on an inadequately cleaned wafer is shown in Figure 6.2-9 . The typical residue is (1) “ popping ” residue, unreacted pho- toresist, (2) post-implant species residue, and (3) sputtered SiO2 residue. Using the appropriate plasma strip and clean process is important, in order to target the removal of these residue or to prevent the residue from occur- ring, such as with the popped photoresist. The SiO2 residue can be removed with the use of a F-containing gas such as CF4 , NF3 , or SF 6. However, care must be taken to prevent uncontrolled etching of the SiO2 fi lm. Use of these gases is not suggested if the SiO2 fi lm is extremely thin (<2 nm), as there is a chance of breaking through the SiO2 and etching the silicon. Pitting of the Si can occur if the etch rate of the Si is greater than that of the oxide. Besides reducing the implanted species to volatile gases, forming gas

(H 2 /N2) may also be used as an alternate gas to O2 to achieve a slow pho- toresist etch rate and may be used in the crust removal step. Some crust removal steps use H2 /N2 without O 2 . Also, H 2O vapor has shown some success for breaking through the implanted crust and accelerating the slow strip rate by using radio frequency (RF) bias only. Helium or other 6: Plasma Stripping and Cleaning, Hess and Reinhardt 369

Table 6.2-2 Typical High-Dose Implant Photoresist Strip and Clean Pro- cesses for Downstream or Inductively Coupled Modules. Radio frequency bias is typically used for the crust removal step coupled with the remote plasma. Process A [33], Process B [31], and Process C [34]

Process A: Step 1 Step 2 Step 3 Three-step Crust Removal Bulk Photoresist Final Photoresist HDIS Process Strip Strip

Gas fl ow 40–150 sccm O2 1000 sccm O2 1000 sccm O2 Additives H2/N2, H2O None None Process time 30 seconds 10–15 seconds <30 seconds Microwave plasma 2000 W 1000 W 800 W RF bias plasma 75 W Off Off Pressure 5m Torr 1.0 Torr 1.1 Torr Temperature 40°C <150°C 150°C Process B: Step 1 Step 2 Step 3 Three-step Crust Removal Bulk Photoresist Oxide Photoresist HDIS Process Strip Strip

Gas fl ow 1000 sccm O2 2000 sccm O2 1000 sccm O2 Additives None None 20–50 sccm CF4 and 400 sccm H2/N2 Process time 30 seconds To endpoint <30 seconds Microwave 1000 W 1000 W 800 W plasma RF bias plasma 100 W Off Off Pressure 200–400 mTorr 1.3 Torr 1.3 Torr Temperature <90°C >200°C 130°C

Process C: Step 1a Step 1b HDIS Crust Crust Removal 1 Crust Removal 2 Removal Process for 5 ¥ 1015 atoms/cm2 P

Gas fl ow 67 sccm H2O 67 sccm H2O Gas fl ow 400 sccm He 400 sccm He

Additive None 3 sccm CF4 Process time 40 seconds 20 seconds Microwave plasma None None RF bias plasma 500 W 500 W Pressure 650 mTorr 650 mTorr Temperature 15–140°C 15–140°C 370 Dry Cleaning Processes

a) Example of “popping of photoresist residue

b) Example of SiO2 residue caused by the implant sputtering the barrier oxide

c) Example of implant species residue

Figure 6.2-9 Typical high-dose implant photoresist strip and clean processes for downstream or inductively coupled modules. The residue is shown on the left, before clean, and the adequately cleaned example is show on the right.Used with permission from Novellus Systems.

inert gases can also be added. The bulk photoresist is then removed with a downstream O 2-based plasma followed by SPM [34 ]. In most instances the carbonized crust layer is removed by the use of low-power RF reactive ion etching (RIE), either alone or in combination with MW power [ 34 ]. Low temperature crust removal, typically at a temperature lower than the photoresist hard-bake post-develop temperature, is used during the crust removal step to prevent the photoresist from “ popping. ” The bulk strip step then can revert to the higher temperature to achieve a fast strip rate. The fi nal clean step may also be performed at a lower temperature if a F-containing gas is used [ 35 ]. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 371

6.2.4 Post-etch resist stripping and cleaning 6.2.4.1 Removal of polymers Polymer formation occurs at many steps in the manufacturing of IC devices. Some plasma etch processes purposely deposit polymer to protect the sidewall from etching that erodes the critical dimension (CD). High energy and high-dose ion implantation and high density and energy plasma etch processes may cause cross-linking of the photoresist or dehydroge- nation thereby creating a carbonaceous fi lm that is extremely diffi cult to remove. In addition, sputtered residues can be embedded into the polymer, i.e., metals such as Al and Cu, or Si-based materials. Such materials further complicate removal of the polymer and associated residues. Nevertheless,

Post- Sidewal BARC etch l

a) Post-polysilicon gate feature after b) Post-polysilicon gate etch feature after etching and before stripping and cleaning stripping and before cleaning with with photoresist, sidewall etch residue, photoresist and BARC removed, with and bottom anti-reflective coating (BARC) sidewall etch residue remaining layer

Post- etch Cross- linked

Post- etch

c) Post-contact etch feature after etching d) Post-via etch feature after stripping and before stripping and cleaning with and before cleaning with photoresist photoresist, sidewall etch residue, and removed, with sidewall etch residue bottom etch residue

Figure 6.2-10 Examples of post-etch residue after etching and before stripping and cleaning, (a) and (c), and after etching and stripping but before cleaning, (b) and (d). Used with permission of Sematech, Novellus Systems and DuPont/EKC. 372 Dry Cleaning Processes this residue must be removed to insure that the entire manufacturing process provides adequate electrical and mechanical performance. This may require that cleaning procedures remove impurities on surfaces to the sub-ppm level. Figure 6.2-10 shows examples of post-etch residues. Residues resulting from the etch process have been analyzed by vari- ous techniques. See Chapter 10 for a review of the analytical techniques presented in this section. A few examples of sidewall reside analysis will be presented in this chapter. Although the residue has been defi ned specifi - cally for these etch processes, other etch processes may lead to residues with slightly different chemical and physical properties and thus the strip- ping and cleaning process must be tailored to remove the residue from those etch processes. Optimization of a cleaning process involves various parameters, such as gas fl ows, power, and pressure; the following refer- ence demonstrates the steps it takes to optimize a cleaning process [ 36 ]. Although plasma stripping can effectively remove the photoresist, using a plasma process to remove the residue can be diffi cult. The diffi culties arise when the residue is inert to the plasma and additives such as F-containing molecules must be added to remove the fl uorocarbon poly- mer or the silicon sidewall polymer. The F-containing gases not only remove the undesirable polymer and SiO-like residue, but they also can etch the feature being cleaned and etch the underlying barrier or etch stop fi lm. Metal residues are particularly diffi cult to remove with plasma alone. The metal residues may oxidize during the resist strip step and form non- volatile and insoluble reaction products. Sometimes a reducing chemistry is used to prevent oxidation of the residues. However, not all metal hydrides are volatile; at times, a post-strip wet cleaning process is the only method that will remove the metal residue.

Cleaning post-etch polysilicon gate polymer The gate electrode is one of the most important, if not the most important feature, of the MOS fi eld effect transistor (MOSFET). The gate electrode may be composed of polysilicon, a silicide material, and metal composites, such as WN, TiN, or TaN. The integration scheme for the formation of the gate structure depends on the device type; logic and memory devices have very different gate structures. The photoresist can be removed from the feature directly after the etch process or when a hard mask is used, the photoresist is sometimes removed after the hardmask etch, then the gate layer is delineated without photoresist, as the photoresist may adversely affect the etch process. These two integration schemes are shown in Figure 6.2-11 . The hardmask may be kept in place, for example if it is composed 6: Plasma Stripping and Cleaning, Hess and Reinhardt 373

(A) Photoresist (B) Hard Mask

Device Feature

Strip Strip

Etch

Removal

Figure 6.2-11 Various integration schemes for gate delineation, (A) shows the gate structure is delineated with a photoresist mask and (B) shows the gate structure is delineated with a hardmask. The photoresist is fi rst removed before the gate structure is etched. of SiO or SiN, or may be removed if it is TiN or an etchable material such as amorphous carbon. Amorphous C may be simply removed with an O2 plasma similar to that used in stripping bulk photoresist. The gate feature is typically etched with Cl- or Br-based chemistries, sometimes containing C, to provide directionality to the etching process by preventing lateral etching and thus a reduction in critical dimension by passivation of the sidewall. Cleaning the sidewall polymer of the polysili- con gate feature or stacked silicide gate feature can prove diffi cult due to the resulting residues generated by the use of these gases. Fluorine-con- taining gases are also used to provide acceptable etch rates and oxygen- containing gases or O2 are used to improve selectivity to the underlying SiO 2 . Concentrations of 2 – 3 vol % of these additives have been success- fully used to clean the post-etch residue on a dual powered reactor as described in Section 6.4.1.1 [ 37 ]. 374 Dry Cleaning Processes

Carbon is incorporated into the fi lm either from photoresist decom- position during the etching process or from the etching chemistry [ 38 ]. Figure 6.2-10a shows a cross-sectional scanning electron microscope (SEM) image of a polysilicon gate after etching but before stripping and cleaning. The polymeric residue on the sidewall is clearly delineated as is the bottom anti-refl ective coating (BARC) and the photoresist. Figure 6.2-10b shows the top view of the polySi gate after strip but before clean; the residue “ fence ” is clearly visible. The polymers formed on the sidewall are diffi cult to defi ne quantita- tively because of the small analysis area; the line height may only be a few hundred nanometers. However, some studies have reported detailed chemical information concerning such residues; see for instance [38– 40 ]. Figure 6.2-12 shows X-ray photoelectron spectroscopy (XPS) spectra

Si 2p 75°

45° 15°

115 110 105 100 95

O 1s 1s 75° 45° 15°

544 542 540 538 536 534 532 530 528 526

C 1s 75° 45° 15°

296 294 292 290 288 286 284 282 280 Binding Energy (eV)

Figure 6.2-12 XPS spectra of post-polysilicon gate etch residue showing the bonding peaks for Si, O, and C [38]. Reproduced by permission of ECS - The Eledtrochemical Society from Lee, C.W., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 375

of sidewall polymer deposited during a polysilicon gate etch using Cl 2 / HBr/He/O 2. The major components of the residue are Si and O with some C. The spectra indicate that the fi lm is mainly composed of Si, apparently from byproducts formed during the etch process that deposit along with the C-containing sidewall polymer, although both Si and SiO2 are present. The C observed can also be attributed to the presence of photoresist [38 ]. Analysis of this polymer also shows the presence of halogens, both Cl and Br, used for etching with the gas chemistry Cl2 /HBr/O2 . The approxi- mate concentrations of the polymeric fi lm on the photoresist sidewall are C 10 % , Cl 29 % , Br 3 % , Si 30 % , and O 28 % . The polymer is slightly different on the photoresist as compared to the polysilicon feature. When using an oxide hard mask instead of photoresist the polymer contains no C [ 39 ].

Further studies have shown that a thick SiO x Br fi lm is formed not only on the sidewall but also on the bottom oxide of the gate structure [ 40 , 41 ]. The sidewall residue remains after the plasma strip process and must be removed to prevent unwanted contamination of the polysilicon or gate oxide and to allow adequate adhesion of the next deposited layer. Because the side- wall is mainly composed of Si and SiO 2, etching the sidewall will also etch the gate dielectric and the polysilicon gate features. Therefore removal of the polymeric sidewall residue requires a process that minimizes the unwanted etching. Plasma stripping and cleaning recipes that minimize the gate dielec- tric loss are shown in Table 6.2-3 . These recipes are for both an inductively coupled plasma (ICP) and a microwave downstream (MWD) source [42 ]. The processes are multi-step recipes for stripping and cleaning the photore- sist and residue from a polysilicon/tungsten silicide self-aligned gate stack. The processes are developed not only to remove the photoresist but also to remove the polymeric residue without etching the sensitive gate oxide, less than 0.5 nm is removed using either process shown in Table 6.2-3 . Note that no RF bias is used in either recipe.

Although wet cleaning after an O 2 plasma photoresist strip process is quite common, the use of a plasma cleaning process can minimize the gate oxide loss while removing the sidewall polymeric material. The sidewall material is typically removed along with the photoresist in an O 2 -based plasma with a F-containing additive. Kabansky [ 43 ] recognized that for the post-strip clean, the combination of MW and RF power is required to retain the sidewall profi le of the gate stack. In this case, when an O 2 -based plasma is used to etch a W – WSi – polySi structure, the addition of CF4 , even with RF, causes degradation of the gate stack profi le [ 43 ].

The use of forming gas-based chemistries (4 vol% H 2 /N2 ), although not needed for 90-nm node technologies, may replace the O2 -based plasma recipes, since O 2 may cause unwanted oxidation of the Si substrate as the gate oxide thickness is reduced in future nodes. 376 Dry Cleaning Processes 2 2 Purge 2000 sccm O 2 200 sccm N 4 Removal Removal 30 sccm CF 900 W 0 W 3000 sccm O 2 2 Strip Step 3 Step 4 Step 5 200 sccm N 900 W 1.1 Torr 1.1 Torr 1.5 Torr 4000 sccm O 2 2 2 2 /N 2 Step 2 Step 2 Plasma Photoresist Residue Chamber Stabilization 2000 sccm O 200 sccm N 950 W 1.1 Torr 2000 sccm O H 10% 1100 W 1.2 Torr 2 2 2 /N 2 Step 1 Step 1 Ambient Ambient 200–300°C 200–300°C Ambient None Stabilization 0 W 2 seconds 45 seconds 400 sccm 5– 20 seconds 90 seconds 0 second 400 sccm 5– 900 W 60 seconds 1.5 Torr 1.5 Torr 2000 sccm O 1.2 Torr 2000 sccm O Stripping and Cleaning Stabilization Process Gas 10% H A: Post-gate Process Additives Process time 10% plasma Microwave Additives Process time plasma Microwave Pressure B: Post-gate Process stripping and cleaning process Strip Photoresist ow Gas fl Residue Removal 275°C Pressure 130°C Temperature Gas fl ow Gas fl Table 6.2-3 Table Coupled Plasma Module (A) and a Inductively for Strip and Clean Processes Photoresist Post-gate for Process Module (B) [42] Microwave Downstream Temperature Temperature 6: Plasma Stripping and Cleaning, Hess and Reinhardt 377

Cleaning post-etch contact, via, and trench polymer

The contact and via features provide a conduction path between the working transistor and the metal layers or between the metal layers in an integrated circuit device. The contact and via structures are typically high aspect ratio features, etched into a dielectric, that are subsequently fi lled with metal. Typical dielectrics used for such purposes are tetraethylortho- silicate (TEOS), boron phosphorus silicate glass (BPSG), or undoped sili- cate glass (USG); however, low dielectric constant (k ) materials are cur- rently more prevalent for low capacitance/high-resistance interconnects for logic devices. Since metals (e.g., TiN, Al, and Cu) may be present at the bottom of the via, metal sputtering onto the sidewalls during the etch process can occur. The metal is thus embedded within the polymeric resi- due, requiring that this additional contamination be effectively removed to prevent a possible leakage path between the vias. Additionally, organic BARC fi lms (e.g., polymimide) are used to assist delineation of deep con- tact and via structures to prevent refl ective notching. Therefore, these fi lms must also be removed. Figure 6.2-10c, d shows cross-sectional SEMs of the polymeric residues on the sidewalls of a contact and via. The contact SEM shows the feature before the stripping and cleaning steps, and polymer is clearly visible on the sidewall and the bottom of the feature. The resist is reticulated and dehydrated, and likely has a polymeric crust. The via SEM shows the fea- ture after stripping, but before cleaning, where the sidewall polymer is apparent and a “ via veil ” is evident. The XPS spectra of the polymer formed during a via etch process and the surface after a cleaning process are shown in Figure 6.2-13 . The polymer was formed on a blanket Si wafer that was etched in a reactive ion etching (RIE) plasma reactor. Polymer composition is mainly C and F, with O and a slight amount of Si [ 44 ]. The fl uorocarbon that forms has been referred to as Tefl on ™ by many etch engineers. The bonding structure of the polymer formed in a high-density plasma (HDP) and a transformer coupled plasma (TCP) or a magnetically enhanced plasma reactor (MERIE) is shown in Figure 6.2-14 [ 45 ]. The polymer that forms during the dielectric etch process is highly cross-linked as shown in the XPS spectrum in Figure 6.2-13 ; clearly, the HDP polymer has a larger amount of C cross-linking than does the poly- mer from a TCP or MERIE plasma. Nevertheless, both polymers have a signifi cant amount of F making them very hard to remove in an O 2 -only plasma. The plasma clean chemistry that works best for removing these polymers contains F additives such as CF4 , NF 3 , and SF6 , either with an 378 Dry Cleaning Processes

Figure 6.2-13 XPS survey spectra of dielectric etch residue showing the fl uorocarbon-rich polymeric composition [44]. Reproduced by permission of ECS—The Electrochemical Society from Mikulan, P., Fonash, S., Reinhardt, K., and Ta, T., Third International Symposium on Cleaning Technology in Semiconductor Device Manufacturing.

Figure 6.2-14 XPS high-resolution spectra of dielectric etch residue showing the C bonding structures [45]. Reproduced by permission of ECS—The Electrochemical Society from Armacost, M., Marks, J., and Yang, C.-L., Proceeding of the Symposium on Highly Selective Dry Etching and Damage Control. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 379

O2 -based or a H 2-based plasma. The F-containing gases are able to etch the polymer, by forming volatile C x F y species, and can also etch any embed- ded Si in the polymer. However, due to the presence of F, the profi le and CD of the device feature can be altered. An additional component of the polymeric residue occurs when Si is present as an etch stop; an example of such a situation is a DRAM (dynamic random access memory) contact etch. The C can react with Si and form a C – Si bond, as shown in the XPS spectrum in Figure 6.2-15 . Due to C incorporation, the C – Si layer increases the contact resistance. Furthermore, this C– Si bond is very stable, having approximately the same bonding energy as C – C bond. As a result, a typical O2 plasma clean pro- cess does not easily remove this layer. The Si may also be damaged during the etch process and must be removed with a soft Si etch process. Fluorine may be added to the cleaning chemistry, which assists removal of the thin C – Si layer as well as the sidewall fl uorocarbon polymer. When metals such as Al or TiN are exposed to the plasma during the via etch process, metal may sputter onto the sidewall and combine with the fl uo- rocarbon polymer. Figure 6.2-16 shows an EDX (energy dispersive X-ray) spectrum of the sidewall polymer, the “ via veils” , with embedded metal. In such cases, metal residue can be oxidized when using an O2 -based stripping chemistry. Since aluminum oxide, Al2 O3 , is insoluble in most commercially available cleaning chemistries, either a reducing chemistry or F-addition is used to transform the Al oxide into a water-soluble product.

Many researchers have investigated the use of H2 -based plasma for- mulations for various applications such as cleaning Si prior to epitaxial

C -C

C -CFx C -Si

295 290 285 280

Figure 6.2-15 XPS high-resolution spectrum of dielectric etch residue showing the carbon-bonding structure [46]. Used with permission from Materials Research Society. Reprinted from Reinhardt, K., DiVincenzo, B., Yang, C.-L.,

Arleo, P., Marks, J., Mikulan, P., Gu, T., and Fonash, S., “An Effective In situ O2 High Density Plasma Clean,” Mat. Res. Spc. Sump. Proc., Vol. 315, Pittsburg, PA, (1993) page 267. 380 Dry Cleaning Processes

Ti F Al C Si O Si 200 400 600 800 1000 1200 1400 1600

Figure 6.2-16 EDX stpectrum of dielectric etch residue showing sputtered metal embedded into the fl uorocarbon polymer [47]. Reproduced by permission of ECS—The Electrochemical Society from Wang, Y., Graham, S. W., Chan, L., and Loong, S. T., J. Electrochem. Soc.

deposition, as discussed in Section 6.2.6. More recently, in order to clean the polymer that is formed during the via etching process, interest has shifted from using O 2-based to H 2-based formulations. This change has occurred due to the ability to remove F-containing polymers in H2 -based plasmas, to prevent unwanted oxidation of the barrier materials, and also to prevent damage to the sensitive dielectric material. Somashekhar et al. have shown that H 2 -based plasmas in a downstream reactor are capable of removing polymer from via structures, both on the sidewall and the bot- tom without causing undesirable oxidation of the TiN over an Al metal layer [48 ]. Simko and Oehrlein have compared the cleaning ability of both

O2 and H2 plasmas and the effect of these plasmas on the oxidation of Si [49]. Silicon oxidation by the O 2 plasma is very apparent, while minimal oxidation of the Si occurs in the H2 plasma. However, O2 is more effective at cleaning C from the surface, while H 2 is better at cleaning F from the surface, as shown in the XPS spectra in Figure 6.2-17. Such results suggest that oxidation can occur on other substrates as well. The dual damascene etching and stripping process fl ow is shown in Figure 6.2-18, where the inter-level dielectric fi lm is a low- k material. The ITRS [1] indicates the k-value for dielectric fi lms for various process nodes. For 65 nm devices, the fi lm is expected to be a carbon-doped oxide (CDO) with a bulk k value of approximately 2.5. The cleaning method required is dependent on the type of low-k material and the integration scheme used in the formation of the dual damascene structure. Other dielectric fi lms that may be used for nodes beyond 65 nm include polyarylene ethers, fl uorinated polyarylene ethers, and alkoxysilane compounds, formed either by vapor deposition or spin-coating. The C content is expected to increase with the incorporation of porosity into the low-k material to further reduce the dielectric content. The increased C content imposes diffi culties when using an O 2-based plasma for stripping and cleaning because C is etched 6: Plasma Stripping and Cleaning, Hess and Reinhardt 381

Figure 6.2-17 XPS spectra showing a comparison of O2 and H2 remote plasma cleaning of post oxide etch residue [49]. Reproduced by permission of ECS - The Electrochemical Society from Simko, J. P., Oehrlein, G. S., and Mayer, T. M., J. Electrochem. Soc. 382 Dry Cleaning Processes

(a) Resist

BARC Sidewall Residue Oxide Cap

Low-k Dielectric

Barrier Dielectric

Copper

(b) Resist

BARC Sidewall Residue Oxide Cap

Low-k Dielectric

Barrier Dielectric

Copper

Figure 6.2-18 Cross-section schematic of dual damascene (a) via and (b) trench etch and strip process fl ow.

from the fi lm, rendering the fi lm SiO2 -like. Inclusion of pores in the dielectric fi lm also causes diffi culties in wet cleaning and drying, that may require plasma cleaning to facilitate removal of liquid from the tiny pores, which in some cases are <3 nm [ 50 ]. Cleaning of the low-k dual damascene structure requires successful removal of the photoresist and BARC fi lms. No bowing or other modifi ca- tion of the via and trench profi le, no change to the critical dimension of the via and trench feature, and no alteration of the dielectric constant from plasma damage may occur. The sensitive low-k material may be damaged during the cleaning process by abstraction of C from the CDO. Detrimental shrinkage of the fi lm and an increase in the dielectric constant also occur. Contamination that may hinder a low-resistivity contact between metal lay- ers, present at the bottom of the via, must be avoided. Sputtering of Cu during the photoresist stripping and residue removal process should be avoided, as this may lead to undesirable leakage and, in the worst case, a short between metal lines. Overviews of the challenges of low-k/Cu stripping and cleaning are outlined by Louis et al. [ 51 ] and Baklanov et al. [ 52 ]. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 383

Depending upon the specifi c process used, low-k fi lms that are hydro- phobic before the stripping and cleaning process may become hydrophilic and in some cases hygroscopic, thereby absorbing H2 O from the atmo- sphere and generating an adverse effect on the dielectric constant. Although baking or annealing sometimes eliminates this problem, water absorption is a serious concern with porous low-k materials [53 ]. Pore-sealing, in which the pores are chemically sealed by plasma exposure or other methods such as supercritical fl uids, discussed in Chapter 7, are used to inhibit diffusion of H2 O or other unwanted impurities into the pores. However, plasma expo- sure often densifi es the fi lm and sidewall by forming a thin layer of SiO x from the CDO material. Plasma recovery of the dielectric constant value after stripping and cleaning has been reported, usually by using a reducing chemistry [52 ]. Whether such processes recover the low-k properties by removing H 2 O embedded in the material or by substitution of O in the CDO with H, is unclear at present. Stripping and cleaning polymeric fi lms after dielectric etch is similar to cleaning polymeric fi lms deposited during the polysilicon gate etch or to removal of the crust that forms during a high-dose implant step, described in Table 6.2-2 . Both O 2 - and H2 -based chemistries are used to strip the photoresist and to clean the sidewall and bottom polymeric residue. Oxygen-based chemistries are preferred due to the faster rate of photoresist removal; however, the O 2 -based cleaning recipes can cause severe dam- age to the C-rich low-k materials. In instances where O2 plasmas may damage the low-k material, H 2 -based plasma recipes have shown promise. The addition of F can effectively etch the sidewall and bottom polymer. When the dielectric etch stop is a metal layer, the reducing chemistries and sometimes F-based chemistries, may volatilize metal contamination, or at least render the metal soluble in H2 O-based cleaning chemistries. Oxygen containing chemistries oxidize the metal, creating non-volatile products that may be insoluble in the subsequent wet cleaning solution. Water is sometimes used as an alternate plasma additive since it provides oxidizing capability, but is less aggressive than O 2 [ 54 ]. In addition, inert gases such as He or Ar may be added in place of N2 . Temperatures used to strip and clean low-k materials vary depend- ing upon the specifi c process requirements. High temperature removes photoresist and residues rapidly and may deter the condensation of H2 O, while low temperatures may limit the damage to low-k materials by reducing reaction rates with low-k fi lms during the stripping and cleaning process. Ion bombardment controlled by substrate bias has been effec- tive in removing residues on the sidewall and via bottom, as well as the crust on top of the photoresist. However, ion bombardment can sputter 384 Dry Cleaning Processes 2 2 550 sccm H 2000 W 2 option 2 0 W 3500 sccm O N 2 O 3–15 vol% 550 sccm N 2 removal strip/residue strip 150 sccm H strip 0 W 4 Process 2 /N 2 0 W 5000 sccm 5% H 50 sccm CF 3000 sccm H 2 4 2 option 80 sccm H 2000 sccm N 50 sccm CF

2 2 Dual Damascene Photoresist Crust Removal, Stripping, and Residue Removal Processes Stripping, and Residue Removal Crust Removal, Dual Damascene Photoresist

k 150 sccm N 3000 sccm O 1.4 Torr 1.4 Torr 1.4 Torr 1.5 Torr 1.0 Torr 2.0 Torr 1.0 Torr 0 W 0 W LK1 LK2 LK4 LK5 LK6 LK1 LK7 k Stripping and Low- Cleaning Process Process step ows Gas fl Crust removal Crust removal Photoresist strip Photoresist Photoresist Photoresist Temperature 25–250°C Ambient 370°C 250°C 250°C 20–30°C 370°C 250°C 250°C Ambient Pressure 25–250°C Temperature Reference [54] [58] [57] [59] [58] [60] power Microwave RF power 1100 W 1100 W 1500 W 1400 W 1400 W 1000 W (Top) Table 6.2-4 Table Low- for Processes 6: Plasma Stripping and Cleaning, Hess and Reinhardt 385 exposed Cu. Typical processes used for low-k stripping and cleaning com- piled from various sources are shown in Table 6.2-4. Cleaning chemistries used on the via layer that affect the subsequent trench photolithography step should be avoided [55 ]. Poisoning of the photoresist occurs when amines (bases) are present and the photoactive compound is thus rendered inactive [ 56 ]. Table 6.2-4 shows that many plasma chemistries now exist for clean- ing low- k materials; the wide range of gas chemistries, temperatures, and other process conditions suggests that there is no generally agreed upon or fundamental understanding currently available for the formulation of these recipes. Nevertheless, the process conditions reported have resulted in impressive results. Removal of the photoresist after the etching process is sometimes performed in situ at the same time that the etch chamber is being cleaned of the built-up organic residue from the stripping process. However, transfer of the wafer to an ex situ plasma strip system is typically performed for most layers in IC manufacturing. Recipe LK7 is an in situ plasma-cleaning recipe. All other recipes in Table 6.2-4 are performed in separate cham- bers. In this case, the in situ strip process is performed to ensure simplicity, while the post-Al etch in situ strip is performed due meet a technical requirement: prevention of corrosion. Savas et al. shows the results for stripping and cleaning photoresist and residue from low-k dielectric materials using an ICP reactor [61 ]. The researchers report that the strip process is effi cient at stripping photoresist without removing or reacting signifi cantly with the organic components of the low-k material due to the use of a low-pressure, O2 -based, ion- activated process. Savas et al. suggest that the process is successful because it exposes etched sidewalls to a higher ratio of ion fl ux than O radical fl ux and reduces the O diffusion into the low-k material; the process thus prevents abstraction of C from the sidewalls, while the ion impact effectively seals the sidewalls, and inhibits O diffusion into the low-k dielectric [ 61] . Additional work on stripping low-k materials in an

ICP chamber using various gas mixtures of N2 /O2 , O2 /H2 , and N 2 /H2 , has been performed by Stucchi et al. [62] , who reported that the gas chemistry selected affected the via profi le.

6.2.5 Post-etch aluminum stripping and cleaning The post-etch residue after Al etch is unique because the polymer is purposely formed to prevent undercut of the lines during Cl2 -based etch- ing. The Cl-rich polymer must be removed because if exposed to air, HCl 386 Dry Cleaning Processes will form that will corrode the metal. Additionally, the photoresist collects the corrosive gases and vapors such as AlCl3 and thereby contributes to the potential for corrosion due to out-gassing. Therefore, in situ stripping of the photoresist and cleaning of the residue is performed as the stan- dard process in most manufacturing facilities. Either partial or complete stripping of the photoresist and residue is performed in the etch chamber,

typically followed immediately by wafer cleaning with solvents or H2 O- based cleaning formulations, to prevent corrosion. In some cases, as reported by Christaud et al. [63] , after etching and stripping, wafers are transferred to the plasma cleaning system. The polymeric residue is removed using CF 4 and forming gas (N2 /4 vol % H2 ) at a temperature of 75 ° C. Finally the wafer is processed through a DI H 2 O rinse.

6.2.6 Pre-epitaxial deposition cleaning Epitaxial deposition is used to create an epitaxial (epi) layer (homoepitaxial or heteroepitaxial), such as Si, Ge, SiGe, SiC, or SiGeC on the Si substrate. The applications include epitaxial Si or SiGe on Si substrates for SOI (silicon on insulator), epitaxial wafer formation, and strained Si formation on the source drain areas for raised junctions. Remote plasma cleaning was fi rst used for oxide removal from III– V materials and Ge surfaces [64] . In situ pre-epi deposition plasma-based cleaning processes have been developed for these applications [65] . In some cases a single chamber can be used for both the cleaning cycle and the deposition cycle [66– 69 ]. The goals of cleaning the substrate before epi deposition are the removal of native SiO2 and adsorbed C, plus the removal of particles and metallic contamination, without roughening the surface [70] . Cleaning of such surface impurities is important for good adhesion and fi lm resistivity control. Typically, pre-epi cleans are a two-step process (1) an RCA-type clean followed by (2) in situ or ex situ liquid or H-based vapor or plasma clean and passivation [71] . The RCA-type clean removes the particulate and metallic contamination along with the thick oxide. The in situ or ex situ clean removes the oxide and C contamination and passivates the surface with H to allow uniform epi deposition. Refer to Chapter 9 for discussion of the need for smooth and H-terminated Si surfaces. There are many ways to effectively clean the Si surface before epi depo- sition. Chapter 5 discusses passivation of the Si surfaces using HF in the gas-phase and UV-assisted treatments. Another method uses a high tem- perature H 2 bake (>900° C), which reacts with surface C and O to form 6: Plasma Stripping and Cleaning, Hess and Reinhardt 387 volatile products. Typically, CVD epi deposition takes place at 700– 800 ° C and molecular beam epitaxy (MBE) takes place at 600 – 650 ° C, although lower temperatures are desirable as discussed further in this section. Yew and Reif have shown that physical sputtering processes, using Ar or Ar/H 2 plasmas, can be used to remove impurities from Si surfaces at low temperature; such processes require subsequent high-temperature anneals to recrystallize the surface which is amorphized by the ion bom- bardment [72 , 73] . Because of this requirement, direct ion bombardment is rarely used for pre-epi surface conditioning. Another, less damaging method is remote plasma surface conditioning, using H2 , which is a viable low-temperature cleaning process for pre-epi deposition as discussed later in this section. The majority of remote plasma cleaning for pre-epitaxial and deposition has revolved around H-based plasmas. The temperature should be low during epi deposition. The necessity for low-temperature epitaxial technology is due to the low thermal budget required to maintain doping profi les for shallow channel structures [ 74 ]. Plasma processes allow a higher reactivity at lower temperatures. For bulk epi deposition, it is not as important to have lower temperatures, as the dop- ants have not been introduced into the Si, except for BiCMOS and bipolar devices. Low-temperature remote H 2 plasma cleaning of Si surfaces for epitaxial growth at low temperature has been well documented [ 72 , 73 , 75] , typically with a wet RCA-type preclean. In addition, ECR (electron cyclotron resonance) cleaning [76 , 77] has also been reported, and many CVD manufacturers have adopted this method of cleaning. Carter et al. has shown that cleaning Si in the presence of SiO2 lines with remote H2 plasmas did not adversely etch the SiO2 lines or affect the roughness or cleanliness of the Si surface [ 78 ]. An RCA-type wet chemical treatment, typically performed prior to the

H 2 -based remote plasma cleaning, yields wafers with low levels of surface O and C. Streaks on the surface after epi deposition are often observed when the wafers are processed without H2 passivation of the wafer surface, whether in situ or ex situ. In some cases the streaks are formed from incomplete drying or from incomplete removal of metallic or organic contamination due to an unoptimized cleaning process. Refer to Chapter 4 for epi deposition wet pre-clean and Chapter 10 for the analysis of Si surfaces. Analysis of the epi surface is discussed by Montgomery et al. [ 79] and Delfi no et al. [80 , 81] . Various analytical methods have been used to evaluate Si surfaces after cleaning and epi growth. For instance, XPS is a frequently employed technique; additional methods include spectro- scopic ellipsometry for process optimization, discussed by F ö rster et al.

[ 82 ], analysis of the properties of the strain in Si after H2 /Ar remote plasma 388 Dry Cleaning Processes cleaning in a ultra high-vacuum chamber are presented by Ramm et al. [ 83 ] and analysis of the surface by XTEM (X-ray transmission electron micros- copy) is presented by Schneider et al. [ 84 ]. The effi ciency of the remote plasma cleaning process is highly depen- dent on processing conditions; the plasma source and power, pressure, gas chemistry and fl ow, process gas purity, and temperature. In typical remote plasma cleaning processes, atomic H etches the Si surface from room temperature to 700° C [85 , 86 ]. The etch rate decreases as the tem- perature is increased. These remote H 2 plasma treatments are very effi cient in removing C-containing contaminants and also for removing native SiO2 and passivating the Si surface [ 65 , 71 , 87 , 88 ]. Figure 6.2-19 shows XPS spectra for a Si surface after cleaning with a remote H2 plasma. Removal of O on the surface is critical for formation of a defect-free interface between the epitaxial layer and the substrate. The O on the Si surface can assume a variety of bonding states, and as the oxide thickness increases the struc- ture of the oxide changes [ 89] . Relatively small amounts of C and O that existed on the surface after wet chemical treatments are removed by the remote H 2 plasma treatment. Generally, it has been easier to remove C than O from the Si surface. Figure 6.2-20 shows a SIMS (secondary ion

Figure 6.2-19 XPS spectra of a Si 〈100〉 surface after exposure to various processing conditions, (a) prior to processing, (b) after processing with remote

H2-based plasma, and (c) after anneal. [87]. Reprinted with permission from Thomas, R. E., Mantini, M. J., Rudder, R. A., Malta, D. P., Hattangady, S. V., and Markunas, R. J., J. Vac. Sci. Technol., A10(4):817 (1992). Copyright 1992, American Institute of Physics. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 389

Figure 6.2-20 SIMS depth profi le of the epitaxial fi lm/Si substrate interface obtained by low-temperature downstream plasma cleaning of the substrate prior to deposition [68]. Used with permission of Semiconductor International. mass spectroscopy) profi le of the epi layer on the Si substrate; clearly, the interface is free of O and C and the B profi le is uniform.

After the remote H2 plasma clean process, the Si surface is structurally well ordered, free of O and C, and is H-terminated. This allows low defect density deposition of both Si and SiGe, resulting in ultra-low temperature Si homoepitaxy at temperatures as low as 150° C [90] . It is important to remove the H on the Si surface before deposition, which can be accom- plished by annealing at a temperature greater than 500 ° C. Thomas et al. [87] showed that the temperature of cleaning is also important; at higher temperatures, 700° C, carbon was more effectively removed from a Si sur- face in H2 plasmas than at 480 ° C. Kishimota et al. [ 91] has shown that temperature also has an effect on the removal of SiO x from the Si surface. In situ processing is usually performed, either in the same chamber or in a separate chamber, on the same system platform, since a vacuum break may cause undesirable oxidation [92] . However, Strass et al. have shown that the Si – H terminated surface is stable for more than 24 hours due to the presence of buried H in the Si crystal lattice when prepared with a H2 plasma [92] . Passivation of the surface dangling bonds with H results in surfaces that are more resistant to oxidation than the non-H-terminated surfaces. Anthony et al. [ 90 ] showed that Si surfaces were more resistant to oxidation and demonstrated the effectiveness of H2 plasma treatments for removing O from oxidized surfaces. The experiment showed that 10 hours after a modifi ed RCA treatment, substantial C and O appear on the surface of a Si wafer when exposed to atmosphere even though immedi- 390 Dry Cleaning Processes ately after the wet cleaning step there was little O on the surface and no AES detectable C. However, after the wet cleaning steps and exposure to a H2 plasma, O was removed from the Si surface. Atmospheric exposure of the sample resulted in an uptake of O similar to what was observed after wet chemistry, but the surface showed a signifi cantly lower uptake of C. Ramm et al. showed using SIMS depth profi les, that at the Si epi-wafer interface there was approximately 1 × 10–3 monolayers (ML) of O and less – 3 than 1 × 10 ML of C when H 2/Ar plasma cleaning of the wafer surface was performed [ 93] .

6.2.7 Pre-high- k deposition and oxidation plasma cleaning Cleaning of the silicon surface before high-k deposition is usually per- formed with an HF vapor and UV (ultraviolet) clean. However, remote

H 2 -based plasmas are also affective at removing unwanted oxides and preparing the surface for deposition in the same manner as pre-epitaxial deposition cleaning [ 94] .

6. 2.8 Pre-metal and pre-dielectric deposition plasma cleaning Cleaning of features before metal deposition is critical, as native oxide and other contaminants may adversely affect the electrical properties of the IC device. Native oxide forms on the surface from exposure of Cu fi lms to an O2 -containing atmosphere; the resulting surface layer is composed of Cu2 O, CuO, Cu(OH) 2, and CuCO 3 [95 ]. This native oxide prevents a uniform conduction path between two metal layers, thereby increasing the resistance. Furthermore, contamination, especially metals, establishes a leakage path by diffusing into the dielectric. Additionally, an uneven thick- ness distribution of native oxide prevents uniform deposition of the metal, sometimes completely inhibiting fi lm deposition. To remove this native oxide, an in situ clean step is typically performed immediately before the barrier metal deposition step. This step can either be performed in the same chamber as the deposition or in a chamber adjacent to the deposi- tion chamber, to avoid a break in the vacuum and thus exposure of the wafer to O2 . Sputtered metals from the preceding etch process or from the etch reaction as well as post-etch polymeric residues can also be pres- ent. Wet chemical cleaning processes usually precede the pre-deposition cleaning step. These wet cleaning processed are discussed in Chapter 4. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 391

One method of pre-deposition cleaning is sputter etching. Sputter pre- cleaning of contact and via features is used when the underlying substrate material is relatively resistant to the sputter etch processes. Sputtering causes redeposition of Si and SiO2 , and sputters soft metal layers such as Al and Cu. Copper devices usually do not use sputter etching, but rely on downstream H-based cleaning. Sputter etching is used for Al stack deposi- tion pre-cleaning and is effective for removing native oxides and contami- nants in large features or in small features (<0.5 µ m) with aspect ratios of the order of ∼ 4:1. Care must be taken to prevent resputtering of material onto the sidewalls of features. For features having high aspect ratios, resputtering of material from the bottom to the sidewalls of the feature during the cleaning process may result in signifi cant narrowing of the feature and a correspond- ing increase in resistance of the feature. Also, sputter etching may widen some features, cause a retrograde angle to the feature cross-section, or round the top corners; all of which may impair device performance. A remote ECR plasma cleaning process prior to CVD of Ti uses a mix- ture of Ar and H2 [ 96] . Argon is usually used in sputter etching processes and provides signifi cant ion bombardment. While this is advantageous for sputtering impurities from the bottom of the feature, the bombardment may resputter the underlying metal onto the sidewall. By using a remote plasma with Ar, directionality is provided and re-sputtering is minimized.

The addition of H2 allows removal of the native oxide and promotes forma- tion of a uniform TiSi x layer between the Si substrate and the deposited Ti. Cleaning Cu with a H 2 downstream source is disclosed in US patent 6,905,965 [ 97] . First, a F-containing plasma is used to remove SiO2 and fl uorocarbon polymers, then an in situ reducing gas is used to convert the CuO to Cu without sputtering the Cu sub-layer. Table 6.2-5 shows the recipe used for this process. This process is used before barrier deposition of

Table 6.2-5 Process for Removing Oxides from a Cu Surface Before Barrier Deposition [97]

Process Step CuO Reduction

Gas fl ows 90% H2/10% He, Ar or N2 RF 400 kHz power 300 W RF 13.56 MHz power 10 W Pressure 2–20 mTorr 392 Dry Cleaning Processes

Ta/TaN in a physical vapor deposition (PVD) chamber or TiN in a CVD chamber. Characterization of the Cu surface after a thermal treatment and exposure to reducing plasma adequately removes the CuO x layer [ 95 ]. In this process, H2 O and CO2 desorb during the thermal treatment and the H2 plasma reduces the remaining CuO x . When the temperature is below 150 ° C, a H 2 plasma cannot completely reduce CuO x . Falkenstein et al. reports another method for cleaning oxides from Cu surfaces by using an Ar and fl uorocarbon (C 2 F 6) plasma treatment [98] . However, stress migration of the Cu can be induced due to an aggressive Ar sputter etching pre-cleaning step [ 99] .

6.2.9 Other applications of plasma cleaning Soft etching of a surface previously exposed to a plasma is another surface conditioning method. These processes remove the thin damaged layer with a slow, controllable etch rate that avoids resputtering of mate- rials from the bottom of the feature onto the sidewall, and prevents fur- ther damage. Soft etching is generally implemented after an etch process, specifi cally contact etch, where the underlying Si is damaged and thus would yield high contact resistivity; this process may be performed in situ or ex situ. The plasma system must be capable of providing a slow, control- lable, and uniform etch, with adequate selectivity. In principle, any etching system may be used; however, plasma resist stripping systems, especially those confi gured with RF bias capabilities, are typically preferred. A soft etch process that uses an ICP with Ar to remove native oxides from an Si substrate has been described by Sumi et al. [ 100] . Alternatively, soft etching processes can use a F-based chemistry insitu within an oxide etching chamber [101 ]. In this process, inert gases such as Ar and He, have been eliminated because they caused additional substrate damage. In this case, F-containing gases alone provided acceptable low etch rate and good uniformity. Atmospheric pressure plasma jet (APPJ) cleaning is used for various industrial applications such as removing paint and cleaning metal surfaces.

Arc plasma jet cleaning of the Si surface before CoSi2 /Si contact formation is reported by Ya et al. [102 ]. The plasma jet cleaning improves Schottky barrier contact parameters when compared to conventional aqueous HF cleaning and subsequent in situ Ar-ion-beam sputter etching. Auger elec- tron spectroscopy (AES) has been used to demonstrate the removal of O from the Si surface. In addition, longer exposure times between fi nal clean- ing and metal deposition may be allowed with no decrease in resistivity. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 393

6.2.10 Cleaning and conditioning plasma chambers The plasma chambers used for etching, deposition, and cleaning can become coated with the byproducts of the various reactions performed. This deposited material can detrimentally affect the process by lowering removal rates or deposition rates and also create particles that may deposit on the wafer surface. In some cases it is advantageous to coat the chamber wall with polymer or condition the chamber with gases that are used for the etch- ing or deposition process. After the vacuum chamber is opened to the atmo- sphere, H2 O may permeate the chamber material and thus must be degassed prior to subsequent wafer processing. After a wet chamber clean, the clean- ing agents may not be completely removed and they may adversely affect the process. Plasma strip chambers usually do not have the stringent require- ments necessary for etch or deposition tools; nevertheless, these chambers do experience a build-up of photoresist byproducts due to unreacted organic material, especially when a H 2-based process is used. Periodic cleaning of the chambers is advantageous to remove the unwanted residue and assure that particles are not present that can fall onto the wafer surface. Cleaning of a deposition chamber such as that used to deposit W is criti- cal to eliminate the fl aking and peeling of layers deposited on the walls of the chamber. Fluorine-based cleaning formulations are preferred, especially those that do not add fl uorochlorcarbons or other ozone depleting gases to the environment [103 ]. Discussion of this topic is beyond the scope of this book, however, many references exist for this cleaning method [ 104 – 107 ].

6. 3 Mechanisms of Plasma Stripping, Cleaning, and Surface Conditioning

6. 3.1 Overview of plasma parameters Achievement of optimum plasma generation requires control and under- standing of the important parameters that contribute to the creation of a stable and repeatable plasma. The key parameters that control the plasma and contribute to the results of the process are outlined in Table 6.3-6 .

6. 3.2 Overview of physical and chemical mechanisms for stripping and cleaning Remote plasma cleaning of surfaces during the manufacture of integrated circuits has been successfully implemented for a number of technology 394 Dry Cleaning Processes

Table 6.3-6 Overview of Plasma Parameters for Stripping and Cleaning

Pressure Power Gas Flow Temperature

High Plasma Sources Gas Types High

>1.0 Torr >65°C Low-energy Microwave Oxidizing: O Prevents

ion bombardment, downstream O3, N2O popping more chemical or inductively during effects coupled plasma HDIS

Used for isotropic Reactive ion Reducing: H2, Prevents cleaning and source NH3, H2O, impurities stripping RF H2/N2 from diffusing into oxide Usually high fl ow RIE + MW/ICP Additives: of gas is needed Fluorine:

to obtain high CF4, NF3 or pressure others inert:

He, Ar, N2

Low Power Ranges Flow Ranges Low

<100 mTorr <100 mTorr Higher energy ion MW: Low pressure: Used for rapid bombardment, 500–2500 W 10–500 sccm stripping chemical and RIE + MW: High pressure: of bulk physical effects 75–100 500–2000 photoresist Used when W RF sccm and also for RIE needs RIE: low-k directionality 500–2000W cleaning

generations. Remote plasmas may be essentially directional (anisotropic) or multi-directional (isotropic), defi ned by the parameters of power and pressure. The addition of a bias can increase the directionality of the etch process. The physical and chemical mechanisms for removal of photoresist, impurities, and residues will be discussed in this section. The controlling mechanisms for photoresist and impurity removal are important because undesirable effects such as surface roughening, damage of sensitive fi lms, or incomplete removal of residues are likely when developing a process, 6: Plasma Stripping and Cleaning, Hess and Reinhardt 395 and knowledge of the mechanism will aid in establishing a process around these challenges. Specifi cally, when developing a cleaning process, plasma reactions with the fi lm to be removed, the surface contaminants, and the substrate must be carefully considered. Plasma etching and stripping processes use both physical and chemical effects to remove photoresist, residues, and impurities from the wafer sur- face. Momentum transfer (sputtering) by ions physically dislodges residue and photoresist, and the reactive atoms and radicals chemically react with residue or photoresist, to form volatile or soluble products. High atomic weight atoms such as Ar, are especially useful for sputtering, while gases or vapors that dissociate to yield highly reactive atoms promote chemical reactions. Especially reactive species include F and O.

An O 2 -based process easily strips hydrocarbon photoresists, but is somewhat limited in removing residues, such as fl uorocarbons and metals.

Another approach to chemical removal relies on reducing gases. An H 2 - based process also strips hydrocarbon residue, but at a much slower rate than is obtained with an oxidizing process. However, H2 can reduce some metallic elements to volatile hydrides. To remove the non-volatile residues, a liquid cleaning process is usually needed after the plasma process.

6.3.3 Overview of chemistries used for plasma stripping and cleaning Many different chemical formulations are used to remove photoresist and organic contamination from wafers. Four general categories can be used to classify the gases: oxidizing, reducing, inert, and react- ing. Table 6.3-7 shows the gases generally used for photoresist stripping, residue removal, and surface passivation. Byproducts are produced during the photoresist stripping process, some of which are volatile; however, some of the byproducts are incompletely

Table 6.3-7 Categories of Gases Used for Strip and Clean Processes

Oxidizing Reducing Inert Reacting

O2 H2 He CF4 O3 H2O Ar NF3 N2O NH3 N2 SF6 O2/N2 H2/N2 C2F6 396 Dry Cleaning Processes reacted species that deposit on the walls and vacuum ports of the chamber. After a critical thickness of residue builds up on the chamber wall, the fi lm starts to peel and fl ake from the surface, generating particulate contami- nation. The residue formed may be unreacted fl uorocarbon moieties or implanted species. The chemistry and the plasma source used for cleaning clearly have an effect on the amount and type of residue deposited.

6.3.3.1 Chemistries for photoresist and residue removal Various plasma stripping and cleaning chemistries currently exist for removal of photoresist and residues. This section will cover the most com- mon chemistries used for stripping and cleaning. The chemistries used for photoresist stripping and cleaning are complex mixtures; gone are the days when a simple O2 plasma was used for “ ashing. ” The chemistries used for stripping and cleaning devices with features 45 nm or smaller are usually composed of multiple gases, all at tightly controlled levels to prevent undesirable etching of the sensitive underlying oxide and Si fi lms. Furthermore, the photoresist and residue are a complex mixture of hydrocarbons, halocarbons, carbon, embedded metallic atoms, etc. Also, the organic-based residue may contain F, making the fi lm Tefl on ™ -like. Materials that are sputtered from etching processes such as metallic con- taminants, may be embedded in the polymer. The photoresist may reticu- late during etching or other processes [ 108 ]. Many methods have been developed to remove the organic fi lms. This chapter will focus on plasma methods. The plasma process removes photoresist by reaction of plasma- generated species with the organic photoresist material. Photoresist removal is thought to occur through a process of hydrogenation or oxida- tion followed by volatilization. The photoresist can “ burn ” easily at high temperature typically caused by decomposition of the higher molecular weight hydrocarbons and rapid vaporization of solvents. The photoresist tends to shrink and form a reticulated structure, as shown in Figure 6.3-21. In some cases where photoresist is exposed to higher temperatures, a UV bake is performed to prevent reticulation. Reticulated photoresist is usu- ally harder to remove than standard photoresist; as a result, care is usually exercised during IC manufacturing to avoid this undesirable occurrence. In addition to photoresist, other materials are used in the lithography process. Prior to photoresist being applied to the wafer, a priming agent such as hexamethyldisilazane (HMDS) is usually coated on the wafer to promote adhesion. Due to the Si contained in this primer, residues can remain after plasma resist stripping. Organic anti-refl ective coatings 6: Plasma Stripping and Cleaning, Hess and Reinhardt 397

Figure 6.3-21 An example of reticulated photoresist [109]; clearly, the photoresist is wrinkled in appearance. Used with permission from Matthew Last, Berkeley Sensor and Actuator Center (BSAC).

(ARC), both below the photoresist (BARC) and above the photoresist, must also be removed in the stripping process. The organic material can be effectively removed using plasma processes. Depending on the wavelength used for lithography, various additives and solvents are incorporated into the photoresist formulation; for instance photoactive compounds (PACs) such as diazo-based materials and photoacid generators (PAGs), such as onium salts are typically used. Fortunately, most of these additives are easily stripped in both oxidizing and reducing chemistries. The stripping and cleaning processes require high-purity gases; typically, 99.9999% purity is used. In-line purifi ers have been employed to further reduce unwanted background contamination, although oxidizing plasma stripping processes have a high tolerance for contaminants such as H2O and H2 . Reducing processes are also forgiving. However, for Si wafer conditioning, reducing chemistries that contain H 2O and O 2 are easily plasma activated and can oxidize sample surfaces. Most IC manufacturers rely on bulk delivery of gases with appropriate fi lters and purifi ers. Mass fl ow controllers are used to monitor and deliver precise quantities of gases into the reaction chamber. Oxidizing Chemistries : Oxidizing chemistries are typically composed of

O 2 plus a carrier gas and additives. The oxidizing species can be O2 , H 2 O, 398 Dry Cleaning Processes

or N2 O or other gas containing an O atom. Since photoresist is composed of hydrocarbons, the O2 plasmas generate O, which easily reacts with this fi lm to form volatile byproducts: m m C H + ( n + — ) O → n C O + — H O. (Eq 6.3-1) n m 2 2 2 2 2

Small amounts of N2 (3 % ) have been shown to promote dissociation of O2 to increase the stripping rate [110 ]. Oxygen is also used to react with implanted species, creating the stable oxides of P: P4 O10 , As: As 2 O5 , and B: B 2 O3 . These residues can be rinsed off the surface or cleaned in a sub- sequent SPM bath.

Reducing Chemistries: Hydrogen (H 2) plasmas are used to remove pho- toresist and some residues. Although H2 has not been used to the extent of O2 for photoresist removal due to the lower stripping rates, the driver for use of H2 in photoresist stripping and organic contamination removal is elimination of the undesirable oxidation that occurs with O 2 plasmas. The likely mechanism for hydrocarbon removal in H2 plasmas is similar to that of O2 plasmas. For instance, H radicals formed in the plasma abstract H from the photoresist and generate free-radical sites, which react further to fragment the photoresist. Atomic H also attacks double and triple bonds in the hydrocarbon materials. When saturated hydrocarbons have formed, they desorb from the surface, consistent with the observation that atomic H has the ability to etch graphite [ 111 ]. Thus, it stands to reason that hydrocarbon-based photoresists can easily be removed by hydrogenation to from volatile CH4 or higher order hydrocarbons. Any plasma reactor designed for photoresist stripping can use a H2 -based process. However in most cases, the stripping rates in a H2 plasma will be lower in a microwave system due to the lack of ion bombardment. Therefore, additives are used.

Pure H 2 can be used to increase the stripping rate. However, forming gas (4–10 wt % H2 in N2 ) is usually a safer alternative than the use of H2 . Hydrogen is also used as an additive to oxidizing chemistries. The addi- tion of H2 or H 2O to an O 2 plasma can reduce oxidation of Si or metal sur- faces. However, if complete elimination of oxide growth is required, only a H2 -based plasma is appropriate. Furthermore, the addition of a minority component of H2 O vapor increases the concentration of H radicals, which can enhance stripping rates [112 ]. Additives Chemistry: Additives for stripping and cleaning can be

F-based. Inert gases are also added in some cases. Although H 2O is considered an additive, as is H 2 in an O 2 plasma, or O 2 in a H 2 plasma, these are actually components of the reaction process. Additives, such as CF4 , have been shown to modify the main constituent of the plasma (O) to increase the etch rate. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 399

Fluorine additives are varied: NF 3 , SF 6 , CF 4 , and other fl uorocarbons can be used as a source of F in both O2 and H 2-based stripping formulations. In some cases, these additives can be used not only to enhance the strip rate, but also to remove unwanted residue during the stripping process, thereby cleaning the surface. The drawback of using F-based additives is that F etches both Si and SiO2 , thus removing fi lm and substrate layers that may not be desirable or tolerable. Figure 6.3-22 shows the selectivity of photoresist to SiO2 with various amounts of CF 4 added to the stripping chemistry formulation [62 ]. Note that the stripping rate also changes with the addition of these additives. The addition of F-containing gases into the stripping formulation pro- motes surface cleaning, as already discussed for removal of SiO x -based residue; implant residue, and metallic residue that is volatilized after com- plexation with F. Usually a H2 O rinse is required after cleaning. Additives may also be inert. Helium, Ar, and N2 are the most common. If increased ion bombardment is needed, Ar is a good choice, if increased cooling is needed, He is a good choice. However these gases are electro- positive and may affect the plasma behavior.

6.3.3.2 Chemistries for cleaning and surface conditioning Sputter cleaning and removal of surface oxides are typically performed before thin fi lm deposition. Both Ar sputter-etching and cleaning, and H2 - based oxide removal will be discussed in this section.

Figure 6.3-22 Selectivity of photoresist to SiO2 with respect to various plasma stripping chemistry formulations [62]. Used with permission from Solid State Technology. 400 Dry Cleaning Processes

Oxide removal from Si or metal surfaces occurs much more slowly than does C removal from the same surface. Because the Si– O bond strength and the O– H bond strength are comparable ( ∼ 111 kcal/mol), the reduction of Si – O is not energetically favored and high kinetic bar- riers may exist to inhibit H reduction of the oxide. However, plasma processing increases the energy of the reactant species thereby allowing H-termination of the Si surface. Also, ion bombardment breaks bonds and thus creates Si dangling bonds at the surface at which H radicals can react. Once formed, the H-terminated Si surface is in general much less reactive than the SiO-terminated surface. Therefore, an H2 plasma treat- ment provides surface passivation from ambient O 2 and also protects the surface prior to processing the Si wafer at other steps [ 88 ]. Ishii et al.

[ 113 ] have shown that a H2 plasma treatment generates minimal surface roughness and the H formed penetrates into the bulk Si. Copper fi lms that have been oxidized by wet processing steps and pas- sivated with organic complexing agents can be cleaned through the use of

H-based plasma processes, and then passivated with H 2. The Cu surface can subsequently be regenerated by heat treatments. This unoxidized Cu surface ensures low contact resistance to a subsequently deposited Cu or other metal layer. Argon sputter etching has been used to clean residues and unwanted oxide fi lms from surfaces. The relatively large atomic mass of the Ar atom results in signifi cant momentum transfer and thus sputters away the unwanted fi lm. Impurities such as O2 decrease the sputtering yield [ 114] .

6.3.3.3 Temperature Photoresist stripping at low temperature has a number of advantages.

For instance, ashing residues are kept in a H2 O-soluble form, such as chlo- rides or fl uorides, which in the case of high temperatures could revert to insoluble compounds. Such ashing also helps to minimize the thermal budget during device processing, and thereby aids in the retention of criti- cal electrical performance. Moreover, lower temperature helps avoid the popping that can occur from stripping high-dose implanted resists at tem- peratures above the hard bake temperature. Sodium and other mobile ions do not diffuse readily into the SiO2 layer at lower temperatures, which prevents potential gate oxide integrity and fi eld oxide stability problems. Savas has reported that over-stripping after the photoresist is removed and the wafer is processed for additional time increases the Na concentration [ 26 ]. Fujimura also reported that heavy metals in the photoresist could be driven into the Si substrate at temperatures greater than 300 ° C [ 115 ]. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 401

6.3.3.4 Pressure Although plasmas can be generated at atmospheric pressure, typical plasmas used in wafer processing are performed at sub-atmospheric pressures. The type of plasma sources, the material to be processed, and the application assist in determining the pressure to be used. The higher the pressure, the higher the gas fl ow needed to maintain the pressure and the less directionality achieved for the same power and bias conditions. Of course, lower pressures reduce the gas fl ow rates needed and enhance directionality. Directionality is important with respect to retaining the critical dimensions of device features when using a reactive gas. RF bias at low pressures, less than 100 mTorr, promotes anisotropy while downstream MW plasmas at pressures greater than 1 Torr, yield isotropic non-directional plasma processes. When RF and MW are used simultane- ously, the pressure is typically that used for a MW process, while the RF bias adds some directionality.

6. 4 Plasma Stripping, Cleaning, and Surface Conditioning Equipment

There are several types of commercially available plasma process systems used for resist stripping and cleaning. The most common reactor types include inductively coupled sources, and both remote (downstream) and biased (parallel plate) RF and MW discharges. Another less common source for resist stripping applications is ECR [44 ], typically used for in situ H2 cleaning before metal deposition. Except for downstream dis- charges, the development of many of these sources for cleaning has been paralleled by their development for dry etching processes. This chapter will focus mainly on a review of downstream RF, MW, and ICP sources, and will review the addition of RF bias to augment the downstream com- ponent of the reactor. Finally, ECR reactors are described briefl y.

6.4.1 Overview of plasma stripping, cleaning, and surface conditioning equipment

The evolution of plasma reactors has a long history. The fi rst reactors used in IC manufacturing, the Reinberg reactor [116 , 117 ], were used to etch polysilicon for gate defi nition. Later, plasmas were also used to remove photoresist [118 ]. The simplest and historically the fi rst plasma reactor 402 Dry Cleaning Processes confi guration to be applied to IC process technology for etching or photo- resist stripping was the barrel reactor, as discussed previously [ 119 , 120 ]. This system uses a cylindrical chamber with RF power applied to external electrodes or a coil to couple RF energy into the low-pressure gas. Mul- tiple wafers (batch process) are placed in a holder that is generally isolated from the plasma by a perforated (often Al) etch tunnel which serves as a Faraday cage to shield the substrates from ion and electron bombardment. The tunnel improves temperature and thus etching rate uniformity across the batch of wafers and inhibits radiation damage. This confi guration results in little, if any, ion bombardment and thus the etch reactions are purely chemical and yield isotropic etch profi les. Furthermore, photoresist removal rates are low due to the lack of ion bombardment and the inabil- ity to effectively heat substrates. Typically, O2 -based plasmas have been used to strip resist materials, thereby oxidizing the organic resist materials into low molecular weight, volatile, product species (e.g., CO, CO 2 , and H2 O). Removal rates can be enhanced by increasing the temperature, and by the addition of halogen-containing vapors or H 2 O vapor, both of which promote the degradation of polymer molecules. As device sizes decreased and aspect ratios >3 became the norm, aniso- tropic etch profi les were required. In the late 1970s, parallel plate reactors became the workhorse for plasma-assisted pattern defi nition [ 79 , 121 ]. Since substrates reside within the plasma, resist stripping and cleaning in such reactor confi gurations is problematic due to radiation (ion, electron, and photon) damage and surface charging. Therefore, in the mid-1980s, downstream, sometimes referred to as afterglow or remote, reactors were introduced for resist stripping and isotropic, chemically selective etch pro- cesses. In these confi gurations, a substrate is placed on a temperature con- trolled platen located downstream of the plasma, which is generated by RF (13.56 MHz) or MW (2.45 GHz) excitation. The tube connecting the plasma source and the substrate is frequently bent to eliminate line- of-sight transport of plasma fragments and photons from the plasma to the substrate. This confi guration promotes electron and ion wall collisions and so recombination, thereby minimizing or eliminating ion, electron, and photon impingement on substrate surfaces; thus, only long-lived neutral species reach the fi lm/substrate surface. As a result, radiation damage to devices is greatly reduced with downstream strippers. Batch (multiple wafer) processes were used initially in plasma process- ing in order to maximize production throughput. The continuing increase in wafer size along with the improved process control needed to achieve within wafer and wafer-to-wafer uniformity at small feature sizes resulted in single wafer approaches to etching and ultimately to resist and residue 6: Plasma Stripping and Cleaning, Hess and Reinhardt 403 removal. Throughput is a concern in single wafer systems; therefore, high strip rates are required. This is normally achieved in downstream confi gu- rations by establishing a high neutral species concentration with a uni- form fl ux to the substrate surface while maintaining a short residence time. Spectroscopic studies of afterglow or downstream plasmas have been reported [122 , 123 ]. Further discussion of the diagnostics of these plasmas is discussed in Section 6.5. High-density plasma systems such as ECR, ICP, helical resonator and helicon reactors have been prevalent in etching processes for many years. The combined advantage of low pressure, high ionization effi ciency, low plasma potential, and separation of plasma generation from ion energy control, is most attractive. However, because these sources involve high ion currents to the wafer surface, they have not been used extensively in photoresist stripping applications; their primary utility is in surface clean- ing and preparation, and of course, patterning.

6.4.2 Remote plasmas: downstream generation Typically, in a remote plasma process, a reactant gas is introduced through the plasma tube where it is ionized and/or dissociated by the plasma generator. The operating pressures are usually in the range of 100 – 1000 mtorr. Reactive species such as atomic O generated by electron impact dissociation of O2 , along with ions, radicals, and electrons are generated in the plasma-coil region, generally known as the “ glow discharge ” region. Convection and diffusion processes transport these species from the plasma region to the substrate surface thereby leading to the term “ downstream. ” In some instances, an afterglow extending from the plasma coil towards the substrate is observed under typical pressure and power conditions, although this is not always a desirable situation. The plasma tube, constructed of quartz, silica-alumina ceramic, or sapphire, is an important component, since the optimum material choice depends on the gas mixtures used and the plasma frequency. Fluorine- containing gas mixtures will etch quartz tubes. Sapphire and silica-alumina ceramic are inert to F-containing plasma chemistries, but are much more expensive than quartz. The diameter is also important, since for larger tube diameters, higher volumetric gas fl ow rates can be used. Thus smaller diameter tubes have fl ow rate and pressure restrictions that may limit the operating regimes of the plasma. Elbow bends in the downstream reactor system are also important, because one 90 ° bend is usually suffi cient to eliminate charged species 404 Dry Cleaning Processes and unwanted photons that may cause electrical damage to the wafer. In addition, materials of construction for the gas distribution showerhead and the geometrical confi guration of the holes in the showerhead are important design considerations.

6.4.3 Commercially available plasma equipment Remote or downstream plasma processing has been implemented for a variety of semiconductor single wafer processing steps and is reason- ably well understood and characterized. Parallel plate reactors for cleaning applications were available since the late 1970s, but did not gain wide- spread acceptance in the late 1990s. Barrel-type batch reactors were the fi rst commercially successful plasma stripping systems, gaining popu- larity due to the high throughput and low damage. These systems were used throughout the 1980s, in the 1990s single wafer downstream reactors replaced batch reactors due to improved uniformity and the ability to con- trol radiation damage by incorporating a 90° bend in the plasma tube as opposed to the use of a Faraday cage to prevent ion bombardment damage [124 ]. Today, plasma stripping systems have high throughput, low dam- age, high uptime, and low cost of ownership, especially when compared to other wafer processing equipment such as plasma etch, CMP, and plasma deposition systems. The frequency of the power for plasma generation was not clearly defi ned when remote plasma photoresist stripping was fi rst introduced [ 124 , 125 ]. The frequencies are now based on FCC (Federal Communication Com- mission) acceptable ranges that do not interfere with communications and are outlined by standards set by the Industry, Scientifi c, and Medical (ISM) RF equipment guidelines, CNS13803. Studies have been undertaken to determine the optimum frequency for plasma effi ciency, as discussed in Section 6.5.1 . Initially, RF sources were used for remote plasma genera- tion; however, MW is now the frequency of choice for downstream remote plasma reactors while ICP downstream reactors typically use RF sources.

6.4.3.1 Downstream RF plasma sources RF plasma sources were used initially for barrel-type photoresist stripping reactors. With the evolution to single wafer technology, RF continues to be used, although with the large cavities needed for plasma generation and thus the higher power and lower pressure for generation, MW frequencies became attractive due to the normally higher photoresist strip rates. The 6: Plasma Stripping and Cleaning, Hess and Reinhardt 405 plasma is generated through capacitively coupled plates on either side of the plasma tube or chamber. The plasma then fl ows downstream through a gas distribution showerhead to the wafer. The long-lived plasma species etch the photoresist and the byproducts are exhausted though the vacuum port. RF downstream plasma sources have been replaced by MW sources due to the generally higher effi ciency of plasma generation. Sputtering of the chamber material on the wafer is a concern anytime high- energy ions are used for etching. Aluminum contamination is of particular concern, because the majority of the process chambers are composed of aluminum. Where possible, plasma systems used for IC manufacturing, should avoid stainless steel components (chamber or fastener material), because the components of stainless steel (Fe, Ni, and Cr) cause electrical failure of devices.

6. 4.3.2 Downstream microwave plasma sources The basic design that is typical of a microwave remote plasma stripping and cleaning system is shown schematically in Figure 6.4-23. Micro- wave sources normally operate with resonant cavities, which provide higher plasma densities than RF plasmas operating in a capacitively

Gas Inlet

MW Plasma Tube

Showerhead

Wafer Platen

Vacuum Exhaust RFRF

Figure 6.4-23 Basic design of a MW remote and RF bias plasma stripping and cleaning system with the key components designated. 406 Dry Cleaning Processes coupled mode. Downstream plasma processes and confi gurations are inherently isotropic in nature, allow charged particles to be removed from the gas fl ow, but permit neutral species to fl ow unimpeded to the wafer surface. In most downstream MW plasma reactors, the plasma is generated in a tube that is inserted into a microwave cavity. Gases within the tube are excited by microwave power coupled to the gas, thus forming the plasma. The plasma fl ows downstream to the wafer residing in the pro- cess chamber. Plasma tubes are typically composed of quartz (SiO2 ), sapphire (Al2 O3 ), or composite (SiO x /AlO y ). When F-based chemistries are used, sapphire or ceramic tubes are required, as quartz tubes show signifi cant erosion. Quartz plasma tubes usually show higher removal rates than do sapphire or ceramic tubes due to the reaction of atomic O and F with these materials. Sapphire tubes are more prone to thermal stress than quartz tubes due to their higher thermal expan- sion coeffi cient, limiting the MW power that may be applied to the tube. However, sapphire tubes can be cooled to prolong their lifetime. One- piece sapphire tubes are preferable due to the manufacturing issues in creating the tubes. In some cases a 90 ° bend is included in the plasma tube to eliminate the need for baffl es to prevent direct ion bombard- ment and line-of-sight UV irradiation. Bends in sapphire tubes are much harder to manufacture than are bends in quartz tubes. For processes requiring directionality, which downstream plasmas do not provide, a separate RF powered biased electrode is used to accelerate ions toward a wafer surface. Placing the wafer on a heated pedestal or using IR (infrared) radiation regulates the temperature. Raising the wafer on pins above the heated platform is one method of wafer cooling for lower tem- perature processes; however once raised, the RF bias is not effective. Typical chamber materials are stainless steel or Al. Since the strip- ping and cleaning plasmas usually do not reach the wall of the reactor, sputtering is minimal. Because atomic O is very chemically reactive, it recombines rapidly on the surface of metals used for chambers in cleaning and stripping. The nature of these reactions and the factors that infl uence the effects, are not well understood, so manufacturers have been discouraged from using Al chambers for microwave downstream ashing with atomic O. However, quartz-lined chambers have been suc- cessfully used. Axcelis Technologies Inc., Novellus Systems Inc., and Ulvac Technolo- gies Inc. are some of the companies that manufacture downstream MW resist strip tools for the IC industry. An example of a commercially avail- able system is shown in Figure 6.4-24 . 6: Plasma Stripping and Cleaning, Hess and Reinhardt 407

Figure 6.2-24 The Ulvac Technologies Inc. Enviro. The system is composed of two processing chambers capable of processing 300 mm wafers. The system has both MW downstream and RF bias and is used for plasma stripping and cleaning. Used with permission from Ulvac Technologies.

6.4.3.3 Inductively coupled plasma sources The basic design of an inductively coupled remote plasma cleaning system is shown schematically in Figure 6.4-25. The system has a conical spiral induction coil wound around a quartz tube where the plasma is gener- ated; RF power applied to the induction coil generates a plasma. A Faraday shield is placed between the induction coil and the reactor chamber to block capacitive coupling of energy into the reactor chamber, thus mitigat- ing secondary plasma formation and minimizing the charged species that fl ow downstream to the wafer surface. For processes that require enhanced ion bombardment, a RF powered electrode may be included. 408 Dry Cleaning Processes

Figure 6.4-25 Basic design of an ICP remote and RF bias plasma stripping and cleaning system with the key components designated. Adapted from [61]. Used with permission from Mattson Technology.

Figure 6.4-26 Ion density as a function of applied ICP power [61]. Reprinted from MICRO Magazine. Copyright 2004, Tom Cheyney, Los Angeles, CA. Used with permission.

ICP plasma systems take advantage of the inductive coil to control the ion density and plasma potential while the powered electrode may be used to independently control ion energies for residue removal. Optimization of the chamber and coil confi guration produces a highly uniform plasma potential across the surface of the substrate leading to uniform ion bom- bardment. In addition, one ICP supplier uses the conical chamber and coil confi guration to produce reactive neutral species at varying distances within the chamber volume for non-ion enhanced processing. This confi g- uration provides uniform diffusion of the activated neutral species across the wafer surface and thus uniform stripping and cleaning [ 126 ]. Figure 6: Plasma Stripping and Cleaning, Hess and Reinhardt 409

Figure 6.4-27 The basic design of an I2CP remote stripping and cleaning system with the key components designated. Used with permission from Novellus Systems.

6.4-26 shows the ion density of the ICP reactor with respect to the applied power to the coils [ 61 ]. A similar design to the ICP is the I 2CP, interlaced inductively coupled plasma. In this case the coils intertwine and there is no need for a showerhead or a Faraday shield. An example is shown in Figure 6.4-27. Novellus Sys- tems and Mattson use variations of inductive plasma modules for stripping and cleaning applications.

6.4.3.4 Parallel plate plasma sources The basic design of a typical parallel plate plasma cleaning system, cou- pled with a downstream MW source is shown schematically in Figure 6.4-23 . For cleaning applications the parallel plate is combined with the downstream source to create a biased fl ux of charged particles that reaches the sample in an anisotropic (directional) manner. Radio frequency biased plasmas alone are rarely used for photoresist stripping; however, the combination of downstream plasma generation and RF bias, also known as RIE (reactive ion 410 Dry Cleaning Processes

etching) gives faster etch rates. The use of bias also assists in the removal of residue by ion bombardment, without which certain residues cannot be volatilized [ 76 ]. RIE addition also gives the ability to perform etching in the stripping and cleaning system. Etching of dielectric barrier fi lms such as SiC or

SiN x after the low- k dielectric post-etch clean, eliminates the need to both remove the wafers from the stripping and cleaning system and transfer the wafers to an etch system for fi nal barrier etch. Typically, only “ soft ” etches are done in the plasma stripping system; however, biasing the wafer at higher powers allows higher etch rates and thus expands the capability of formerly resist stripping- and cleaning-only tools. Typically, the wafer sits on a temperature-controlled platen. Water or

H2 O-based cooling liquid is circulated to control the wafer temperature. Rarely is an electrostatic chuck used to cool wafers for resist stripping and cleaning.

6.4.3.5 Electron cyclotron resonance plasma sources An electron cyclotron resonance (ECR) source can be used to gener- ate high-density plasmas. ECR sources use MW excitation (2.45 GHz) in a resonant cavity similar to that of ICP; however the plasma is formed directly over the wafer, not upstream from the wafer. Activation effi ciency is enhanced by magnetically confi ning the electrons in the plasma chamber. With electron cyclotron resonance, a discharge can be sustained at a pressure of approximately 2 orders of magnitude lower (2 × 10–4 Torr) than that used for remote RF geometries. Neutrals and charged particles fl ow out of the plasma chamber toward the sample stage. Interactions between charged par- ticles and the substrate can be controlled through RF bias voltages applied to the substrate. ECR sources permit H-based cleaning with high atomic H fl ux and higher residual gas pressure at lower cleaning temperatures [127 ]. Researchers have demonstrated Si surface cleaning and surface conditioning by passivating the surface with H prior to epitaxial deposition [129 ].

6.4.3.6 Other plasma sources Although it is beyond the scope of this book, many other plasma sources exist. For instance, arc reactors, hot-wire technology [ 131 ], atmospheric and near-atmosphere pressure plasma torches [130 – 133 ], atmospheric and near atmospheric pressure plasmas [ 134 ], are being developed for various cleaning and surface conditioning processes. Researchers are investigating 6: Plasma Stripping and Cleaning, Hess and Reinhardt 411 these technologies and if they prove to be cost effective with improved processing results, they may ultimately be implemented into production. Specifi cally, photoresist stripping rates greater than 10µ m/min at low

temperatures, <200 ° C have been demonstrated with atmospheric O2 -based plasma processes [ 134 ].

6.4.4 Auxiliary equipment and components In addition to the source assemblies required for generating the plasma, many of the plasma module design constraints are generic to all remote plasma confi gurations. Important assemblies include ultra-pure gas delivery systems, vacuum systems, wafer transfer, substrate heating, and endpoint detection. Although it is beyond the scope of this chapter to dis- cuss all the components and assemblies required for commercially viable plasma systems, those that are key to the system will be briefl y reviewed. Ultra pure gas delivery is important to assure that contamination is not introduced into the reaction chamber. Stainless steel electropolished tub- ing is used, since most gases do not react with this material. Corrosive gases, when used, typically employ double-walled, protective tubing. In addition, most gases are fi ltered before use. Gases are usually remote from the plasma system, although point-of-use H2 generators are available to avoid transporting highly fl ammable gases from the remote skid to the process system. The vacuum system typically invokes a vacuum port leading to a remote vacuum pump, sometimes equipped with a roots blower. The vacuum ports are usually arranged radially around the bottom of the chamber to achieve uniform evacuation of gases— both the reaction products and the reagent gases. Typically, the chamber is pumped down to base pressure when the chamber is not being used. Load locks have their own vacuum pumps, and because of the lower volume, the pump speed need not be as high as that for the reaction chamber. Contamination from wafer movement with the use of end effectors is common on all types of processing equipment. In particular, contamina- tion of the back surface of wafers by robotic transfer usually occurs at the contact points of the end effectors. The contact points have been mini- mized as robots and transfer mechanisms become more sophisticated. End effects that use edge grip are becoming more common. Cleaning of the end effectors is a routine preventive maintenance procedure and is scheduled regularly to remove unwanted material that has inadvertently found its way onto the end effector. Movement of the wafer is designed to avoid scrap- ing against surfaces; gentle movement of the wafer when placed on and 412 Dry Cleaning Processes removed from the platen assures no unwanted contact occurs that could generate particles. Movement in and out of the cassette or FOUP (front opening unifi ed pod) also is optimized so as not to generate particles from the movement or abrasion at the touch points. Heating of the wafers has been accomplished with several types of in situ radiant or thermal heaters. Quartz-halogen lamps have been used to irradiate the back surface or front surface of Si wafers either directly or indirectly. Wafers are indirectly heated by fi rst heating a platen in physical contact with the wafer. Alternatively, resistive heaters are also used in situ, as are water or other fl uids that circulate within the wafer platen. Although not common, electrostatic chucks that control the wafer temperature are used in some commercially available systems. Load locks are commonly used on most commercial plasma strip sys- tems to reduce atmospheric contamination, especially moisture, from entering the reaction chamber. Depending on the system confi guration, applications, and the gases used, the load lock can also prevent unwanted toxic gases from escaping the reaction chamber.

6.4.5 Safety

Care is taken to prevent accidental release of H2 into the atmosphere by the use of load locks and double-enclosed gas lines. The percentage of H 2 gas in reducing gas mixtures usually does not exceed ∼4 vol% for safety.

However, higher amounts of H 2 are being used in reaction chambers; as high as 30 vol % has been shown in some of the process recipes in this chapter. Hydrogen gas is delivered either through the use of gas cylinders within enclosed cabinets or by point-of-use H2 generators. Fluorine-containing compounds used to increase the etch rate of photoresist and to remove post-etch residue, are ambiguous with respect to safety, CF4 is inert and requires high temperatures to combust, NF3 is highly toxic and a highly reactive molecule, while SF6 is inert but reacts at lower temperatures. Care must be taken with F-containing gases to avoid releases to the atmosphere and to ensure that the vacuum effl uent is exhausted into a scrub stack.

6.4.6 Confi guration Plasma sputtering prior to metal deposition is performed in situ on the same platform and is sometimes integrated into, the plasma deposition module. Reducing plasmas prior to epi deposition are also employed in situ; Moslehi et al. outlines the integration of such a tool [ 67 ]. Typically, 6: Plasma Stripping and Cleaning, Hess and Reinhardt 413 plasma stripping and cleaning processes are either performed in stand- alone tools or incorporated onto plasma etching platforms.

6.5 Plasma Diagnostics

6.5.1 Endpoint detection and plasma diagnostics for stripping and cleaning Detection of the endpoint, the point at which either the photoresist or the residue has been completely removed from the wafer, is used routinely in IC production. Removal of the photoresist and residue without over-processing minimizes plasma damage and optimizes wafer through- put. Reproducibility of the endpoint is critical for control of high-volume production. Endpoint detection will be discussed in this section. Plasma atmospheres supply chemically reactive species and ion bom- bardment for photoresist, residue, and contaminant removal. However, the complexity of the chemical and physical processes that occur during such processes is considerable. As a result, use of in situ plasma diagnostics to establish fundamental understanding and thus prediction of specifi c reactions and removal rates is diffi cult to achieve; it is unlikely that this level of understanding will be generated any time soon. At the very least, careful control of process parameters (e.g., power, pressure, fl ow rates, and gas composition) is required, but this is often insuffi cient for adequate process control. For instance, innumerable chemical reactions take place in the gas phase and at surfaces during plasma processing. Plasma and fi lm uniformity across wafers and from wafer-to-wafer display some variabil- ity, reactor wall conditions determine the rates of destruction of etchant species; outgassing, residual leaks, and small concentrations of impurities in feed gases can alter the chemistry that occurs in reactor systems. Implementation of production-worthy photoresist stripping and cleaning processes has therefore depended upon the development of appropriate diagnostic tools and endpoint detection methods. Highly sophisticated diagnostic techniques are available to investigate chemical species concentrations, ion fl uxes, and ion energies, but such methods are typically incompatible with production systems. Instead, end- point detection methods, which do not require nor necessarily generate fundamental understanding of the process, can serve as monitors of fi lm/ contaminant removal. That is, a change in plasma parameters, in rela- tive reactant or product species concentrations, or in fi lm composition at the endpoint is generally adequate to permit reproducibility, control, and optimization of processes. A large number of approaches to diagnose 414 Dry Cleaning Processes

plasmas or provide endpoint detection have been explored over the past 30 years such as optical emission spectroscopy (OES), laser interferometry, and measurement of control parameters such as temperature, pressure, or RF power. Detailed description of the various methods is beyond the scope of this chapter. However, the principles behind the primary methods used (optical emission spectroscopy, mass spectrometry, and Langmuir probes) will be presented briefl y in Section 6.5.2 where plasma spectroscopy is discussed. Additional information on these and other techniques can be obtained from the extensive literature in this fi eld [ 119 , 135– 137 ]. Stefani et al. shows an example of implementation of process moni- toring of photoresist clearing times on a wafer-to-wafer basis using OES on a plasma stripping system [138 ]. Control of the process is made more diffi cult because the prior processing steps such as ion implantation, affect the properties of the photoresist material and consequently the stripping time.

6.5.2 Optical emission spectroscopy Light emitted by the plasma, visible, UV, and IR, can be used to moni- tor the reactions involved in fi lm removal, due to changes in the chemical composition of the plasma as the photoresist and residue are removed from the wafer [ 139 ]. The plasma is composed of the gas used to etch the pho- toresist and the byproducts of the plasma reaction, plus any atmospheric gases that may inadvertently reach the chamber, such as N2 . Many of the gas molecules in the chamber are not in their electronic ground states; rather, they have been excited by the plasma and emit radiation in the form of light, which can be analyzed. The change in the intensity of the light can provide information about the chemical species, their relative con- centration, and thus the processes taking place within the chamber. Typi- cally, emission at one or more wavelengths is monitored; each wavelength corresponds to emission from a particular excited state species, thereby allowing reaction progress to be followed. The background and theory of this technique is discussed by Payling et al. [ 140 ]. Oxygen microwave and RF plasma emissions have been studied [141 – 144 ] to assist in determining the optimum process parameters for photoresist stripping. Figure 6.5-28 identifi es the species generated in an

O 2 RF and an MW downstream plasma using optical emission spectros- copy. The differences in the plasma spectra are clearly seen; the RF plasma generates more charged species, both O+ and e –, than the MW plasma, which generates relatively more atomic O [147 ]. Microwave plasmas have been shown to have higher etching rates and less charge damage than RF 6: Plasma Stripping and Cleaning, Hess and Reinhardt 415

Figure 6.5-28 Optical emission spectra of (a) RF generated downstream plasma and (b) MW generated downstream plasma. Used with permission of Novellus Systems.

plasmas. Such results are believed to be a result of the preponderance of long lived radicals that are able to etch the photoresist more effectively than the shorter lived ions, which also cause charge damage, as discussed in Section 6.6. Collert et al. [ 123 ] found that atomic O does not play a signifi cant role in the stripping of photoresist when using a RF discharge at low pressures (75 mTorr) and low fl ows (10 sccm) of O2 . The wavelength of the light used to detect the endpoint is dependent on the reaction chemistry. Some of the reactive gases used in plasma stripping are very dilute and thus make detection of the byproduct or the reaction gas species very diffi cult. Also, downstream plasmas sometimes have few molecules in excited states, especially near the wafer surface, resulting in detection limitations. The light may be monitored in the reaction cham- ber or downstream. Build-up of impurities in the reaction chamber may infl uence the endpoint. If the photoresist resides on an organic-based fi lm, monitoring the reaction with OES may be diffi cult, because the organic fi lm may have the same reaction byproducts as the photoresist. Typically

CO is monitored for photoresist stripping applications using O2 although other wavelengths can be monitored. Table 6.5-8 shows some of the wave- lengths that are generally monitored [ 141 , 146 ]. An example of an optical emission-based endpoint is shown in Figure 6.5-29 . The endpoint is for a high-dose implant strip process using oxygen-based chemistry. The various regions are designated, e.g., gas sta- bilization, plasma initiation, and termination of the process in this case, and can be distinguished by a change in the emission signal. Commercially 416 Dry Cleaning Processes

Table 6.5-8 Examples of Wavelengths Monitored for Photoresist Stripping Taken from [141, 146]

Species Monitored Wavelength (nm)

CO 297.7 OH 308.9 CO 483.5 CO 519.8 H 656.3

I N T E N S I T Y

A B C D E F

0204060 Time (sec)

Figure 6.5-29 Optical-based endpoint signal [31]. The regions are designated: (a) gas stabilization, (b) plasma initiation, (c) photoresist removal, (d) photoresist removal completion, endpoint is detected, (e) over-strip process, and (f) termination of process. Reprinted from MICRO Magazine. Copyright 1998, Tom Cheyney, Los Angeles, CA. Used with permission. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 417 available process equipment usually comes equipped with endpoint detec- tion apparatus, typically OES. Algorithms are set up to detect the endpoint based on the signal level and rate of change.

6.5.3 Laser and optical interferometry In addition to OES, laser interferometry, also called optical interferometry, is another endpoint technique for plasma stripping and cleaning. Interferom- etry is based upon detection of the optical characteristics of a broadband or laser source refl ected from the wafer surface that provides information on the removal rate of fi lms by measuring the remaining thickness. However, because the light source is directed at the wafer surface, this technique is dependent on the optical properties of the fi lm and the spatial orientation of the features. If the features block the light source, such as high aspect ratio lines or contact and via holes, inaccurate information is relayed about the removal process. Interferometry may be used to monitor photoresist on opaque material such as metals, photoresist on organic materials with dif- ferent optical properties, and also photoresist on polysilicon, SiO2 , or other fi lms with indices of refraction different than that of the photoresist. As the photoresist is removed, the interference patterns change, either increasing or decreasing in amplitude, depending on the fi lm stack being monitored. Typically a single light source is used, and is directed at a particular site with photoresist. An alternative is to use two light sources, one to monitor an area that is free of the photoresist, and one to monitor the area with photoresist. An open area of the die on the wafer is used, and must be repeatable when monitored wafer to wafer. The laser light must have a direct path to the wafer surface, and may require an assembly through the top of the plasma chamber. Interferometry may be used to set up a production photoresist strip recipe to determine the time to endpoint, and then a set-timed process is implemented for the manufacturing process.

6.6 Plasma Damage

6.6.1 Overview of damage Of particular concern with plasma-based processes is ion-induced and UV-induced damage [145 , 147 ]. Ion-induced damage occurs when ions from the plasma bombard the fi lms, thereby transferring charge to the surface, which if severe, affects the electrical characteristics of the 418 Dry Cleaning Processes

fi lm. If the charge approaches the Si substrate, the carrier recombination lifetime can be adversely affected. Of course, the plasma also generates neutral species, but these are not detrimental to the wafer, but are the main contributor to the removal process. The UV-induced damage occurs because of generation of light within the plasma discharge; the high-in- tensity UV radiation can create hole – electron pairs in the semiconductor and can decrease the recombination lifetime by causing charge traps in the semiconductor and/or at dielectric-semiconductor interfaces. Also, UV photons can break bonds in dielectrics and create traps within the fi lm that trap or release electrons or holes and so alter electrical properties. In addi- tion, metallic contamination sputtered from the walls of the reactor or from impurities in photoresist may be driven into the thin fi lms and the substrate due to the high temperature and ion bombardment involved in the photore- sist strip process. Figure 6.6-30 shows the generation of species that may cause damage; damage prevention methods will be discussed later in this section. Other damage mechanisms may be due to electrostatic charging, or high ion bombardment energies that can displace bonded atoms; all of these phenomena can affect device yield and reliability.

During the cleaning process, Si or SiO 2 may be etched, which leads to microscopic surface roughening and material loss. Loss of critical material

UV radiation is eliminated by opaque line-of-sight blocking

Recombination of charged Ion screen prevents direct species occurs on walls and exposure of UV and ions on by baffling wafer

Figure 6.6-30 Schematic of possible causes of plasma damage showing the generation of the species that may cause damage, hυ, positively charged ions ⊕, and electrons e–. Also shown are the preventative methods of an ion shield, also known as a Faraday cage, and baffl es to prevent direct UV irradiation of the wafer. 6: Plasma Stripping and Cleaning, Hess and Reinhardt 419 around sensitive features may lead to current leakage. Diffusion of H into the bulk of the crystal is also an issue with H2 -based plasma processing, due to bulk-structural damage and dopant deactivation [ 148 ]. As IC manufacturing processes are performed at lower and lower temperatures, plasma-induced damage to substrates will not be annealed during wafer processing. Remote plasma stripping and cleaning has not been a major cause of ion-induced damage and charge damage because the electric fi eld strength in the region of the substrate is low, thereby avoiding excessive ion acceleration into the substrate. Although charged species, both ions and electrons, are formed in the plasma, by generating the plasma in a region remote (upstream) from the substrate surface, active chemical and physical species must reach the substrate surface by convection or diffusion. Recombination of ions and elec- trons and absorption of UV radiation takes place on reactor walls or other surfaces in the plasma system, so that few charged species reach the wafer surface. Traditionally, separation of the plasma generation region from the sub- strate has been suffi cient to minimize high-energy ions that may travel to the substrate. However, to augment this recombination and absorption, Faraday cages and UV-blocking windows have been incorporated into the design of state-of-art plasma systems. The ability to fi lter these charged particles, and thus eliminate completely ion bombardment to minimize sur- face damage is the key to a production-worthy IC manufacturing process. In general, downstream MW and ICP plasma generation, in which the plasma is formed remotely and the reactive species travel a path with many bends, ensures that ions and electrons collide with the walls before reach- ing the wafer surface. However, this path reduces the effi ciency of the plasma. Nevertheless, low damage processing has been demonstrated by Savas [ 149 ] on an ICP system. With the incorporation of RF bias, damage from plasma stripping and cleaning is frequently observed. RF bias can generate charged particles in the plasma above the wafer, resulting in direct bombardment. In a biased- plasma the wafer attracts ions or electrons to the charged electrode, depend- ing on the RF power, frequency, and bias conditions. Typically, a negative potential develops on the wafer surface due to accumulation of negative charge from electron bombardment. As the charge builds up on the wafer, it may not be uniform, because electron shading takes place, resulting in a charge differential across the wafer surface and across the insulating gate dielectric. When the charge build-up on the sensitive gate dielectric is suffi ciently high, it may cause electrical damage, such as charge trap generation or dielectric breakdown [ 150 ]. 420 Dry Cleaning Processes

Tests have been developed to quantify charging damage, typically based on breakdown of the sensitive gate oxide. Antenna structures [151 ] have shown that plasma non-uniformity contributed to charging of the gate surface and thus degradation of the gate oxide. Models for damage in stripping systems are based on plasma ion density and plasma uniformity; in addition, the effects of substrate doping concentration on plasma-induced oxide damage have been investigated by You et al. [ 152 ]. To summarize, plasma-related damage is observed at various steps of the device manufacturing process. Plasma induced substrate damage occurs during high-dose implant resist strip processes. Chan et al. [ 153 ] observed device damage at the HDIS step and controlled this damage by optimiza- tion of the source (ICP) and bias (RF) power and Shin et al. [ 154 ] observed damage to the thin gate oxide at the Al etching and stripping process steps and showed that the plasma stripping step accounted for more damage than did the etching step [ 155 ].

6.7 Conclusions

Plasma stripping, cleaning, and surface conditioning processes are widely used in IC manufacturing. A variety of plasma sources are commercially available. Both remote downstream or remote plasma and RF-assisted cleaning processes are common and both yield good results with respect to surface cleanliness. The development of new plasma sources will undoubtedly progress. New plasma chemistries are continuously being evaluated, along with optimization of the process parameters to yield lower cost processes with better residue cleaning capabilities.

Acknowledgments

We would like to acknowledge helpful discussions with Alex Kabansky.

References

1. Semiconductor Industry Association, The International Technology Road- map for Semiconductors, San Jose. 2006 Edition. 2. Langan, J., Maroulis, P., and Ridgeway, R., Solid State Technology, 35:115 (July, 1996). 3. Kim, K. J., Oh, C. H., and Lee, N.-E., Kim, J. H., Bae, J. W., Yeom, G. Y., Yoon, S. S., J. Vac. Sci. Technol., B22(2):483 (2004). 6: Plasma Stripping and Cleaning, Hess and Reinhardt 421

4. Martinetti, M., Schirrecker, I., Keller, O., Andreani, B., Giancaterina, S., Larchier, L., Lecohier B., and Musco, G., Semiconductor International, 25(6) (June, 2002). 5. Luongo, J. P., J. Polym. Sci., 42:139 (1960). 6. Hansen, R. H., Pascale, J. V., deBenedictis, T., and Rentzepis, P., J. Polym. Sci., A3:2205 (1965). 7. Wu, B. J., Hess, D. W., Soong, D. S., and Bell, A. T., J. Appl. Phys., 54:1725 (1983). 8. Hartney, M. A., Soane, D. S., and Hess, D. W., J. Vac. Sci. Technol., B7:1 (1989). 9. Taylor, G. N., and Wolf, T. M., Polymer Eng. Sci., 20:1087 (1980). 10. Pederson, L., J. Electrochem. Soc., 129:205 (1982). 11. Battey, J. F., IEEE Trans. Electr. Dev., 24:140 (1977). 12. Boitnott, C., Solid State Technology, p. 51 (October, 1994). 13. Flamm, D.L., Plasma Chem. Plasma Proc., 1:37 (1981). 14. Kushner, M., J. Appl. Phys., 53:2939 (1982). 15. Cook, J. M., and Benson, B. W., J. Electrochem. Soc., 130:2459 (1983). 16. Hannon, J. J., and Cook, J. M., J. Electrochem. Soc., 131:1164 (1984). 17. Walkup, R. E., Saenger, K. L., and Selwyn, G. S., J. Chem. Phys., 84:2668 (1986). 18. Turban, G., and Rapeaux, M., J. Electrochem. Soc., 130:2231 (1983). 19. Eggito, F. D., Emmi, F., Horwath, R. S., and Vukanovic, V., J. Vac. Sci. Tech- nol., B3:893 (1985). 20. Matienzo, L. J., Emmi, F., Egitto, F. D., vanHart, D. C., Vukanovic, V., and Takacs, G. A., J. Vac. Sci. Technol., A6:950 (1988). 21. Leu, J., and Jensen, K. F., J. Vac. Sci. Technol., A9:2948 (1991). 22. Baklanov, M. R., Vanhaelemeersch, S., Bender, H., and Maex, K., J. Vac. Sci. Technol., B17:372 (1999). 23. Fujimura, S., Shinagawa, K., Nakamura, M., and Yano, H., Jpn. J. Appl. Phys., 29:2165 (1990). 24. Fujimura, S., Shinagawa, K., Suzuki, M. T., and Nakamura, M., J. Vac. Sci. Technol., B9(2):357 (1991). 25. Fujimura, S., Suzuki, M. T., Shinagawa, K., and Nakamura, M., J. Vac. Sci. Technol., B12:2409 (1994). 26. Savas, S., Solid State Technology, 39(10):123 (October, 1996). 27. Rubin, L., and Poate, J., The Industrial Physicist, p. 12 (June/July, 2003). 28. Hirose, K., Shimada, H., Shimomura, S., Ododera, M., and Ohmi, T., J. Electrochem. Soc., 141(1):192 (1994). 29. Lee, J., Lee, C., Alvis, J., and Sun, S. W., “Characterization of Ion-Implanted Photoresist Films by Fourier Transform Infrared Spectroscopy” Motorola Advanced Products and Developments Laboratory, Austin. 30. Orvek, K. J., and Huffman, C., Nucl. Instr. and Methods in Phys. Res., B7/8:501 (1985). 31. Kirkpatrick, A., Fernandes, N., Uk, T., and Patrizi, G., MICRO, 16(7):71 (July/August, 1998). 32. Fujimura, S., and Konno, J., Sixth Symposium on Plasma Processing (Mathad, G. S., Schwartz, G. C., and Gottscho, R. A., eds.), 87-6:352 The Electrochemical Society, Pennington NJ (1987). 422 Dry Cleaning Processes

33. Savas, S., Zajac, J., Guerra, R., and Helle, W., US Patent 6,805,139 (October 19, 2004). 34. Jehoul, C., Van Baekel, K., Boullart, W., Struyf, H., and Vanhaelemeersch, S., US Patent 6,352,936 (March 5, 2002). 35. Bausum, T., DeSarno, M., and Dahrooge, G., Semiconductor International, 26(6) (June, 2003). 36. Martinetti, M., Caputo, G., Oggioni, L., Zini, E., and Wurthwein, A., MICRO, 19(8):57 (September, 2001). 37. Robello, S. G., Graff, W., Krishna, V., Merriam, J., and Keswick, P., Solid State Technology, 46(12):38 (December, 2003). 38. Lee, C. W., Fourth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo J., and Novak, R.E., eds.), 95-20:243, The Electrochemical Society, Pennington, NJ (1995). 39. Bell, F. H., J. Vac. Sci. Technol., B15(1):88 (1997). 40. Donnelly, V. M., Lemens, F. P., Sorsch, T. W., Timp, G. L., and Bauman, F. H., Appl. Phys. Lett. 74:1260 (1999). 41. Lin, S.-P., Ou, C.-H., Tien, Y.-C., and Hsu, C.-F., J. Vac. Sci. Technol., A18:1173 (2000). 42. Tao, H.-J., Tsai, C.-S., and Huang, Y.-C., US Patent 6,242,350 (June 05, 2001). 43. Kabansky, A., and Lee, H., Solid State Phenomenon, 103-104:59 (2005). 44. Mikulan, P., Fonash, S., Reinhardt, K., and Ta, T., Third International Sym- posium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 94-7:319, The Electrochemical Society, Pennington, NJ (1994). 45. Armacost, M., Marks, J., and Yang, C.-L., Proceeding of the Symposium on Highly Selective Dry Etching and Damage Control (Mathad, G. S., and Horiike, Y., eds.), 93-21:190 The Electrochemical Society, Pennington, NJ (1993). 46. Reinhardt, K., DiVincenzo, B., Yang, C.-L., Arleo, P., Marks, J., Mikulan, P., Gu, T., and Fonash, S., Mat. Res. Soc. Symp. Proc., 315:267 (1993). 47. Wang, Y., Graham, S. W., Chan, L., and Loong, S. T., J. Electrochem. Soc., 144:1522 (1997). 48. Somashekhar, A., Ying, H., Smith, P. B., Aldrich, D. B., and Nemanich, R. J., J. Electrochem. Soc., 146(6):2318 (1999). 49. Simko, J. P., Oehrlein, G. S., and Mayer, T. M., J. Electrochem. Soc., 138(1):277 (1991). 50. Braun, A. E., Semiconductor International, 28(5):41 (May, 2005). 51. Louis, D., Assous, M., Blanc, R., Brun, P., Arvet, C., Lajoinie, E., and Hol- mes, D., Proceedings of the IEEE International Interconnect Technology Conference (IITC), p. 289, Piscataway, NJ (2001). 52. Baklanov, M., Le, Q. T., Kesters, E., Iacopi, F., Van Aelst, J., Struyf, H., Boullart, W., Vanhaelemeersch, S., and Maex, K., Proceedings of the IEEE International Interconnect Technology Conference (IITC), p. 187, Piscat- away, NJ (2004). 53. Shepherd, R. A., Nguyen, D., Cerny, G., and Loboda, M. J., Fifth International Symposium on Low and High Dielectric Constant Materi- als (Singh, R., Rathore, H. S., Thakur, R. P. S., Schuckert, C. C., and Sun, S. C., eds.) 2000-05:159, The Electrochemical Society, Pennington, NJ (2000). 6: Plasma Stripping and Cleaning, Hess and Reinhardt 423

54. Shields, J. A., You, L., and Rakhshandehroo, M. R., US Patent 6,794,298 (September 21, 2004). 55. Lamy, S., Louveau, O., Fanget, G., Fayolle, M., Rochat, N., Louis, D., and Broussous, L., Proceedings of the IEEE International Interconnect Technol- ogy Conference (IITC), p. 30 Piscataway, NJ (2002). 56. Lin, S., Jin, C., Lui, L., Tsai, M., Daniels, M., Gonzalez, A., Wetzel, J. T., Monnig, K. A., Winebarger, P. A., Jang, S., Yu, D., and Liang, M.S., Proceed- ings of the IEEE International Interconnect Technology Conference (IITC), p. 146, Piscataway, NJ (2001). 57. Dunne, J., Kennedy, J., Luo, L. L., Howell, D. C., and Kuhl, N. E. C., US Patent 6,207,583 (March 27, 2001). 58. Waldfried, C., Berry, I., Escorcia, O., Han, Q., and Sakthivel, P., US Patent 6,630,406 (October 7, 2003). 59. Nguyen, H. T., Kawaguchi, M. N., Naik, M. B., Xia, L.-Q., and Yieh, E., US Patent 6,680,164 (January 20, 2004). 60. Chien, T., Flanner, J. M., and Morey, I., US Patent 6,426,304 (July 30, 2002). 61. Savas, S., George, R., Gilbert, D, Cain, J., Herrick, M., Nagy, A., and Karuppana, K., MICRO, 22(8):61 (October/November, 2004). 62. Stucchi, M., Conard, T., Vanhaelemeersch, S., Van Aelst, J., and George R., Solid State Technology, 47(4):S11 (April, 2004). 63. Christaud, J.-F., Helle, W., Ranft, C., and Gapasin, C., Solid State Technol- ogy, 42(3):S13 (March, 1999). 64. Rudder, R. A., Fountain, G. G., and Markunas, R. J., J. Appl. Phys., 60:3519 (1986). 65. Hsu, T., Breaux, L., Anthony, B., Banerjee, S., and Tasch, A., J. Electron. Mater., 19:375 (1990). 66. Moslehi, M. M., and Davis, C. J., J. Mater. Res., 5:1159 (1990). 67. Moslehi, M., Chapman, R. A., Wong, M., Pranjpe, A., Najm, H. N., Kuehne, J., Yeakley, R., L., and Davis, C. J., IEEE Transactions on Electron Devices, 39(1):4 (1992). 68. Samoilov, A. V., Du Bois, D., Comita, P. B., and Carlson, D., Semiconductor International, 23(13):73 (November, 2000). 69. Ramm, J., and von Kaenel, H., Future Fab Intl. 15:page (7/11/2003). 70. Hwang, K.- H., Yoon, E., Whang, K.-W., and Lee, J.-Y., J. Electrochem. Soc., 144(1):335 (1997). 71. Anthony, B., Breaux, L., Hsu, T., Banerjee, S., and Tasch, A., J. Vac. Sci. Technol., B7:621 (1989). 72. Yew, T.-R., and Reif, R., J. Appl. Phys., 68:4681 (1990). 73. Yew, T.-R., and Reif, R., J. Appl. Phys., 65:2500 (1989). 74. Wolf, S., Silicon Processing for the VLSI Era, Volume 3—The Submicron MOSFET, p. 307, Lattice Press, Sunset Beach, CA (1995). 75. Schneider, T. P., Cho, J., van der Weide, J., Wells, S. E., Lucovsky, G., Ne- manich, R. J., Mantini, M. J., Rudder, R. A., and Markunas, R. J., Chemical Perspectives of Microelectric Materials II (Interrante, I. V., Jenson, K. F., Duboise, L. H., Gross, M. E., eds.), 204:333, Material Research Society, Boston, MA (1990). 76. Shibata, T., Nanishi, Y., and Fujimoto, M., Jap. Appl. Phys. (Let.), 29:L1181 (1990). 424 Dry Cleaning Processes

77. Kim, H., and Reif, R., Thin Solid Films, 289:192 (1996). 78. Carter, R. J., Schneider, T. P., Montgomery, J. S., and Nemanich, R., J. Elec- trochem. Soc., 141(11):3136 (1994). 79. Montgomery, J. S., Schneider, T. P., Carter, R. J., Barnak, J. P., Chen, Y. L., Hauser, J. R., and Nemanich, R. J., Appl. Phys. Lett., 67(15):2194 (1995). 80. DelFino, M., Salimian, S., and Hodul, D., J. Appl. Phys. 70:1712 (1991). 81. DelFino, M., Salimian, S., Hodul, D., Ellingboe, A., and Tsai, W., J. Appl. Phys., 71(2):1001 (1992). 82. Förster, C., Schnabel, F., Weih, P., Stauden, T., Ambacher, O., and Pezoldt, J., Thin Solid Films, 455–456:695 (2004). 83. Ramm, J.; Beck, E., Zueger, A., Dommann, A., Pixley, R. E., Thin Solid Films, 228(1-2):23 (1993). 84. Schneider, T. P., Cho, J., Aldrich, D. A., Chen, Y. L., Maher, D., and Ne- manich, R. J., Second International Symposium of Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 92-12:122, The Electrochemical Society, Pennington, NJ (1992). 85. Abrefah, J., and Olander, D. R., Surf. Sci., 209:291 (1989). 86. Dobbs, K. D., and Doran, D. J., J. Am. Chem. Soc., 115:3731 (1993). 87. Thomas, R. E., Mantini, M. J., Rudder, R. A., Malta, D. P., Hattangady, S. V., and Markunas, R. J., J. Vac. Sci. Technol., A10(4):817 (1992). 88. Hsu, T., Anthony, B., Qian, R., Irby, J., Banerjee, S., and Tasch, A., Lin, S., Marcus, H., and Magee, C., J. Electron. Mater., 20:279 (1991). 89. Sun, Y. K., Bonser, D. J., and Engel, T., Phys. Rev., B43:14309 (1991). 90. Anthony, B., Hsu, T., Breaux, L., Qian, R., Banerjee, S., and Tasch, A., J. Electron. Mater., 19:1027 (1990). 91. Kishimoto, A., Suemune, I., Hamaoku, K., Koui, T., Honda, Y., and Yamani- shi, M., Jap. J. Appl. Phys., 29:2273 (1990). 92. Strass, A., Hansch, W., Kaesen, F., Fehlauer, G., Bieringer, P., Fischer, A., and Eisele, I., Thin Solid Films, 321(1-2):261 (1998). 93. Ramm J., Beck, E., Dommann, A., Eisele, I., and Krüger, D., Thin Solid Films, 246:158 (1994). 94. Pomarede, C. F., Roberts, J., and Shero, E. J., US Patent 6,613,695 (September 2, 2003). 95. Baklanov, D. M., Shamiryan, R. G., Tökei, Z., Beyer, G. P., Conard, T., Vanhaelemeersch, S., and Maex, K., J. Vac. Sci. Technol., B19(4):1201 (2001). 96. Taguwa, T., Urabe, K., Sekine, M., Yamada, Y., and Kikkawa, T., IEEE Transactions on Electron Devices, 44(4):588 (1997). 97. Subrahmanyan, S., Chen, L.-Y., and Mosely, R. C., US patent 6,905,965 (June 14, 2005). 98. Falkenstein, Z., Hakovirta, M., and Nastasi, M., Thin Solid Films, 381(1–2):84 (2001). 99. Ogawa, E. T., McPherson, J. W., Rosal, J. A., Dickerson, K. J., Chiu, T.-C., Tsung, L. Y., Jain, M. K., Bonifi eld, T. D., Ondrusek, J. C., and McKee, W. R., IEEE Proc. Int’l Rel. Phys. Symp., p. 312 (2002). 100. Sumi, H., Yanagida, T., Sugano, Y., and Sasserath, J. N., IEEE International 6: Plasma Stripping and Cleaning, Hess and Reinhardt 425

Electron Devices Meeting, 94:113 (1994). 101. Reinhardt, K., Second International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R., eds.), 92–12:133, The Electrochemical Society, Pennington, NJ (1992). 102. Ya, P. G., Pugachevich, V. P., Gromov, D. G., and Kudryashova, E. B., J. Appl. Phys., A63(1):9 (1996). 103. Reichardt, H., Frenzel, A., and Schober, K., Microelectronic Engineering, 56(1–2):73 (2001). 104. Sun, S.-P., Bennett, D., Zazzera, L., and Reagen, W., Semiconductor Inter- national, p. 85 (February, 1988). 105. Johnson, A. D., Entley, W. R., and Maroulis, P. J., Solid State Technology, p. 103 (December, 2000). 106. Cox, J., Koenigseder, S., and Decker, T., MICRO, p. 89 (March, 2001). 107. Kim, K. J., Oh, C. H., Lee, N.-E., Kim, J. H., Bae, J. W., Yeom, G. Y., and Yoon, S. S., J. Vac. Sci. Technol., B22:483 (2004). 108. Hackenberg, J., Holbrook, B., and Ingwersen, T., Seventh Symposium on Plasma Processing (Mathad, G. S., Schwartz, G. C., and Hess, D. W., eds.), 88-22:317, The Electrochemical Society, Pennington, NJ (1988). http:// www-bsac.eecs.berkeley.edu/~mattlast/personal/Microlab_art_web/slides/ wrinkled %20photoresist.html. 109. Hackenberg, J., Holbrook, B., and Ingwersen, T., Seventh Symposium on Plasma Processing (Mathad, G. S., Schwartz, G. C., and Hess, D. W., eds.), 88-22:317, The Electrochemical Society, Pennington, NJ (1988). 110. Brussaard, G. J. H., Letourneur, K. G. Y., Schaepkens, M., van de Sanden, M. C. M., and Schram, D. C., J. Vac. Sci. Technol., B21(1):61 (2003). 111. Balooch, M., Jap. J. of Appl. Phys., 16:1557 (1977). 112. Parikh, N. R., Hattangandy, S. V., Posthill, J. B., King, M. L., Rudder, R. A., and Vitkavage, D. J., MRS Symp. Proc., 102:275 (1988). 113. Ishii, M., Nakashima, K., Tajima, I., and Yamamoto, M., Appl. Phys. Lett., 58(13): 1378 (1991). 114. Cantagrel, M., and Marchal, M., J. Material Sci., 8(12):1711 (1973). 115. Fujimura, S., and Yano, H., J. Electrochem. Soc., 135:1195 (1988). 116. Reinberg, A. R., US Patent 3,757,733 (September 11, 1973). 117. Reinberg, A. R., and Rao, R. K., US Patent 4,069,096 (January 17, 1978). 118. Irving, S. M., and Lemons, K. E., US Patent 3,837,856 (September 24, 1974). 119. Mucha, J., Hess, D. W., and Aydil, E., Introduction to Microlithography (Thompson, L. F., Willson, C. G., and Bowden, M. J., eds.), p. 377, Ameri- can Chemical Society, Washington, DC (1994). 120. Flamm, D. L., Solid State Technology, p. 37 (August, 1992). 121. Lieberman M. A., and Gottscho, R. A,. Physics of Thin Films, 18:1 (1993). 122. Normand, A., Granier, F., Leprince, P., Marec, J., Shi, M. K., and Clouet, F., Plasma Chemistry and Plasma Processing, 15(2):173 (1995). 123. Collart, E. J. H., Baggerman, J. A. G., and Visser, R. J., J. Appl. Phys., 78(1):47 (1995). 124. Vossen, J. L., J. Electrochem. Soc., 126(2):319 (1979). 125. Reinberg, A. R., Steinberg, G. N., and Zarowin, C. B., US Patent 4,431,898 (February 14, 1984). 426 Dry Cleaning Processes

126. Savas, S. E., US Patent 5,964,949 (October 12, 1999). 127. Kim, H.-W., and Reif, R., Thin Solid Films, 289(1–2):192 (1996). 128. Hirayama, H., and Tatsumi, T., Appl. Phys. Lett., 54:1561 (1989). 129. Uchida, K., Izumi, A., and Matsumura, H., Thin Solid Films, 395(1–2):75 (2001). 130. Metselaar, J. W., Kuznetsov, V. I., and Zhidkov, A. G., J. Appl. Phys., 75(10):4910 (1994). 131. Inomata, K., Koinuma, H., Oikawa, Y., and Shiraishi, T., Appl. Phys. Lett. (66)17:2188 (1995). 132. Selwyn, G. S., Herrmann, H. W., Park, J., and Henins, I., Contributions to Plasma Physics, (41)6:610 (2001). 133. Read, M., Schwarz, W., and Oakes, D., IEEE 31st International Conference on Plasma Science, p. 307 (2004). 134. Jeong, J. Y., Park, J., Henins, I., Babayan, S. E., Tu, V. J., Selwyn, G. S., Ding, G., and Hicks, R. F., J. Phys. Chem., A204:8027 (2000). 135. Auciello, O., and Flamm, D. L., eds., Plasma Diagnostics: Discharge Pa- rameters and Chemistry, Academic Press, Orlando, FL (1989). 136. Roland, J. P,. Marcoux, P. J., Ray, G. W., and Rankin G. H., J. Vac. Sci. Tech- nol., A3:1631 (1985). 137. Hershkowitz, N., and Breun, R. A., Rev. Sci. Instrum., 68:880 (1997). 138. Stefani, J., Loewenstein, L. M., and Sullivan, M., IEEE Transactions on Semiconductor Manufacturing, 8(1):2 (1995). 139. Marcoux, P. J., and Foo, P. D., Solid State Technology, p. 115 (April, 1985). 140. Payling, R., Jones, D. G., and Bengtson, A., eds., Glow Discharge Optical Emission Spectrometry, John Wiley & Sons, Hoboken, NJ (1997). 141. Degenkolb, E. O., Mogab, C. J., Goldrick, M. R., and Griffi ths, J. E., Appl. Spectrosc., 30:520 (1976). 142. Brake, M., Hinkle, J., Asmussen, J., Hawley, M., and Kerber, R., J. Plasma Chemistry and Plasma Processing, 3(1):63 (1983). 143. Lin, T. H., Belser, M., and Tzeng, Y. IEEE Transactions on Plasma Science, 16(6):631 (1988). 144. Behle, S., Georg, A., Yuan, Y., Engemann, J., and Brockhaus, A., Surface and Coatings Technology, 97(1–3):734 (1997). 145. Bell, S. A., and Hess, D. W., J. Electrochem. Soc., 139:2904 (1992). 146. Wolf, S., Silicon Processing for the VLSI Era, Volume 1 Process Technology, p. 566, Lattice Press, Sunset Beach, CA (1986). 147. Kawamoto, Y., Proceedings of the Seventh Symposium on Dry Processing, V-5:132 (1985). 148. Pearson, S. J., Corbett, J. W., and Shi, T. S., Appl. Phys., A43:153 (1987). 149. Savas, S., IEEE Plasma- and Process-Induced Damage, 1st International Symposium, p. 127 (1996). 150. Chueng, K. P., and Chang, C. P., J. Appl. Phys., 75(9):4415 (1994). 151. Fang, S., Murakawa, S., and McVittie, J. P., IEEE Trans. Electr. Dev., 41(10):1848 (1994). 152. You, K.-F., Chang, M.-C., and Wu, C.-Y., IEEE Trans. Electr. Dev., 45(1):239 (1998). 6: Plasma Stripping and Cleaning, Hess and Reinhardt 427

153. Chan, B.-W., Perng, B.-C., Sheu, L., Chiu, Y.-H., and Tao, H.-J., IEEE Plasma- and Process-Induced Damage, 8th International Symposium, p. 73 (2003). 154. Shin, H., King, C., and Hu, C., IEEE Reliability Physics Symposium. 30th Annual ., International Symposium, p. 37 (1992). 155. Shin, H., King, C.-C., Moazzami, R., Horiuchi, T., and Hu, C., IEEE, Inter- national Symposium on VLSI Technology, Systems, and Applications, p. 210 (1991). 7 Cryogenic Aerosols and Cleaning

Souvik Banerjee

Eco-Snow Systems, Livermore, CA, USA

Richard F. Reidy

Department of Materials Science and Engineering, University of North Texas , Denton TX, USA

Laura B. Rothman Mauer

Brewer Science, Inc., Rolla, MO, USA

7. 1 Cryogenic and Supercritical Cleaning as Emerging Technologies

The importance of precision cleaning in electronic manufacturing areas can hardly be overstated. It is well known that the fi nal device yield and perfor- mance are strongly linked to the cleaning effi ciency during its fabrication, as described in Chapter 2. Cleaning or surface conditioning has three dis- tinct requirements: removal of inhomogeneous or particulate contaminants, removal of homogeneous contaminants such as fi lms and residues of fi lms, and fi nally the removal of ionic or metallic contaminants from surfaces. Over the years many cleaning technologies such as aqueous, solvent, plasma, vapor phase, laser, dense phase liquid, UV/O3 (ultraviolet/ozone), cryogenic aerosol, and supercritical CO 2 have been used and are discussed in other chapters of this Handbook. In this chapter two relatively new cleaning methods related to cryogenic aerosols and supercritical CO2 (scCO2 ) will be discussed. Supercritical CO2 applications for integrated circuit (IC) manufac- turing have not yet been introduced into production and remain in the research and development stages. Cryogenic aerosol cleaning on the other hand has

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 429–478 © 2008 William Andrew Inc.

429 430 Dry Cleaning Processes been used for several years in different precision cleaning applications, such as micro-electromechanical structures (MEMS), read/write magnetic memory heads, photomasks, and compound semiconductor-based device manufac- turing. The sections in this chapter will describe the need for these new cleaning technologies, their mechanisms, and their specifi c uses in IC manufacturing.

7.1.1 Need for new cleaning technologies One of the most demanding cleaning requirements is in the IC manu- facturing process. The International Technology Roadmap for Semicon- ductors (ITRS) identifi es “ killer defects” to be ½ the DRAM (dynamic random access memory) ½ pitch size [1 ]. Figure 7.1-1 shows the evolu- tion of technology nodes and the minimum critical particle size poten- tially causing defects in the fi nal device. Thus, at the 90-nm technology node, the smallest diameter particle causing defect and device failure is 45 nm, and this particle size that must be removed will continue to decrease in subsequent years. Not only must smaller particles be removed but the removal must also occur with minimal material loss. The removal of submicron particles in FEOL (front end of line) cleaning is conventionally done with aqueous methods using standard clean 1 (SC-1) consisting of NH4 OH/H2 O2 /H2 O in ratios of typically 1:1:5, with the addition of megasonic energy. Chapters 1 and 4 pro- vide in- depth discussions of this cleaning process. Particle removal by SC1 primarily occurs by two mechanisms. The fi rst of which involves the micro-etching of the Si surface by the NH 4OH in the SC1 solution to undercut and lift the particle off the surface. The etching of Si and

Figure 7.1-1 Evolution of integrated device technology and critical particle size [1]. Complied by the authors from data found in the 2005 ITRS. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 431 the subsequent increase in roughness has been described in a wealth of literature [2 – 9 ]. The second mechanism by which particle removal occurs is described in the literature [10 – 13 ] from the principles of colloid chemistry. The zeta potential of colloids dispersed in a high pH medium, such as in SC-1, is negative, as is the wafer surface. The resulting ionic double layer repulsion between them prevents the particle lifted off by etching from re-depositing back onto the wafer surface. Further discus- sion of particle behavior in aqueous cleaning is presented in Chapter 3. Typically, megasonic processing is used in conjunction with SC-1 in FEOL to further aid SC-1 chemistry in particle removal. Adding mega- sonic energy decreases the boundary layer of fl uid fl ow over the wafer surface [ 14 ]. The decreased boundary layer thickness results in greater hydrodynamic drag force on particles, otherwise shielded by the liquid layer. Another way that megasonic processing assists SC-1 in particle removal is through acoustic streaming and the formation of cavitation, both of which further aids in submicron particle removal. Thus it is the combination of the basic chemistry of SC-1 and the megasonic action that results in removal of submicron particles from Si wafers. The evolution of device manufacturing technology has resulted in the need to remove particles and other contaminants with minimum loss of fi lms such as SiO2 and polysilicon [ 1 ]. Specifi cally, the ITRS FEOL roadmap states that less than 1 Å of SiO2 and polysilicon may be lost per cleaning step for the 65-nm technology node. The allowable material loss decreases to less than 0.4 Å per cleaning step at 45-nm node and below. Further, the shrinking of the transistor gate lengths with device scaling have made them more fragile and prone to damage by megasonic excita- tion [ 15 , 16 ]. Thus the use of traditional SC-1 chemistry in conjunction with megasonic processing is severely constrained for FEOL cleaning requirements at the future technology nodes; hence new cleaning tech- nologies are being investigated. As for the BEOL (back end of line), the presence of hydrophobic fi lms such as the low-k dielectrics or CMP (chemical mechanical polishing) hardmasks have introduced challenges to traditional wet and plasma cleans [ 17 , 18 ]. These hydrophobic fi lms have contact angles greater than 70° as described in reference [17 ] and hence are diffi cult to clean by conventional aqueous methods. Also of importance in the BEOL cleaning processes is maintaining the bulk fi lm properties, especially the dielectric constant (k). Conventional cleaning methods using plasma or wet chem- istries cause damage to the low dielectric constant fi lms and increase the k value. These processes render the fi lms unsuitable for reducing the resistance – capacitance time constant for which they were designed to be 432 Dry Cleaning Processes used with Cu in BEOL dual damascene integration schemes. In future technology nodes, porous dielectrics (ultra low- k) present new clean- ing issues. Penetration of metallic contamination from the Cu or barrier materials, moisture, and other impurities can deleteriously impact device performance and reliability. Methods must be available to effectively remove and prevent intrusion of these moieties. Because low-k and ultra low- k materials are susceptible to damage from the plasma etch and ash processes, new techniques for removing photoresist and antirefl ection coatings are being developed that prevent damage. The IC manufacturing industry is continuously improving existing clean- ing methods, and simultaneously, examining new cleaning technologies to address the surface conditioning needs. Two such non-conventional cleaning methods, cryogenic aerosol and scCO2 , will be described in this chapter. The potential surface conditioning solutions table in the ITRS roadmap

[1 ] includes both cryogenic aerosols and scCO2 as addressing the cleaning requirements in IC manufacturing. Section 7.2 will focus on cryogenic aero- sols and the subsequent Section 7.3 on scCO2 .

7.1.2 Specifi c uses of cryogenic aerosols and supercritical fl uids for surface conditioning and cleaning There are several different areas of surface conditioning or IC manu- facturing where cryogenic aerosols and supercritical fl uids cleaning will be enabling for the future technology nodes. In the FEOL, removal of submicron particles without damage to sensitive metal oxide semiconductor fi eld effect transistor (MOSFET) gate electrodes is an important area of application for the future use of cryogenic aerosols. The ITRS FEOL road- map potential solutions table indicates cryogenic cleaning as a possible cleaning technology for this application. Particle removal using cryogenic cleaning is primarily by momentum transfer, as will be described later in Section 7.2.1 and, hence, does not result in material loss during cleaning. It is also shown in references [19– 21 ] that cryogenic aerosol cleaning is possible without damage to polysilicon gates, an important requirement for any cleaning technology in FEOL. In BEOL, the post-CMP cleaning requires removal of slurry particles from hydrophobic fi lms such as low-k fi lms or CMP hardmask materials [17 , 18 ]. The predominantly physical mechanism of particle removal using cryogenic cleaning lends itself equally effective to fi lms of low or high surface energies. Another potential application in BEOL is post-etch cleaning of dual damascene integration vias and trenches. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 433

In this application, the non-damaging cleaning of cryoaerosols without adverse effects to dielectric fi lms is utilized. Other applications of cryo- genic aerosol cleaning in microelectronics manufacturing include pre- and post-deposition, and pre-lithography cleaning.

Due to its unusual properties, supercritical CO 2 enables rapid and penetrating transport of a variety of chemistries into (and out of) narrow structures and com- plex geometries. Consequently, scCO 2 is a viable method to remove photoresist and post-ash residues, to remove moisture; to repair ash-damage and seal pores in low-k fi lms, to remove particles, and to clean high aspect ratio features.

7.2 Introduction to Cryogenic Aerosols

7.2.1 Defi nition of cryogenic aerosol and their formation

A cryogen (noun) by defi nition is a liquid, such as liquid N2 , that boils at a temperature below 110 K (–160° C). Cryogenic (adjective) refers in general to low-temperature processes or phenomena. An aerosol is a suspension of solid particles or liquid droplets in a gas. Thus, the phrase “ cryogenic aerosol” implies a very cold suspension of solid or frozen liquid droplets in a cold gas. The normal boiling points of some of the cryogenic fl uids are given in Table 7.2-1 [ 22 ].

Table 7.2-1 Normal Boiling Points of Cryogenic Fluids [22]. Compiled by the authors from data taken from the handbook of chemistry and physics.

Gas Normal Normal Boiling Point (K) Boiling Point (°C)

Air 78.7 –194.5

Nitrogen (N2) 77.4 –195.8 Oxygen (O2) 90.2 –183 Hydrogen (H2) 20.3 –252.9 Helium (He) 4.2 –269 Neon (Ne) 27.1 –246.1 Argon (Ar) 87.3 –185.9 Krypton (Kr) 119.9 –153.3 Xenon (Xe) 165.1 –108.1

Methane (CH4) 111.7 –161.5 Carbon dioxide (CO2) 194.7 –78.5 434 Dry Cleaning Processes

By defi nition, the normal boiling point is the temperature, at 1atm pressure, in which the phase changes, occurs from a liquid to a gas. Thus by strict defi - nition, CO2 having the highest normal boiling point amongst the cryogenic fl uids list is not considered as a true cryogen, while Ar and N2 are considered cryogens. Nevertheless, these three aerosol systems are called cryogenic aerosols because of their low boiling point temperature and the cold aero- sol plume that is created upon adiabatic expansion through a nozzle. The details of the thermodynamics of aerosol formation for these systems will be discussed in Section 7.2.2. In general, aerosol formation from these fl uids occurs by phase change of the corresponding liquid during a rapid change in pressure and temperature as in expansion through a nozzle.

7.2.2 Thermodynamic properties of gases suitable for cryogenic aerosol formation In order to understand the thermodynamic principles governing aerosol formation of cryogenic fl uids, an understanding of the triple point is important [ 23 ]. At the triple point condition, all three states of matter (solid, liquid, and gas) co-exist with each other in equilibrium. Gibbs phase rule, Eq. (7.2-1), describes the number of degrees of freedom for a given system at equilibrium:

F = C – P + 2 Eq. (7.2-1) where F is the number of degrees of freedom, C is the number of compo- nents in the system, and P is the number of phases in the system. Thus for pure CO2 ( C = 1) to exist in equilibrium in all of its three states of matter simultaneously ( P = 3), Gibbs equation indicates that there are no degrees of freedom. In other words, there is only one temperature and pressure condition, known as the triple point, where CO 2 can coexist in solid, liquid and gas. At all other temperatures and pressures, one of the phases will either evaporate, condense, melt, freeze, or sublime. Table 7.2-2 gives the triple point pressure and temperature of some of the cryogenic fl uids listed in Table 7.2-1 [ 24 ]. The operating conditions (pressure and temperature) of equipment employing cryogenic aerosols for surface conditioning is determined by the triple point. In general, the operating condition is lower than the pressure and temperature of the triple point. The reason for this will be discussed in Section 7.2.3 where the pressure-temperature diagrams of the

CO2 and Ar/N2 systems will be shown. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 435

Table 7.2-2 Pressure and Temperature Values of Cryogenic Gases at Triple Point [24]. Compiled by the authors from data published by the Gulf Publishing Company.

Gas Triple Point Triple Point Pressure (atm) Temperature (°C)

Nitrogen (N2) 0.12 –210.0 –3 Oxygen (O2) 1.44 × 10 –218.8 Hydrogen (H2) 0.07 –259.4 Helium (He) 4.2 –269 Neon (Ne) 0.49 –248.6 Argon (Ar) 0.68 –189.4 Krypton (Kr) 0.72 –157.4 Xenon (Xe) 0.80 –111.8

Methane (CH4) 0.12 –182.5 Carbon dioxide (CO2) 5.11 –56.6

Table 7.2-3 Cost of Some Gases that Can Be Used as Cryogenic Fluids. Used with permission from BOC group, November 2005.

Gas Price per Ton (US)

Nitrogen (N2) $97 Carbon dioxide $100

Hydrogen (H2) $7,680 Neon (Ne) $48,925 Argon (Ar) $425 Krypton (Kr) $91,240 Xenon (Xe) $577,780

Methane (CH4) $415

Of the different cryogenic fl uids mentioned in Table 7.2-1, only CO 2 , N2 , and mixtures of Ar and N2 have been successfully used in commercial systems. The other potential cryogenic fl uids have not been successful due to various reasons ranging from their potential reactivity with the wafer surface, the diffi culty of aerosol formation in terms of pressure and temperature, their unavailability in large quantities, and cost. Table 7.2-3 436 Dry Cleaning Processes gives the approximate cost of some of the cryogenic fl uids. It is easy to see that the cost of the rare gases (Ne, Kr, and Xe) is too exorbitant for them to be commercially viable to be used for cleaning. Xe, for example, is only available in limited quantities globally, about 48 tons per year, making it prohibitive for wafer cleaning applications requiring continuous supply of the gases.

It is because of these reasons, that CO 2 , N2 , and Ar/N2 traditionally have been used for cryogenic cleaning. In the subsequent sections we will focus on CO 2 , N2 , and Ar/N2 cryogenic aerosol processes and systems.

7.2.3 Thermodynamics of CO2 cryogenic aerosol systems Figure 7.2-2 is the pressure – temperature diagram showing the conditions at which CO2 can exist at a single phase or coexist in equilibrium at multiple phases. The critical point denotes the pressure– temperature condition above which CO 2 has the properties of both a liquid and a gas, this region is known as the supercritical CO 2 region, which will be described in Section 7.3. Carbon dioxide gas is stored in cylinders, and under normal conditions, at a pressure of 850 psi and a temperature of about 20° C (ambient or room temperature). The fi gure shows that at the standard cylinder pressure and temperature, CO2 coexists in equilibrium in both liquid and gas phases. The cylinders generally have dip tubes to extract liquid CO2 , which is heavier than the gaseous CO2 and reside at the bottom of the cylinder. Alternately, the gas from the cylinder head space can also be used for the formation of

CO2 cryogenic aerosols. The aerosol in CO2 cryogenic cleaning system is formed by fi rst extracting the fl uid from the cylinders which is then made to expand through

10000 Solid/Liquid 1000 Solid Supercritical Fluid 100 Liquid Sublimation Point 78.5C @ 1 atm 10 Liquid/Gas Critial Point 1 31.1C @ 73.0 atm Triple Point 0.1 –56.6C @ 5.11 atm Gas PRESSURE (ATM) 0.01 Solid/Gas 0.001 Ð120 Ð80 Ð40 0 40 80 TEMPERATURE (C)

Figure 7.2-2 Pressure and Temperature Values of Cryogenic Gases at Triple Point [24]. Used with permission from the author. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 437 an orifi ce of a specially designed nozzle [25 , 26 ]. The cleaning chamber is at atmospheric pressure. Thus, the fl uid undergoes an adiabatic expansion in the nozzle. During this expansion process, the fl uid also undergoes Joule – Thomson cooling, resulting in a temperature drop. The combination of pressure and temperature drop of the CO2 results in a dispersion of solid-phase particles (frozen) in gas-phase CO2 , the cryogenic aerosol exiting out of the nozzle. The aerosol stream consisting of frozen CO2 , also called “ snow ” , is responsible for contaminant removal that will be described in Section 7.2.5 . Although Figure 7.2-2 helps us to understand the pressure and tempera- ture changes that the CO2 fl uid undergoes during its transition to formation of cryogenic aerosol, it does not shed any insight into the effect of the start- ing phase on the fi nal aerosol composition. The pressure – enthalpy diagram of the three phases of CO2 [ 24 ], in Figure 7.2-3 , helps explain the depen- dence of the aerosol composition on starting fl uid phase, (i.e., whether it is liquid or gas). As seen in Figure 7.2-3, at a cylinder pressure of 850 psi and

ambient temperature of about 20° C, CO 2 can exist in equilibrium between liquid and vapor phases. Two examples of cryogenic aerosol are shown in

Figure 7.2-3 , one in which the CO2 fl uid starts out as liquid and the other in which the starting phase is vapor.

Liquid CO 2 in equilibrium with its vapor phase at 850 psi is shown as Point 1 in Figure 7.2-3, on the border of the liquid and liquid– vapor regions.

Thus starting from liquid at phase Point 1, as CO2 expands through the nozzle in an adiabatic and isoenthalpic manner, some of the liquid initially converts to vapor. Further, as the pressure decreases below 5 atm, the phase point crosses the boundary between liquid/vapor and solid/vapor regions during which a portion of the liquid freezes into cryogenic particles while

Figure 7.2-3 Pressure–enthalpy diagram of CO2 [24]. Used with permission from Gulf Publishing Company. 438 Dry Cleaning Processes the remainder turns into vapor. The resulting percentage of solid in the aerosol starting from liquid CO2 is around 40%. Phase Point 2 in Figure 7.2-3 shows the example of vapor CO2 in equi- librium with liquid. As the expansion through the nozzle takes place, some portion of the vapor condenses to liquid as the phase point crosses into the liquid/vapor region. On further expansion to less than 5 atm, the phase point crosses the solid/vapor boundary. A portion of the liquid freezes into solid while the remainder undergoes a phase change into vapor. The percentage of solid in the aerosol starting from vapor phase CO2 is less than 10%. The pressure– enthalpy diagram of Figure 7.2-3 therefore demon- strates that the CO2 aerosol composition and mechanism of formation are strongly dependent on the starting phase of the fl uid. This has process advantages and provides a means of controlling the areal density of the frozen solid particles in the aerosol plume (i.e., greater fraction of the solids starting from liquid CO 2 and less from gas). This is benefi cial when the solid content needs to be controlled for non-damaging surface conditioning process.

7.2.4 Thermodynamics of Ar/N2 cryogenic aerosol system

The cryogenic aerosol from Ar and/or N2 gas is similarly formed due to phase change as is the CO2 cryogenic aerosol, outlined in Section 7.2.3. The gaseous Ar or Ar/N2 is fi rst extracted from the cylinder at 110 psi and ambient temperature of about 20° C, and then is converted into the liquid phase by pre-cooling. Pre-cooling is achieved by passing the gas or gas mixture through liquid N 2 at –172° C. The pre-cooled Ar or Ar/N 2 mix- ture at –172° C and at 77 psi exists in equilibrium between its liquid and gas phases, as shown on the pressure-temperature phase diagram, Figure 7.2-4 . The liquid/gas mixture is then delivered to the process chamber, which is maintained at sub-atmospheric pressures. The fl uid mixture enters the chamber through a specially designed nozzle where it forms aerosol of liquid droplets dispersed in the gas phase. As the aerosol exits out of the nozzle and into the sub-atmospheric pressure chamber, the liquid droplets freeze during the expansion process through evaporative cooling, thereby generating the cryogenic aerosol inside the process chamber [21 ]. The phase path during the expansion of the liq- uid droplets is shown by the dotted arrow in Figure 7.2-4. As with the

CO 2 system, the cryoaerosols of frozen, solid particles dispersed in a gas stream are responsible for particle removal in surface conditioning. The mechanism of particle removal is discussed in Section 7.2.5 . 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 439

120

Solid Liquid 100 Gas

80 Pre-cool 60 Expansion

40 Mixed Phase 77 PSIA Pressure (PSIA) 101.5 K 20 Sublimate 0 50 60 70 80 90 100 110 120 Temperature (Kelvin)

Figure 7.2-4 Pressure–temperature diagram of Ar/N2 system [21]. Courtesy of FSI International.

Figure 7.2-5 Schematic of inhomogeneous contaminant removal in cryogenic aerosol cleaning process. Used with permission from the author.

7. 2.5 Particle removal mechanism in cryogenic aerosol cleaning This section describes the removal mechanism of inhomogeneous con- taminant, such as particles, by momentum transfer using cryogenic aero- sols. As discussed in Section 7.2 , the cryogenic aerosol is comprised of frozen solid particles entrained in a gas stream. The aerosol coming out of a nozzle is directed at particles on the wafer surface as shown in the schematic in Figure 7.2-5. The frozen or cryogenic particles collide with the contaminant particle thereby imparting force to it, thus momentum transfer. If the force imparted to it is greater than the force of adhesion, the contaminant particle on the wafer surface dislodges. The gaseous com- ponent of the aerosol then drags the particle away from the wafer surface.

A purge gas such as N2 , fl owing parallel to the wafer surface, is used as a 440 Dry Cleaning Processes curtain to keep the particle from re-depositing on the wafer surface from which it is removed. The fl ow of purge gas and the gaseous component of the aerosol stream over the Si wafer surface create a boundary layer, as described in Chapter 3. The solid cryogenic particles entrained in the gas stream must cross this layer to arrive at the surface and subsequently remove the contaminant particles [ 14 , 20 , 25 , 27 ]. During their fl ight through the boundary layer, the velocities of the cryogenic particles decrease due to the drag force by the gas within the boundary layer. This decrease in the particle velocity is known as the velocity relaxation time, t (in µ s), defi ned as:

2 2rCrpc t = Eq. (7.2-2) 9h

where r is the radius of the cryogenic particle (m), rp is the density of the 3 cryogenic particle (kg/m ), h is the viscosity of the CO2 gas (Pa.s), and C c is the Cunningham slip correction factor [ 28 ] given as:

1 C =+1 [6 . 32 + 2 . 01 exp( − 0 . 201Pr )] c Pr Eq. (7.2-3)

where P is the absolute pressure (kPa). The relaxation time in Eq. (7.2-2) indicates that the velocity of a cryogenic particle crossing the boundary layer will decrease to 36% of the initial velocity if it takes a time equivalent to one relaxation time. The equation also indicates that the relaxation time increases for larger cryogenic particles implying that they can cross the boundary layer with a greater fraction of their initial velocity. Figure 7.2-6 shows a calculation of the velocity of cryogenic particles crossing a 50- µ m thick boundary layer at an angle of 45 ° to the substrate. The fi gure indicates that particles larger than 2 µ m can cross the boundary layer with greater than 90% of the initial velocity [ 14 , 19 , 25 ]. In general, particle removal is accomplished by the frozen cryogenic particles crossing the boundary layer and colliding with the contaminant particles. There are several forces of adhesion between the inhomoge- neous contaminant particle and the wafer surface. The forces of adhesion between particle and substrate have been described in references [ 13 , 29 ] and in Chapter 3. Here, we will briefl y discuss the different forces of adhe- sion relevant in a dry cleaning method such as cryogenic aerosol cleaning in which the forces of adhesion exist with air as an intervening medium between the contaminant particle and the wafer. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 441

Figure 7.2-6 Velocity of cryogenic particles as a function of size upon crossing the boundary layer. Used with permission from the author.

Figure 7.2-7 Comparison of forces of adhesion between Si particle and wafer in air. The shaded region is the submicron particle region and the forces of adhesion dominant in that regime. Used with permission from the author.

The relative magnitudes of the adhesion forces of Si particles on Si substrates in air medium are shown in Figure 7.2-7 [25 , 29 ]. The Figure indicates that for sub-µ m particles, capillary force is by far the largest force, followed by van der Waals force with deformation, and fi nally the electronic double layer force. The Coulombic image force is small enough to be neglected for these particles. Although the calculations are for Si particles on Si substrate, the above conclusions are in general true for a wide variety of particles found on

Si or SiO2 fi lms on wafers during the IC manufacturing process. Since the cleaning chamber for cryoaerosol surface conditioning is generally kept at low humidity (i.e., in CO 2 aerosol cleaning the humidity is less than –35 ° C 442 Dry Cleaning Processes dew point) the capillary force does not contribute signifi cantly to the force of adhesion and is also neglected. Thus, van der Waals and electronic double layer forces are the dominant particle-substrate forces of adhesion in this cleaning method for sub-µ m particles on Si wafers. The forces exchanged between cryogenic and contaminant particles dur- ing collision are shown in Figure 7.2-8 in the two-body collision model. A cryogenic particle is seen crossing the boundary layer prior to colliding with a contaminant particle adhering to the surface of a wafer. The horizon- tal and vertical force components are shown in the fi gure. The boundary layer is considered to be thicker than the contaminant particle diameter, which is true for the sub- µ m- and nm-sized particles on a wafer surface. The aerosol particle loses velocity in the process by the drag force, as indicated in Eq. (7.2-2). The various forces of adhesion and removal are shown in the Figure. The horizontal forces (F T and F CT) help in removing the particle whereas the vertical forces (F van , Fel , and F CN) act to hold the particle to the wafer surface. The particle can be removed by either rolling

Figure 7.2-8 Schematic of particle removal process in cryogenic aerosol cleaning technique. Used with permission from the author. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 443 it off the surface or for larger, irregular particles, sliding it off. Whatever the method of particle removal, the force of removal must be greater than the force of adhesion for the particle to be successfully removed. Another important consideration in particle removal by momentum transfer from impact collision is the angle of impact q, shown in Figure

7.2-8 . The normal collision force F CN is a sine function of the impact angle:

FCN = FC sin q Eq. (7.2-4) whereas the tangential collision force is a cosine function:

FCT = FC cos q Eq. (7.2-5)

The angle of impact plays an important role in determining the relative magnitude of the tangential collision force versus the normal collision force. The greater the normal collision force, or higher the impact angle, the less likely it is for the contaminant particle to be removed. The angle of impact is primarily dictated by the angle of the nozzle to the wafer surface. However, there is yet another factor determining the impact angle: the relative size of the cryogenic and contaminant particles. Figure 7.2-9 shows how the angle of impact varies with the size of the cryogenic particle colliding with the contaminant particle of a given size. For example, a 0.5-µ m cryogenic particle can collide with a 0.1-µ m contaminant particle at a minimum angle of 30° . Therefore, in this example, if the nozzle is set at 45° to the wafer surface, as shown by the dotted line, the 0.5-µ m cryogenic

Figure 7.2-9 Variation of the impact angle as a function of contaminant particle size for different cryogenic particle diameters. The dotted line denotes the desired 45° impact angle as set by the nozzle. Used with permission from the authors. 444 Dry Cleaning Processes particles will have no diffi culty in colliding at angle q of 45 ° , and thus remov- ing the particle. However, the same is not true for a 5.0- µ m cryogenic particle colliding with the 0.1- µ m contaminant particle, in which the minimum angle of impact is around 75° , and would not remove the particle. Thus, to accom- plish sub-µ m particle removal only some of the cryogenic particles extruding from the nozzle will accomplish the goal. The particles at diameters larger than those needed to obtain the minimal angle will not remove the very small particles. Therefore, not all the particles of various diameters coming out of the nozzle will collide effectively with contaminant particle to remove it. The larger particles collide at a greater angle, whereas the smaller particles can satisfy the impact angle dictated by the nozzle angle. As discussed previ- ously, the greater the angle of collision, the higher is the vertical component of the force in Figure 7.2-8 compared to the horizontal component, making particle removal more diffi cult. It is therefore important to have a smaller cryogenic particle size dis- tribution for effective removal of sub-µ m particles. These small particles have suffi cient force for removal of the sub- µ m particles but without the damaging aspect of cryogenic cleaning [ 19 – 21 , 30 , 31 , 32 ]. The results of non-damaging cleaning processes will be shown in Section 7.2.7.

7.2.6 Cryogenic aerosol system description Two commercially available cryogenic aerosol systems are based on

CO2 , N 2 , and Ar/N 2 gases. The CO2 system is from Eco-Snow Systems, a division of BOC in Livermore, California, and the N2 or Ar/N2 system is the ANTARES ® CX from FSI International in Chaska, Minnesota. Figure

7.2-10 shows the commercially available CO 2 -based WaferClean 3600 ™ from Eco-Snow Systems, which is capable of processing up to 300-mm wafers. The automated system incorporates robots to transfer wafers from the FOUP to the cleaning chamber and back to a second clean FOUP. Cleaning takes place in an environmentally controlled chamber, purged with clean, dry N 2. The wafer is heated using a platen to offset the cooling effect of the CO2 spray. The environment is maintained at the highest pos- sible cleanliness level by re-circulating the cleaning chamber atmosphere through a high performance ULPA fi lter at a high fl ow rate. The Wafer- Clean ™ 3600 has SMIF load and unload ports. Figure 7.2-11 shows the process chamber ANTARES ® CX cleaning

system from FSI International. The process gas for cleaning (either N2 or a mixture of N 2 /Ar) is passed through a liquid N2 dewar for pre-cooling as described in Section 7.2.4 . The resulting gas/liquid mixture is then delivered 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 445

Figure 7.2-10 Waferclean™ 3600 manufactured by Eco-Snow Systems. Used with permission from Eco-Snow Systems, a division of BOC.

(a) (b)

Cleaned Portion Cryogenic Particles are of Wafer Aerosol removed with Nozzle Chuck flow stream

Figure 7.2-11 The left-hand side image shows the inside of the process chamber of an ANTARES® CX cleaning system. The right-side hand image depicts the fl ow pattern and particle transport path during cleaning. Courtesy of FSI International. to the process chamber through a nozzle mounted above the substrate to be cleaned. The nozzle consists of a number of evenly spaced holes capable of processing up to and including 300-mm substrates. The process chamber is maintained at a lower pressure than that of the pressure inside the nozzle resulting in the generation of cryogenic aerosols as the gas/liquid mixture exits the nozzle. The cryogenic aerosol in the process chamber consists of gas and solid aerosol clusters generated as the liquid phase is broken up and 446 Dry Cleaning Processes cooled when exiting the nozzle [21 , 33– 35 ]. With the proper processing conditions, the solid aerosol clusters impact the substrate, providing the necessary removal force to dislodge the particulates without structural damage. The solid aerosol clusters either sublimate or are swept away along with the dislodged contamination by a laminar fl ow of N2 gas.

7.2.7 Examples of cryogenic cleaning applications in microelectronic manufacturing Section 7.1.2 described the various applications of cryogenic aerosol cleaning for FEOL and BEOL integrated circuit manufacturing processes. In this section, some specifi c examples and results of those applications are presented.

Figure 7.2-12 shows particle removal effi ciency in a CO2 cryogenic aero- sol cleaning process. A bare Si wafer was seeded with colloidal silica slurry particles. Colloidal silica slurry is used for CMP process steps in IC manu- facturing such as shallow trench isolation (STI) SiO x CMP, W plug CMP, Cu barrier metal (TaN/Ta for example) CMP, and Cu metal CMP. The wafer for this study was prepared by dipping it in a dilute slurry mixture, then removing the wafer and rinsing it with DI H2 O (deionized water). It was subsequently dried and the number of slurry particles, at 0.12 µ m and above, on the wafer was measured with a SP1 laser light scattering instrument from KLA-Tencor, as described in Chapter 8. The particle-seeded wafers were exposed to the

CO2 cryogenic cleaning process from Eco-Snow Systems. The number of particles left on the wafer after the cleaning was again determined using an

Figure 7.2-12 Removal effi ciency of silica slurry particles using CO2 cryogenic technique on three wafers Unpublished results. Used with permission from Eco- Snow Systems, a division of BOC. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 447

Figure 7.2-13 An example of non-damaging particle removal with cryoaerosol processing. The left-hand side image is an optical microscope picture of a patterned SiO2 fi lm on a Si wafer with 250 nm lines having a 0.9 aspect ratio with fi ve different pitches of 1:1, 1:1.5, 1:2, 1:5, and 1:10, respectively. The right image is an SEM micrograph of 55-nm polySi lines, 2.5 aspect ratio and 1:1 pitch [31, 32]. Used with permission from Electrochemical Society and Semiconductor Industry Association (Semicon). Reproduced by permission of ECS—The Electrochemical Society from Banerjee, S., Borade, R., Sato, M., Hirae, S., Cross, P., and Rahgavan, S., ECS Transactions.

SP1. Cryogenic cleaning is capable of achieving better than 99% particle removal effi ciency on the three bare Si wafers, as shown in Figure 7.2-12 .

Figure 7.2-13 shows non-damaging cleaning with CO 2 cryogenic aerosol. The left-hand side picture is an optical microscope image of a wafer coated with an oxide-based fi lm (phosphorus doped-SiO2 ) line/ space pattern of several different pitches. The right-hand side image is an SEM (scanning electron microscope) image of 55 nm polySi gate lines on the SiO2 surface. Both structures showed no damage from the CO2 aerosol cleaning process. The inspection of the full wafer was done using a KLA 2132 pattern wafer inspection system. Damage of the lines would be in the form of missing lines, where the cryoaerosol physically removed the oxide fi lm or the polySi [ 31 , 32 ]. ® The N2 cryogenic aerosol cleaning system known as the ANTARES CX AspectClean ™ was compared to a traditional FEOL SC-1/SC-2 wet bench clean post-polySi gate etch prior to SiN spacer deposition. The unsupported polySi gate structures are sensitive to damage. In this analysis, the Aspect- Clean™ process decreased the total defect density by just 10% but without any damage to the unsupported polySi gates. Multiple wafer lot analysis averaged a 12% defect density reduction for the AspectClean™ process. A split lot comparison between the wet SC-1/SC-2 and AspectClean ™ process determined that the absolute product yield was increased by 1.8% with the dry, cryogenic AspectClean ™ process [ 36 ]. 448 Dry Cleaning Processes

The cleaning of high aspect ratio structures used in BEOL processes with CO2 cryogenic aerosol is shown in Figure 7.2-14. The trenches formed in a methyl-silsesquioxane (MSQ) low-k fi lm after etch was sub- jected to a CO2 cryogenic cleaning procedure. The post-cleaning SEM micrograph shows effective particle removal from the surface without damage to the trenches.

The effect of CO 2 cryogenic cleaning on dielectric fi lms used in BEOL integrated device manufacturing was investigated in [ 18 ]. Figure 7.2-15 shows the bulk fi lm properties of a carbon-doped oxide (CDO) fi lm as determined by Fourier transform infrared (FTIR) spectroscopy. The

Figure 7.2-14 Trench structures in low-k dielectric carbon doped oxide fi lm after

CO2 cryogenic cleaning. Unpublished results. Used with permission from Eco-Snow Systems, a division of BOC.

Figure 7.2-15 FTIR spectrum of carbon doped oxide low-k fi lm before and after CO2 cryogenic cleaning [18]. Reproduced by permission of ECS—The Electrochemical Society from Banerjee, S., Via, A., Joshi, S., and Eklund, J., Cleaning Technology in Semiconductor Device Manufacturing VI. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 449 analysis showed that the CDO signature peaks of C– H, Si– H, and Si– C after cleaning were unchanged indicating no change in the bulk fi lm composition. The dielectric constant of CDO was also measured by a Hg probe before and after cryogenic cleaning. The dielectric constant values were 3.08 before cleaning and 2.95 after cleaning, indicating that there was no degradation in the dielectric properties of the material due to the cryogenic cleaning process. Figure 7.2-16 shows reduction of the in-line TiN barrier defect density at the second via level after implementation of the ANTARES ® CX cryogenic aerosol clean [ 37 – 39 ]. The SEM micrographs in Figure 7.2-16A show two representative defects of size 10 and 0.2 µ m. The X-ray photo- electron spectroscopy (XPS) analysis of the defects, shown to the right of the SEM picture, indicates that both are Cu-containing particulates. The ANTARES® CX cryogenic aerosol cleaning process successfully removed by both of these particles. Figure 7.2-16B shows the defect density varia- tion as a function of lot number for several successive runs. The defect density chart shows a signifi cant reduction in defects after the insertion of the cryogenic aerosol cleaning process.

7.2.8 Summary of cryogenic cleaning Cryogenic aerosol is a dry, non-aqueous, surface conditioning and cleaning method. The contaminant removal is primarily by physical momentum transfer and hence does not etch or oxidize surface fi lms as may occur in conventional wet cleaning. The technology has been imple- mented for CO2 , N 2 , and Ar/N 2 cryoaerosols to address particle removal without damage to FEOL transistor gates or the high aspect ratio patterns in the BEOL. This surface cleaning and conditioning method addresses a number of challenges posed by conventional wet and plasma cleaning in integrated circuit manufacturing and is an integral part of the ITRS road- map for advanced cleans.

7.3 Introduction to Supercritical and Densifi ed Fluid Cleaning

The discovery of supercritical fl uids dates back to 1879 when Hanney and Hogarth reported [40 ] on the ability of a supercritical fl uid to dissolve low-vapor pressure solid materials. They found that gases could be good solvents under supercritical conditions and that their dissolving power 450 Dry Cleaning Processes

(A)

10µm

0.2µm

SEM XPS Analysis

(B) 1.6

1.2

Defect Insertion of Cryogenic 0.8 Density Aerosol Process (#/cm2)

0.4

0 Lot Number

Figure 7.2-16 (A) BEOL cleaning results with ANTARES® CX cryoaerosol cleaning system showing typical defects removed by the ANTARES® CX process and their representative XPS spectra showing the compositional analysis [37–39]. (B) BEOL cleaning results with ANTARES® CX cryoaerosol cleaning system showing resulting defect densities after insertion of Ar/N2 cryogenic aerosol for post-Cu CMP process [38]. Courtesy of FSI International. Reprinted from MICRO Magazine. Copyright 1999 and 2002, Tom Cheyney, Los Angeles, CA. Used with permission. was pressure dependent. At the time there was great controversy over the fi ndings that a “ gas ” could dissolve solid materials. Many researchers, for quite a number of years, have studied the solubility phenomena of supercritical fl uids. However, it was not until the mid-1960s that using supercritical fl uids for extraction processes to eliminate residual solvents 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 451 in food products gained attention. In 1978 a plant was built in Bremen,

Germany for the decaffeination of coffee using supercritical CO 2 . Since that time, the uses of supercritical fl uids have been demonstrated for a variety of applications including pharmaceuticals [ 41 ], textile process- ing [42 ], dry cleaning [43 ], polymerizations [44 ], coatings [45 ], food extractions [ 46 ], chromatography [ 47 ], and precision cleaning [ 48 ]. In the early 1990s the Joint Association for the Advancement of Supercritical Technology (JAAST), a research consortium consisting of industry, university and national laboratory partners was formed to help facilitate and stimulate the use of supercritical fl uid technology applications for the replacement of traditional solvent cleaning processes.

Environmental concerns and the need to eliminate the use of O 3 deplet- ing chlorofl uorocarbons (CFCs) used in cleaning processes motivated this work. The focus of this initial work was on precision cleaning of parts such as electronic assemblies, optical and laser components, electromechanical elements, ceramics, plastics and machined metals [49 ]. The cleanliness requirements for these cleaning operations are far less stringent than those for semiconductor applications. In the mid-1990s an interest in cleaning semiconductor devices began.

This was partially driven by a desire to signifi cantly reduce H2 O con- sumption and chemical usage in the processes of cleaning semiconductor wafers. In addition to these environmental concerns there were technical challenges for cleaning the increasingly complex semiconductor struc- tures. As device dimensions approach the nanoscale, it becomes increas- ingly diffi cult to use aqueous-based cleaning processes due to high surface tension and capillary forces. Effective penetration into the high aspect ratio trenches and via structures is challenging. Rinsing and drying steps will become even more diffi cult. An outgrowth of this was cooperative research and development work between Los Alamos National Laboratory (LANL) and industrial partners which culminated in the development of the SCORR (supercritical CO 2 for resist removal) [ 50 ] system. Research and development work at IBM [ 51 ] and the formation of two supercriti- cal fl uid equipment companies (Supercritical Systems Inc. and SC Fluids Inc.) brought interest in the potential use of this technology for semicon- ductor processes.

7.3.1 Defi nition of supercritical fl uids Supercritical fl uids are moieties that exist above their critical temperature and critical pressure. This has been considered a fourth state of matter where 452 Dry Cleaning Processes the material is neither a liquid nor a gas but has the benefi cial properties of both. In the supercritical state the fl uid has the diffusivity of a gas with the solvency of a liquid and zero surface tension. These become very desirable properties for cleaning and drying the densely packed, high aspect ratio structures of advanced semiconductor devices.

7.3.2 Concept of supercritical fl uid cleaning In addition to the effi cacy of the process, the introduction of supercritical critical fl uids into IC device production is dependent on several issues: particle and metal contamination, cost of ownership, infrastructure require- ments, and environmental health/safety issues. In terms of choosing the appropriate supercritical fl uid, CO2 meets each of these concerns.

7.3.2.1 Properties of gases suitable for supercritical fl uids In Table 7.3-4 , the critical parameters of several fl uids are noted. As one might expect, noble and inert gases have relatively low parameters, and more complex organics and liquids have more severe critical requirements.

Table 7.3-4 Critical Parameters for a Range of Gases and Liquids [52]. Compiled by Authors from Data Obtained from the National Institute of Stan- dards and Technology.

Critical Critical Critical Temperature Pressure Density (°C) (psi) (g/cm3)

Helium (He) –268 33 0.070

Nitrogen (N2) –147 493 0.313 Argon (Ar) –122 705 0.536

Carbon dioxide (CO2) 31 1070 0.468 Chlorodifl uoromethane

(CHClF2) 96 724 0.524 Ammonia (NH3) 132 1644 0.225 Hexane (C6H14) 235 440 0.233 Cyclohexane (C6H12) 280 591 0.273 Benzene (C6H6) 289 710 0.309 Toluene (C7C8) 321 614 0.290 Water (H2O) 374 3200 0.322 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 453

In the construction of production-worthy tools, the integrity of the valves and seals are important when working at high temperatures and pressures, therefore the demand that either pressure or temperature, but not both, be withstood. For example, many polymers can withstand high pressure, but at high temperatures seal stability becomes an issue. As the critical pres- sures and temperatures are merely the thresholds, solubility of cosolvents may demand much higher temperatures. Carbon dioxide has reasonable solubility for many cosolvents and has both a relatively low supercritical pressure and temperature.

7.3.2.2 Pressure Ð temperature diagrams The accommodation of cosolvents or reactants in a supercritical medium demands both solubility and effective transport to the reaction surface. As seen in Table 7.3-4, the critical density of supercritical CO2 is less than 50% 3 of liquid CO2 (r = 0.89 g/cm ); consequently, mass transport of a reactant is quite rapid, even for low concentrations. Furthermore, the solubility of many cosolvents into CO2 is dependent on the density of the co-solvent. Because of this physical parameter, the amount of reactant available for a reaction can be controlled. Temperature of the supercritical fl uid will affect reaction kinetics due to the Arrhenius equation; however, higher tempera- tures will require an increased pressure to maintain the same density. Figure 7.3-17 describes the pressure– temperature dependence for the density of

5000 4500 ρ =0.3 4000 ρ =0.4 3500 ρ =0.5 3000 ρ =0.6 2500 ρ =0.7 2000 ρ =0.8 Pressure (psi) Pressure 1500 1000 30 40 50 60 70 80 Temperature (¡C)

Figure 7.3-17 The pressure and temperature dependence for supercritical CO2 (densities, r, are in g/cm3) [52]. Compiled by authors from data obtained from the National Institute of Standards and Technology. 454 Dry Cleaning Processes

supercritical CO2 . Clearly, balancing kinetics and mass transport requires fl exible reactor capability.

7.3.3 Overview of supercritical fl uid cleaning systems An entire book could be fi lled describing the design of systems for supercritical fl uid cleaning processes; however, in this section we will limit the description to an overview of the basic supercritical fl uid system and its major components. A generic system diagram is provided in Figure 7.3-18 . The system has the following components:

1. The CO2 supply is in the form of gas cylinders or bulk supply tanks

2. A high pressure pump is used to bring the CO 2 above the critical pressure and to the desired working pressure 3. The co-fl uid reservoir and pump add cosolvents or other

additives to the CO2 4. A heater is used to raise the temperature above the critical point and to the desired working temperature 5. The cleaning vessel is provided where the wafer is placed and the cleaning process occurs

High Heater Cleaning Separator Pressure Vessel CO2 Pump supply

Co-fluid supply and pump To exhaust or Recycle

Figure 7.3-18 Diagram of generic supercritical fl uid system. Used with permission of authors. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 455

6. A separator is used where the CO2 becomes a gas through the expansion process and bulk contaminants precipitate out of solution and are collected for disposal 7. All valves, piping and safety devices are rated for high- pressure usage

8. The optional recycling system is used to purify the CO2 and to enable reuse within the system.

Since the design of this vessel is for high-pressure use, it needs to be tested, certifi ed and code-stamped by a representative of the American Society of Mechanical Engineers (ASME). Safety devices include pres- sure relief valves and rupture disks. This generic system confi guration is similar to systems used for most supercritical fl uid processes. The major differences for the application of supercritical fl uids to the cleaning of semiconductor wafers are the system requirements for contamination control. Semiconductor devices are much more sensitive to particulate and molecular contamination than even food or pharmaceutical applications. Particle diameters of 100 nm can result in killer defects on a semiconductor device [ 1 ]. Molecular contamination that can result from oils or lubricants in the system can also become defects on a semiconductor wafer. In the food and pharmaceutical industry, this problem is avoided by making the system lubricants of food grade materials. There are two concepts for system confi guration that have developed. One is to have a bulk system with a large high-pressure pump remote from the cleaning vessels, as shown in Figure 7.3-19 . An alternative approach is to use a smaller high-pressure pump contained within the cleaning system footprint. In this approach the exposure to high pressure is contained within a small area. Figure 7.3-20 shows a commercially available research and development system from BOC Edwards.

7.3.4 Applications using supercritical fl uid cleaning 7.3.4.1 Photoresist and polymer removal Photoresist must be removed from semiconductor wafers numerous times during the IC fabrication process. The ease or diffi culty of removal will depend upon the processes that the photoresist was subjected to, such as heat treatments, plasma etching or ion implantation. Many investigators [54– 62 ] have demonstrated the use of supercritical fl uids to remove photore- sist under a variety of conditions. The early work on the SCORR process by 456 Dry Cleaning Processes

Figure 7.3-19 Schematic diagram of a production scale scCO2 system [53]. Reprinted from MICRO Magazine. Copyright 2004, Tom Cheyney, Los Angeles, CA. Used with permission.

Figure 7.3-20 (A) Processing chamber for a scCO2 research and development tool manufactured by BOC Edwards. Used with permission of BOC Edwards. (B) The outline of the various components research and development tool manufactured by BOC Edwards. Used with permission from Eco-Snow Systems, a division of BOC. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 457

Los Alamos National Laboratory has indicated that the photoresist swells by the diffusion of CO2 into the polymer matrix and that the cosolvent helps to degrade the polymer and break bonds at the surface. Figure 7.3-21A shows an example of swollen photoresist in the midst of processing. Figure 7.3- 21B shows the complete removal of the photoresist layer.

The mechanisms for removing photoresist using scCO2 processing differ from a typical wet chemistry approach. Instead of dissolving the photoresist, the scCO2 can be used to swell the polymer and then debond it from the surface. Photoresist that has been subjected to a plasma etch has a “ polymeric crust” along the edge of the pattern and top surface and is more diffi cult to remove than the underlying material. Therefore the use of this debonding approach can be quite effective. Examining photoresist samples midway through the process can pro- vide details on the mechanisms. Figure 7.3-22 shows that the photore- sist is swollen and attacked where the edges of the pattern have begun.

Since the supercritical CO 2 can penetrate through the “ crust ” it can attack the underlying photoresist and actually start to extract it. Continuing with the processing and introducing pulses of rapid depressurization and then repressurization indicates that the photoresist debonds from the surface and is lifted off in sheets, as shown in Figure 7.3-23 . The additional requirement is that there be suffi cient fl uid fl ow to remove the debonded photoresist. If the fl uid fl ow is insuffi cient, the debonded photoresist remains on the wafer surface. The similarity exists in the thin- ning of the boundary layer as observed with aqueous cleaning, discussed in Chapter 4.

Figure 7.3-21 Photoresist removal (A) midway through the scCO2 process and (B) after exposure, showing complete photoresist removal. There were cosolvents added to the scCO2 using the SCORR process [55]. Used with permission from Laura B. Rothman, presented at the Green & Sustainable Chemistry Conference, Royal Society of Chemistry. 458 Dry Cleaning Processes

Figure 7.3-22 Penetration of scCO2 and cosolvent into photoresist, midway in the process [63]. Rothman, L. B., Robey, R. J., Ali, M. K., and Mount, D. J., “Supercritical fl uid processes for semiconductor device fabrication,” Advanced Semiconductor Materials Conference (ASMC) Proceedings. Copyright (2002) IEEE. Used with permission.

Figure 7.3-23 After depressurization and repressurization of the scCO2 to debond the photoresist; (A) top view and (B) cross section [54]. Used with permission from Laura B. Rothman, presented at the NSF/SRC Annual Meeting 2003.

In most chemical processes, increasing the temperature will accelerate the process. However, in supercritical fl uid processes, there are competing forces so that higher temperature cannot be assumed to yield better results. At higher temperatures the density of the fl uid is lower which could result in lower solubility. The glass transition temperature is the temperature above that a polymeric substance softens and becomes rubbery and viscous. Stud- ies by Sawan [64 ] have shown that CO2 at high pressure can plasticize poly- mers and cause signifi cant reductions in the glass transition temperature. This has been observed as shown in Figure 7.3-24 where the temperature was increased and the photoresist melted and fl owed instead of being removed.

Two factors that affect a polymer’s ability to solubilize CO 2 are the crystallinity and the amount of cross-linking in the polymer. The presence 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 459

Figure 7.3-24 Melt and fl ow of photoresist after exceeding glass transition temperature [63]. Rothman, L. B., Robey, R. J., Ali, M. K., Mount, D. J., “Supercritical fl uid processes for semiconductor device fabrication,” Advanced Semiconductor Materials Conference (ASMC) Proceedings. Copyright (2002) IEEE. Used with permission.

of crystallinity in a polymer will inhibit the sorption of CO 2. Highly cross- linked polymers solubilize less CO2 than those with less cross-linking. The sorption of CO 2 subsequently results in swelling of the polymer and is further enhanced if the glass transition temperature is exceeded. Addi- tional disruption of polymer can occur through interactions with the solu- bilized CO2 and/or cosolvent. Upon depressurization, the solubilized CO2 begins to expand as the vessel pressure decreases. This expansion of the gas, and the reduced hydrostatic pressure exerted on the polymer, often results in a temporarily enhanced polymer swelling effect. Before the polymer/polymer interactions can be re-established, stress cracks, bubbles or complete debonding of the polymer fi lm can occur as the CO2 rapidly desorbs. For the photoresist to be completely stripped, three mechanisms must be combined into the process: CO 2 and cosolvent at the appropriate temperature and pressure swell the polymer; coupled with rapid decom- pression debond and delaminate it from the surface; and, fi nally, fl uid fl ow remove it off the wafer surface. Some alternative approaches for photoresist removal using supercriti- cal CO2 are to employ a cosolvent system that will actually dissolve the “ crust ” layer and underlying photoresist. The use of Hansen Solubility Parameters (HSP) as a guide to cosolvent selection has been employed 460 Dry Cleaning Processes

Patterned photoresist

Si substrate (A) (B)

Figure 7.3-25 SEM image of the (A) ion implanted DUV (deep ultraviolet) photoresist control sample and (B) completely stripped sample after processing using a scCO2 with a chemical additive formulation [57]. Reproduced by permission of ECS—The Electrochemical Society from Korzenski, M. B., Xu, C., Baum, T. H., Saga, K., Kuniyasu, H., and Hattori, T., Eighth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing. for photoresist removal [ 56 , 65 ]. The cosolvent system may include more than one solvent. Since a polar solution does not easily dissolve in the non-polar scCO2 , the addition of an intermediary solvent with polar and non-polar groups that are soluble in scCO 2 can be used. Korzenski et al. [57 ] have found that the addition of polar cosolvents to scCO2 are effective for low-dose ion implanted photoresist but not for medium or higher doses (doses are discussed in Chapter 6). For dissolution of the high-dose ion implanted photoresist, chemical additives were necessary.

The use of a reduction or fl uorination mechanism to form scCO2 -soluble fl uorides or hydrides resulted in complete removal of the photoresist by a dissolution process. Before and after results are shown in Figure 7.3-25. Levitin et al. [ 58 ] have investigated the reactions between tetra- methylammonium hydroxide (TMAH) and CO 2 for its use in removing photoresist and post-etch residues. Studies demonstrated that it is the bicarbonate salt acting as the active ingredient for cleaning. The use of tetramethylammonium bicarbonate (TMAHCO3 ) as an effective additive to the scCO2 system was also studied as a function of phase behavior. It was found that single phase mixtures resulted in more effi cient cleaning of post-etch residues than the two-phase mixtures.

7.3.4.2 Post-strip residue removal The approaches for removing post-ash residue are similar to photoresist removal. One concern has been the large amounts of cosolvents and active chemistries that are added to scCO2 to support ionic dissociation and 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 461

solvation of post-ash residues. Another approach is the use of H2 O-in-CO2 micro-emulsions, as demonstrated by work done at Micell [53 , 59 ]. The surfactants added to CO2 have a polar head group that point inward and a CO2 -philic tail that forms what is known as a reverse micelle. These micelles are believed to remove process residues. The polar domains in the micro-emulsion serve two functions: fi rst to provide the medium for solvation and second to remove H 2 O-soluble polar contaminants and deliver the active chemistry that removes the rest of the residues. Figure 7.3-26 shows a schematic of a surfactant design. Experimental results indicated that 80% of post-stripping residues is removed with pure H 2 O-in-CO2 microemulsions; however, for complete 100% removal of residues the addition of active chemistry is required [ 59 ]. SEM and TEM (transmission electron microscopy) images are shown in Figure 7.3-27 . For Al post-etch residue removal, Korzenski et al. [57 ] have developed a multi-component system consisting of an organic co-solvent, an etchant, a hydroxyl-containing chelator for etch residue removal along with a corrosion inhibitor to protect the Al and poly-Si surfaces. The dissolution mechanism can involve fl uorination or chelation of the metal residue as depicted in Figure 7.3-28 . The theory in both cases is to make a product that is more soluble in the scCO 2 cosolvent solution than the original residue. Before and after results are shown in SEM images in Figure 7.3-29 .

7.3.4.3 Particle removal Similar approaches to swell and debond could be used for organic particle removal. However, for inorganic particles a different method has been demonstrated. Korzenski et al. [66 ] have described the use of

Figure 7.3-26 Design of surfactants and formation of micelles in scCO2: (a) CO2- philic structure, (b) CO2-phobic structure, and (c) micelles [53]. Reprinted from MICRO Magazine. Copyright 2004, Tom Cheyney, Los Angeles, CA. Used with permission. 462 Dry Cleaning Processes

Figure 7.3-27 SEM and TEM images of post-trench feature with JSR 5109™ as the low-k fi lm on a coated Si wafer; (a) and (d) show the wafer before cleaning;

(b) and (e) show the wafer after processing with H2O-in-CO2 micro-emulsion containing active chemistry; (c) and (f) show aqueous cleaned samples micelles [53]. Reprinted from MICRO Magazine. Copyright 2004, Tom Cheyney, Los Angeles, CA. Used with permission.

Scheme 1: Fluorination

MxOy + 2NR3HF MxOy-1F2 + 2NR3 + H2O

Scheme 2: Chelation O O O + R C M+n R C M C R O O O

Chelating agent Insoluble SCCO2 soluble metal polymer metal chelate

Figure 7.3-28 Scheme 1: metal ion converted to an oxyfl uoride. Scheme 2: metal ion chelated by organic group [57]. Reproduced by permission of ECS—The Electrochemical Society from Korzenski, M. B., Xu, C., Baum, T. H., Saga, K., Kuniyasu, H., and Hattori, T., Eighth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing.

chemical additives that include a combination of etchant, surface passivator and fl uorinated surfactant in scCO2 in order to remove Si3 N 4 particles from Si or SiO 2 surfaces. Particles are removed by an undercut mecha- nism whereby the particle/surface adhesion layer is attacked by the etchant as described in Chapters 1 and 4. In order to minimize the etching of the 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 463

Figure 7.3-29 SEM images of samples with post-etch residues (a) before cleaning and (b) after scCO2 cleaning [57]. Reproduced by permission of ECS— The Electrochemical Society from Korzenski, M. B., Xu, C., Baum, T. H., Saga, K., Kuniyasu, H., and Hattori, T., Eighth International Symposium on Cleaning Technology in Semiconductor Device Manufacturing.

Figure 7.3-30 Optical microscope images of (a) a patterned wafer with Si3N4 particles on the surface and (b) the wafer processed in scCO2 with chemical additives [66]. Used with permission from Scitec Publications.

surface, a passivator is added. It is a fi ne balance in chemistry and other scCO 2 processing conditions of temperature and pressure to have the proper concentration of etchant to allow removal of the particle and not over-etch the underlying surface. Figure 7.3-30 shows particle removal results. It was found that particle removal effi ciency improved with increasing pressure.

As pressure is increased, the density of the scCO2 solution also increases, which improves the solubility of the chemical additives. Another method for particle removal has been developed by Micell Inte- grated Systems employing the use of a secondary gas into supercritical CO 2 [67 , 68] . Because the secondary gas is insoluble in the supercritical phase, this method also permits incorporation of additional cleaning elements within the scCO 2 After a requisite resident time on the wafer, the scCO2 cleaning solution is displaced from patterned structures due to pressure 464 Dry Cleaning Processes from the secondary gas. As it is “ pushed out” of features by the secondary gas, the scCO2 carries particles and soluble species with it. The entire pro- cess is depicted in Figure 7.3-31.

7.3.5 Dielectric repair applications

While H 2O has limited solubility in CO 2, liquid and scCO 2 can effectively remove moisture from porous low-k fi lms. Because the dielectric constants of insulators used for interlayer dielectrics (ILD) are extremely sensitive to absorbed H 2 O ( k = 78), prevention and/or removal of H2 O are critical to device performance and reliability. Many silica-based low-k fi lms are inher- ently hydrophobic due to inclusion of methyl groups (CH3 – ) within the Si – O network. However, plasma processes that are typically used in IC manu- facture, such as etching and photoresist stripping (as described in Chapter 6), remove these hydrophobic C-moieties to a signifi cant depth, leading to replacement with hydrophilic silanol groups (Si– OH). Dynamic second- ary ion mass spectroscopy (DSIMS) profi les indicate tshat the C-depleted regions may be as thick as 400 Å [69 ]. The sample geometry and plasma species employed in the ashing process affects the type and concentration of resulting silanol varieties: isolated or unhindered-rotator, vicinal or hin- dered-rotator (e.g., H-bonded), and geminal, as shown in Figure 7.3-32 . Due to their polar nature, these hydroxyl ( – OH) groups will impact the dielectric constant of the low-k dielectric directly by increasing the fi lm orientational polarization, and indirectly through the absorption of moisture.

As stated previously, pure scCO2 , despite limited solubility, can remove signifi cant amounts of H2 O in a dynamic fl ow system. Cosolvents (e.g., alcohols) serve to increase the solubility of H2 O in scCO 2, enhancing H2 O extraction. Even at modest porosities, uncapped low-k fi lms rapidly uptake

sc CO2 cleaning solution fills feature

Secondary gas displaces sc CO2 Displaced sc CO2 carries particles out of feature

Figure 7.3-31 A depiction of the Micell cleaning process [67, 68]—particles are removed from the feature by cleaning chemistries in the scCO2, the secondary gas displaces the scCO2 and the entrained particles. As depicted by the authors. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 465

vicinal (hindered)

H isolated (unhindered) O H O 3CH Si H O Si H Si O O O O O O geminal O Si O Si Si O O H O O Si O

Figure 7.3-32 Silanol species created during some ashing processes of methylsesquioxane (MSQ) and porous organosilicate glass (p-OSG). Used with permission of the authors.

Figure 7.3-33 Possible molecular arrangements for methylsilsesquioxane (MSQ): ladder (left) and cage or cube (right). Used with permission from the authors. moisture in wafer fab environments. To block the absorption or reabsorp- tion of H2 O, silylating agents have been dissolved in scCO2 . These agents include, hexamethyldisilazane (HMDS) [70 , 71 , 72 ], trimethylchlorosilane (TMCS) [73 , 74 ], trialkylchlorosilanes [75 ], dialkyldichlorsilanes [76 ] alky- ltrichlorosilanes [ 76 ], and monoalkyl (long chain) dimethylchlorosilanes [77 , 78 ]. In addition, the hygroscopic nature of the silylating agent per- mits the reaction and removal of some surface-adsorbed H2 O. TMCS, HMDS, or other alkylsilanes can react with silanols to form trimethylsilyl (or trialkylsilyl) groups repairing the native surface hydrophobicity of the fi lms as shown in Figures 7.3-33 and 7.3-34 . Porous MSQ and organosili- cate glasses (p-OSG) are candidates for low-k dielectrics in future copper interconnect structures. P-OSG structures lack the order of MSQ in part due to their CVD deposition. In addition P-OSG fi lms can have smaller pore sizes, graded densities, and controlled fraction of hydrophobic groups. Consequently, these materials have somewhat differing properties, but 466 Dry Cleaning Processes

CH H CH CH OH OH 3 3 OH OH 3 CH Si N Si CH 3 3 CH3 CH Si Si Si OH CH 3 Si Si CH O O O 3 O O O O 3 O O Si O O Si O Si Si O O Si O OH O O OH Si Si Si O O O O CH Si OH Si OH H 3 N Si CH O O O O 3 CH H CH OH OH 3 3 CH Si 3 Si Si CH O O O O 3 O O Si Si O O O OH Si Si O H O CH3 N H + Si O Si CH3 H CH O O 3

Figure 7.3-34 HMDS silylation reaction of surface silanols in MSQ. and p-OSG. Used with permission from the authors. basic trends in behavior in scCO2 are similar. Possible structures of MSQ are shown in Figures 7.3-33 and 7.3-34 . The reason for the preference of silylation reaction with isolated or vicinal silanols of the MSQ is unclear. There is some evidence that vicinal spe- cies react preferentially with liquid HMDS [79 ]; however, this fi nding is not universally accepted [ 80 ]. Gun’ko et al. suggests that HMDS preferentially reacts with free silanol and subsequently one silanol at each geminal site. The remaining geminal silanol reacts much slower, and hydrogen bonded silanols show extremely limited reaction. Gun’ko attributes limited HMDS reaction with H-bonded sites to steric effects in fumed silica particles. Based on FTIR results, Xie and Muscat reported that HMDS reacted with a large fraction of the H-bonded vicinal species [ 71 ]. The relative reactivity is in part a function of the post-plasma stripping process surface condition. For blanket fi lms (such as those used by Xie and Muscat) or for trench bottoms, the plasma kinetic energy impinges directly on the fi lm resulting in high removal rates of methyl groups and a concomitantly high proportion of silanols. As the fraction of surface silanols increases, the probability for the occurrence of adjacent (or vicinal) silanols likewise increases. The surface conditions of the silica species described by Xie and Gun’ko differ considerably and neither represents the plasma exposure experienced by trench or via sidewalls; therefore, it is diffi cult to determine the relative reactivity of HMDS on plasma damaged in patterned device wafers.

While scCO 2 silylation reaction preferences are not well understood, exposures to silylating agents rapidly increase the fi lm contact angle (i.e., 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 467 hydrophobicity) at relatively low concentrations (1 vol%) and at short reac- tion times (1– 2 min) [70 ]. As discussed previously, the contact angles after silylation are affected by the nature of the plasma damage. The contact angles for O2 - and H2 -based plasma strip processes do not change signifi - cantly as a function of HMDS reaction time. Hydrogen-plasma stripped MSQ has a very low contact angle, but the hydrophobicity rapidly increases

(within 1– 2 min) to the values for unashed-MSQ. Under O2 -based plasma strip conditions, the repaired contact angle does not reach the unprocessed value, but does attain its maximum value within 1– 2 min [81 ]. The lower hydrophobicity of repaired MSQ after a O2 -based plasma strip compared to H2 -based processes is likely due to the unavailability of some surface sites for silylation. If more vicinal silanols are formed during the O2 -based strip process, then bridged O-species would form that would not be susceptible to silylation. Reaction of vicinal species to form bridged O-species (Si – O – Si) occurs during bakes of 400° C. Plasma-stripped MSQ samples that have been baked prior to silylation exhibit lower contact angles suggesting that the vicinal species are no longer available for silylation and fewer trim- ethylsilyl groups are produced. Pressure and temperature do not show a signifi cant effect on silylation although carbamate ( – NH(C = O)O – ) forma- tion can occur under high-pressure conditions. MSQ reactions with HMDS under two different conditions, 40 ° C/1400 psi and 60 ° C/2700 psi, have shown quite similar results [ 69 ]. The lower pressure data indicate a satura- tion of silylation within 1min of exposure at a contact angle of about 63 ° . At 2700 psi, the contact angles reach approximately 50 ° within 1 min, and slowly increase as a function of time. The difference in these contact angles is likely due to sample conditions. The 2700-psi samples were handled and measured within a clean room environment while the 1400-psi samples were not. Because the cleanroom samples would have a limited number of surface particles, the additional airborne particles on the 1400-psi silylated wafer could very likely increase the contact angle. The gradual increase in contact angle for the 2700 psi samples was studied using the XPS to examine changes in the surface C species concentration. XPS spectra for unprocessed, O2 -plasma stripped, and scCO 2-HMDS-repaired MSQ show a signifi cant loss of C species (i.e., methyl groups) after the stripping process and an increase in C species after scCO2 -HMDS repair [ 69 ]. Dynamic secondary ion mass spectroscopy results strongly suggest that the depth of the silylation repair is very limited [ 69 ]. While it was believed that increased pressure and temperature of the supercritical medium would increase the penetration of HMDS into the porous fi lm, there is no signifi cant difference between the C depth profi les of the plasma stripped and repaired samples greater than 20 Å below the surface. Further, no differences have 468 Dry Cleaning Processes been observed in the C concentration profi les as a function of HMDS reac- tion time [ 69 ]. The failure of HMDS to penetrate deeply into the fi lms is likely due to initial surface silylation reactions that block further diffusion of HMDS into pores and, thus, prevent reaction with silanols below the surface layer. Although C-moiety replacement is very limited within the fi lm bulk, surface silylation performs a key function — the prevention of adsorption of additional moisture. For example, a plasma stripping process can increase MSQ dielectric constant from a value of 2.2– 3.5, indicating signifi cant depletion of C. After scCO2 /HMDS treatments, the dielectric constant can be repaired to approximately 2.5 although this can be variable depending on the plasma strip process conditions. Additionally, for plasma stripped fi lms the increased fi lm dielectric constant is due to H2 O absorption rather than the replacement of methyl groups with silanol groups. Nonpolar methyl group replacement by a polar silanol group can lead to a slight increase in k , but this is dwarfed by the increased polarization due to absorbed H2 O [ 69 ]. Supercritical CO 2 repair of the plasma-damaged low-k also prevents fi lm thickness loss during subsequent wet etching steps [82 ]. The plasma-induced loss of hydrophobic methyl functionalities leaves the-

MSQ vulnerable to aqueous attack; in the case of H 2 /N2 plasma stripped MSQ as much as 1000 Å of MSQ may be removed during wet etches in 200:1 H 2O/HF. The depth and the nature of the plasma damage deter- mine the extent of aqueous attack. For example, O2 -based plasma stripped samples incurred signifi cantly smaller thickness losses than the H 2 /N2 - based plasma stripped MSQ. Figure 7.3-35 shows that an H 2 -based plasma stripped MSQ suffers severe thickness loss whereas a scCO 2 /HMDS- repaired fi lm does not show any loss of material. However, an O 2 -based plasma stripped fi lm showed less thickness loss after HF etching than H 2 - stripped fi lms, the scCO 2/HMDS treated fi lms did not show any thickness losses following HF etching. Because of the ease of direct measurement, dielectric constants, studies of plasma-damaged and the subsequently repaired blanket MSQ fi lms prevail in the literature. In Figure 7.3-36 , dual-damascene comb- serpent MSQ-based test structures were employed to compare k -value repair effi cacy of scCO 2 with dissolved HMDS relative to that of typical post-ash F-based wet cleans [69 ]. For the smallest pitch test structures, the normalized comb-serpent line-to-line capacitance for scCO2 /HMDS samples decreased by 18% relative to those samples having received the wet-clean. For the largest pitch case, the capacitance was reduced by only 7%. Because the plasma-damaged region between the metal lines is approximately constant, the proportion of the capacitance due to the dam- age is much larger in the smaller pitch sample, as shown in Figure 7.3-37. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 469

550 O2-based Strip H2-based Strip

500

450

400

film thickness(nm) 350

300 Plasma-exposed Plasma-exposed HMDS Repaired Film Film After HF Ashed Film After (200:1) Etch HF (200:1) Etch

Figure 7.3-35 A comparison of various plasma stripping processes on porous MSQ and the subsequent repair [82]. Reprinted with permission from Gorman, B. P., Orozco-Teran, R. A., Zhang, Z., Matz, P. D., Mueller, D. W., and Reidy, R. F., J. Vac. Sci. Technol., B22(3):1210 (2004). Copyright 2004, American Institute of Physics.

O2 ash after baseline wet clean O2 ash after SCCO2-HMDS 1

0.96

0.92

0.88

0.84

normalized line-to-line capacitance capacitance line-to-line normalized 0.8 123456 comb-serpent test device (increasing pitch)

Figure 7.3-36 A comparison between scCO2 cleaning process (cosolvent and HMDS) and a baseline semi-aqueous cleaning process using comb-serpent line-to-line devices of increasing pitch (smallest pitch = comb-serpent test device 1) for a spin-on porous MSQ after O2 ash [69]. Used with permission from Richard F. Reidy. 470 Dry Cleaning Processes

Ash damaged regions of low-k

Cu Cu

Undamaged regions of low-k

Figure 7.3-37 Schematic representation for the effect of plasma-damage thickness and the impact of subsequent repair on measured line-to-line capacitance. As pitch decreases, the capacitance of the damaged region has a greater contribution to the total capacitance. Consequently, repair of the damaged region becomes more critical at smaller pitches. Used with permission from the authors.

Consequently, the repair of the dielectric constant becomes more critical as pitches decrease.

Supercritical CO 2 has been shown to remove by-products caused during fi lm deposition and low molecular weight species. Further, it has been demonstrated that the infrared transmittance of a porous low-k i s increased after scCO2 exposure [83 ]. In line-to-line test structures of a porous CVD low- k MSQ, the dielectric breakdown fi eld was signif- icantly elevated by scCO 2 exposures compared to baseline clean pro- cesses [ 69 ], as demonstrated at device operation and accelerated lifetime temperatures.

7.3.6 Supercritical fl uid surface conditioning 7. 3.6.1 Removal of copper

Several groups have examined the removal of Cu residues and CuO x from wafer substrates using supercritical fl uids [ 72 , 84 , 85 ]. Previously, β scCO 2 with -ketonate additives have been used to extract Cu from fi lter paper [ 86 ]. In experiments on semiconductor materials, both fl uorinated [ 72 , 84 ] and non-fl uorinated [ 85 ] β-ketonates were employed. Using these chemistries, cupric oxide (CuO) has a much lower etch rate and must be removed selectively [85 ]. Xie et al. observed that Cu 2O must be oxidized to ensure removal and employed rapid pressure release in combination with chemical attack to delaminate the CuO [ 84 ]. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 471

7.3.6.2 Etching of silica

Supercritical CO 2 with F-based additives have been shown to effectively etch silica-based fi lms. Dilute solutions of 0.05 vol% HF/ethanol in scCO2 are capable of removing a blanket porous MSQ fi lm in less than 60 seconds [ 87 ]. Due to limited pore penetration, this rate is slowed if the etchant is diluted in liquid CO2 . Jones et al. employed 129– 260 µ M solutions of HF/ pyridine (70:30 wt%) in scCO2 to remove dense SiO 2 [ 88 ]. SiO2 removal rates exceeded 20 Å/minute over 20 minutes, and were quite dependent on temperature (75 ° C removal rates were 3.5 times faster than at 35 ° C). Also using dilute F-based etchants (10 vol%) in scCO 2, Kaga et al. compared the effects of a range of organic cosolvents on SiO2 etch rates [89 ]. Differ- ent cosolvents signifi cantly affected etch rates (800– 5000 Å /min). In addi- tion, the incorporation of the etchant in scCO 2 enhances rates over aqueous etchants with the same concentration of F– . Xie et al. have demonstrated that scCO2 can be used as an effective medium for aqueous HF etching of SiGe native oxides [ 90 ].

7.3.7 Pore sealing Metal intrusion into porous low-k fi lms during deposition limits device performance and reliability. Metal species penetration into pores can increase the k eff , current leakage and lower the breakdown voltage. The addition of SiN or SiCN barrier layers to inhibit Cu diffusion will also increase the effective dielectric constant of the dielectric stack structure. Recently, methods of sealing pores against metal moiety intrusion have been demonstrated by techniques such as deposition of thin low-k poly- mer fi lms [91 ], plasma treatments [92 ], and surface functionalization with large pendant groups using scCO2 [ 75 , 77 ]. In each case, the sealing layer should extend into the pore perimeters. To extend the effective electron cloud of the sealing layer, large alkyl or aromatic silylating agents were reacted with surface silanol groups. Two different approaches were employed: trialkylsilane of increasing alkyl group sizes and monoalkylsilanes. Trialkylsilanes do not show signifi cant improvement in surface hydrophobicity over smaller reagents, such as HMDS, indicating that steric issues limit the number of silanol groups that are available for reaction [75 ]. Monoalkylchlorosilanes show more promising results with the contact angles increasing as a function of the alkyl tail length [ 77 ]. Initial efforts to examine the effectiveness of sily- lating agents as chemical sealants employed scCO 2 /HMDS-treated MSQ in the presence of a metal barrier precursor (i.e., tetrakis-dimethylamido 472 Dry Cleaning Processes

Titanium Reaction Products

500nm 500nm (A) (B)

Figure 7.3-38 Titanium penetration into porous MSQ fi lm after reaction with

TDMAT. (A) O2-based plasma processed MSQ fi lm and (B) O2-based plasma processed MSQ fi lm after treatment with scCO2/HMDS [69]. Used with permission from Richard F. Reidy. titanium (TDMAT) [ 69 ]. SEM images indicated that the Ti reaction products do not penetrate into the low-k fi lm with the use of the chemical sealant, while Ti species were found in unsealed MSQ, as shown in Figure 7.3-38. Further, via-chain electrical shorts showed considerable improve- ment after silylating agents suggesting the prevention of metal penetration sealed the MSQ. Most recently, HRTEM (high-resolution TEM) results show that Ru can be prevented from penetrating into a plasma-damaged MSQ fi lm after exposure to HMDS [ 93 ].

7.3.8 Supercritical fl uid drying and porogen removal To form controlled porosity in low- k dielectrics several methods have been examined including a range of templating techniques [ 94 , 95 ]. Work by researchers at the University of Missouri, Texas Tech University, and IBM have focused on the use of organic pore templates that can be decomposed thermally and extracted using scCO2 . Both open and closed pore structures were observed with pore sizes between 1 and 2 nm. Porogen loading can range from 25 to 55 wt% resulting in 27 – 62% porosity. Issues remain with these methods in terms of complete porogen removal although supercritical extraction appears to be superior to thermal decomposition alone.

Supercritical CO2 processes were shown to dry high-aspect ratio resist lines without collapse and to remove H2 O and reaction biproducts from porous low-k fi lms. In 2000, Goldfarb et al. and Namatsu described the use of scCO 2 with solvents and surfactants to dry water-rinsed photore- sists [96 , 97 ]. More recently, a range of options using other surfactants and cosolvents to limit the capillary forces during drying is used [ 98 ]. 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 473

Several groups have been developing photoresists that are processed in scCO 2. These species are deposited, developed, dried, and later stripped in scCO2 . [ 99– 102 ]. Recently, supercritical fl uids have been employed to develop resists using CO 2 compatible salts [103 ].

7.4 Summary

Supercritical fl uids are a new cleaning technology for the semiconduc- tor industry. In this chapter techniques are outlined that use supercritical

CO2 to strip photoresist, remove post-etch residues, remediate low-k fi lms and seal pores. These scCO2 processes have been shown to have advantages over baseline processes. Supercritical methods are quite effective in removing etch-hardened 193-nm photoresist on low-k fi lms and require no post-clean drying steps as the scCO 2 also dries the fi lm. Supercritical CO 2 processes have been shown to dry high-aspect ratio resist lines without collapse and to remove H2 O and reaction biproducts from porous low-k fi lms. During etching and plasma stripping of porous MSQ low-k fi lms, C species are depleted from the surface. Supercritical silylation reactions have been demonstrated that effectively repair the dielectric constant through the removal of moisture and replacement of the lost hydropho- bic C species. In addition, scCO2 -based silylation reactions prevent the loss of the sidewall fi lm that has been exposed to the plasma process, that are susceptible to removal during subsequent wet etches and inhibits the penetration of metallic species. Additional advantages include the envi- ronmental benefi ts of the technology that eliminates the use of H 2 O and reduces chemical consumption.

There are several key issues in the adoption of supercritical CO 2 pro- cessing in semiconductor manufacturing. Although benefi ts over base- lines stripping and cleaning processes have been demonstrated with scCO2 , there is reluctance within a manufacturing facility to make a major change by introducing a new technology. Signifi cant cost savings and yield improvements must be demonstrated. Adoption will likely occur when traditional wet cleaning becomes yield limiting due to high aspect ratio structures that cannot be reproducibly cleaned and dried. The introduction of porous low-k fi lms will also be a driving force to implement scCO 2 processes. Greater porosities increase the need to have an effective nondestructive means of removing species that may limit performance and reliability. There is great speculation as to which tech- nology node will require scCO2 for cleaning. However, in order to be 474 Dry Cleaning Processes ready for this implementation, more work on equipment for high volume manufacturing and cofl uid compatibility is needed. In addition to cleaning, there are several areas where supercritical fl uid technology shows great promise. Device quality metal and dielectric fi lms have been effectively deposited using supercritical fl uids. Porogens for templated low-k fi lms have been effi ciently removed using scCO2 . Recent efforts indicate that supercritical processes can effectively remove particles from wafer surfaces. Overall, supercritical fl uid technology is a new frontier in processing possibilities for the semiconductor industry.

Acknowledgments

One author (Reidy) wishes to acknowledge the support of Phil Matz, Texas Instruments, the National Science Foundation DMR No. 0316916, and Sematech.

References

1. The International Technology Roadmap for Semiconductors , ITRS, Semi- conductor Industry Association, Austin, TX (2006). 2. van der Meerakker, J. E. A. M., and van der Straaten, M. H. M., J. Electro- chem. Soc., 37:1239 (1990). 3. Tanaka, K., Sakurai, M., Kamizuma, S., and Shimanuki, Y., ECS Ext. Abstr., 90-1:689 The Electrochemical Society, Pennington, NJ (1990). 4. Kern, W., Semiconductor International, 7(4):94 (1984). 5. Kern, W., and Puotinen, D., RCA Review, 31:187 (1970). 6. Miyashita, M., Itano, M., Imaoka, T., Kawanabe, I., and Ohmi, T., ECS Ext. Abstr.,91-1:709, The Electrochemical Society, Pennington, NJ (1991). 7. Ohmi, T., Tsuga, T., and Takano, J., ECS Ext. Abstr., 92-1:388, The Electro- chemical Society, Pennington, NJ (1992). 8. Ohmi, T., ECS Ext. Abstr., 91-1:276, The Electrochemical Society, Penning- ton, NJ (1991). 9. Heyns, M., Hasenack, C., De Keersmaecker, R., and Falster, R., Micro- electronic Engineering, 10:235 (1991). 10. Ali, I., Raghavan, S., and Risbud, S. H., Semiconductor International, 13(5):92 (April, 1990). 11. Ranade, M. B., Aerosol Sci. and Tech., 7(2):161 (1987). 12. Hunter, R. J., Zeta Potential in Colloidal Science: Principles and Applica- tions, Academic Press, San Diego, CA (1981). 13. Donovan, R. P. (ed.), Particle Control for Semiconductor Manufacturing, Marcel Dekker, New York (1990). 14. Banerjee, S., Via, A., and Chung, H., Semiconductor International, Web article (February, 2003). 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 475

15. Vereecke, G., Holsteyns, F., Amauts, S., Becky, S., Jaenen, P., Kenis, K., Lismont, M., Lux, M., Vos, R., Snow, J., and Mertens, P. W., Solid State Phenomena, 103-104:141 (2004). 16. Conroy, J., MICRO, 22(1):18 (January/Febuary, 2004). 17. Block, K. H., and Rayle, H. L., Semiconductor International, 25(6):115 (June, 2002). 18. Banerjee, S., Via, A., Joshi, S., and Eklund, J., Cleaning Technology in Semi- conductor Device Manufacturing VI (Ruzyllo, J., Hattori, T., Opila, R. L., and Novak, R. E., eds.), PV 2003-26:329, The Electrochemical Society, Pennington, NJ, (2003). 19. Banerjee, S., and Campbell, A., Sematech Surface Cleaning Workshop, Aus- tin, Texas (April, 2004). 20. Banerjee, S., and Campbell A., Solid State Phenomena, 103-104:199 (2005). 21. Wagener, T. J., Weygand, J. F., and Thomes, G. P., Solid State Phenomena, 103–104:181 (2005). 22. Lide, R. D. (ed.), Handbook of Chemistry and Physics, 78th Edition, CRC Press, Boca Raton, New York (1997). 23. Discussions with Dr. Shuen-Cheng Huang of BOC Group, Murray Hill, New Jersey. 24. Cajar, L. N., and Maning, F. S., Thermodynamic Properties and Reduced Correlations for Gases, Section 15, Carbon Dioxide, Gulf Publishing Com- pany, Houston, Texas (1967). 25. Banerjee, S., and Campbell, A., Journal of Adhesion Science and Technology (Mittal, K.L., ed.), VSP Publications, The Netherlands, 19(9):739 (2005). 26. Krone-Schmidt, W., US patent 6,173,916 (2001). 27. McDermott, W. T., Wu, J. J., and Ockovic, R. C., US Patent 5,209,028 (1993). 28. Hinds, W., Aerosol Technology, John Wiley & Sons, New York (1982). 29. Bowling, R., Particles on Surfaces 1: Detection, Adhesion and Removal (Mittal, K. L., ed.), Plenum Press, New York, p. 129 (1988). 30. Van der Donck, J. C. J., Schmits, R., van Vilet, R. E., and Bastein, T. A. G. T. M., Ninth International Symposium on Particles on Surfaces (June, 2004). 31. Banerjee, S., Borade, R., Sato, M., Hirae, S., Cross, P., and Rahgavan, S., ECS Transactions (Ruzylo, J., Hattori, T., and Novak, R. E., eds.), 1(3):111, The Electrochemical Society, Pennington, NJ, (2005). 32. Banerjee, S., Borade, R., Sato, M., and Hirae, S., Proceedings of Semi Tech- nology Symposium of Semicon Japan, p. 25 (2005). 33. Lauerhaas, J. M., Weygand, J. F., and Thomes, G. P., IEEE/SEMI Advanced Semiconductor Manufacturing Conference, Munich, Germany (April 11– 12, 2005). 34. Narayanswami, N., J. Electrochem. Soc., 146(2):767 (1999). 35. Narayanswami, N., Heitzinger, J., Patrin, J., Rader, D., O’Hern, T., Torc- zynski, J., Particles on Surfaces 5 & 6: Detection, Adhesion and Removal (Mittal, K. L., ed.), VSP: Utrect, The Netherlands, p. 251 (1999). 36. Lauerhaas, J. M and Ple, J.-P., SEMATECH Surface Preparation and Clean- ing Conference, Austin, Texas (April 19, 2005). 37. Butterbaugh, J. W., MICRO, 20(2):23 (2002). 38. Butterbaugh, J. W., Loper, S., and Thomes, G. P., MICRO, 17(6):33 (1999). 476 Dry Cleaning Processes

39. Wagener, T. J., and Kawaguchi, K., IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop (May, 2004). 40. Hannay, J. B., and Hogarth, J., Proc. Roy. Soc. London, 29:324 (1879). 41. Kompella, U. B., and Koushik, K., Crit. Rev. Ther. Drug Carrier Syst., 18:173 (2001). 42. Montero, A., Smith, C. B., Hendrix. W. A., and Butcher, D. L., Ind. Eng. Chem. Res., 39:4806 (2000). 43. Tullo, A., C&E News, 80:35 (September 2, 2002). 44. Kendall, J. L., Canelas, D. A., Young, J. L., and DeSimone, J. M., Chem. Rev., 99:543 (1999). 45. Johns, K., and Stead, G. (eds.) Supercritical Fluids for Coatings — From Analysis to Xenon. A Brief Overview, Vol. 2, Kluwer Academic/Plenum Publishers, New York (1999). 46. Sihvonen, M., Jarvenpaa, E., Hietaniemi, V., and Huopalahti, R., Trends Food Sci. Technol., 10:217 (1999). 47. Palmieri, M. D., J. Chem. Educ., 66:A141 (1989). 48. Spall, W. D., Intl. J. Env. Conscious Design & Mfg., 2(1):81 (1993). 49. Purtell, R., Rothman, L., Eldridge, B., and Chess, C., J. Vac. Sci. Technol. A11(4):1696 (Jul/Aug, 1993). 50. Rubin, J. B., Davenhall, L. B., Taylor, C. M. V., Sivils, L. D., Pierce, T., and Tiefert, K., Internal LANL LA-UR-98-3855 (1998). 51. McCullough, K., Rothman, L. B., and Wu, J. J., US Patent 5,908,510 (1999). 52. National Institute of Standards and Technology (NIST) Chemistry Web- book, http://webbook.nist.gov/chemistry/fl uid 53. McClain, J., and Case, C., MICRO, 22(1):33 (January/February, 2004). 54. Rothman, L. B., NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing, Annual Retreat (August 21–22, 2003). 55. Rothman, L., 1st Intl. Conf. Green & Sustainable Chem., Special Issue of Green Chemistry (Volume 5, Issue 5, Royal Society of Chemistry) Japan (2003). 56. Sehgal, A., Cleaning Technology in Semiconductor Device Manufacturing VIII, (Ruzyllo, J., Hattori, T., Novak, R., and Opila, R., eds.) 2003-26:214, The Electrochemical Society, Pennington, NJ, (2003). 57. Korzenski, M. B., Xu, C., Baum, T. H., Saga, K., Kuniyasu, H., and Hattori, T., Cleaning Technology in Semiconductor Device Manufacturing VIII, (Ruzyl- lo, J., Hattori, T., Novak, R., and Opila, R., eds.) 2003-26:222, The Electro- chemical Society, Pennington, NJ, USA (2003). 58. Levitin, G., Myneni, S., and Hess, D., J. Electrochem. Soc., 151(6):1 (2004). 59. Wagner, M., DeYoung, J., Gross, S., Hatcher, Z., and Ma, C., Cleaning Tech- nology in Semiconductor Device Manufacturing VIII, (Ruzyllo, J., Hattori, T., Novak, R., and Opila, R., eds.) 2003-26:232, The Electrochemical Soci- ety, Pennington, NJ, (2003). 60. Reidy, R., F., Zhang, Z., Orozco-Teran, R. A., Gorman, B. P., and Mueller, D. W., Materials, Technology, and Reliability for Advanced Interconnects and Low-k Dielectrics (McKerrow, A., Leu, J., Kraft, O., and Kikkawa, T., eds.), MRS Proceedings Vol. 766, E6:10 (2003). 61. Clarke, J. S., Clark, S. C., Ramachandrarao, S., and Turkot, R. B., ISSF Conf. (2005). 62. Biberger, M. A., and Schilling, P., Semiconductor Fabtech (12):239 (2002). 7: Cryogenic and Supercritical Cleaning, Banerjee et al. 477

63. Rothman, L. B., Robey, R. J., Ali, M. K., Mount, D. J., Advanced Semicon- ductor Materials Conference (ASMC) Proceedings (April, 2002). 64. Sawan, S. P., Shieh, T. T., Su, J. H., Manivannan, G., Supercritical Fluid Cleaning: Fundamentals, Technology & Applications (McHardy, J., and Sawan, S. P., eds.), Noyes Publications, New York, p. 121 (1998). 65. Williams, L., Nordic Polymer Days 2003 Solubility Parameter Symposium (August, 2003). 66. Korzenski, M. B., Bernhard, D. D., Baum, T. H., Saga, K., Kuniyasu, H., and Hattori, T., Solid State Phenomena, 103-104:193 (2005). 67. DeYoung, J. P., McClain, J. B., and Gross, S. M., US Patent Application 20020112746 (August 22, 2002). 68. DeSimone, J. M., DeYoung, J. P., and McClain, J. B., US Patent Application 20030051741 (March 20, 2003). 69. Matz, P. D., and Reidy, R .F., Solid State Phenomena, 103-104:315 (2005). 70. Reidy, R. F., Gorman, B. P., Orozco-Teran, R. A., Zhang, Z., Chang, S., and Mueller, D. W., Advanced Metallization Conference (Melnick, B. M., Cale, T. S., Zaima, S., Ohta, T., eds.), p. 607 (2002). 71. Xie, B., and Muscat, A. J., Microelectronic Engineering, 76:53 (2004). 72. Danel, A., Millet, C., Perrut, V., Daviot, V., Jousseaume, V., Louveau, T., and Louis, D., IEEE International Interconnect Technical Conference, p. 248 (2003). 73. Lahlouh, B., Lubguban, J. A., Sivaraman, G., Gale, R., and Gangopadhyay, S., Electrochemical and Solid State Letters, 7:G338 (2004). 74. Gorman, B. P., Mueller, D. W., and Reidy, R. F., Electrochemical and Solid State Letters, 6:F40 (2003). 75. Reidy, R. F., Nerusu, P. K., Chaung, E. C., Orozco-Teran, R. A., Kadam, P. P., Matz, P. D., Rhoad, J. T., Busch, E. L., and Mueller, D. W., Advanced Metallization Conference (Erb, D., Ramm, P., Masu, K., and Osaki, A., eds.), 475:493 (2004). 76. Xie, B., Choate, L., and Muscat,.A. J., Microelectronic Engineering, 80:349 (2005). 77. Xie, B., and Muscat, A. J., Solid State Phenomena, 103-104:323 (2005). 78. Xie, B., Muscat, A. J., Busch, E. L., and Rhoad, J.T., Advanced Metalliza- tion Conference, (Erb, D., Ramm, P., Masu, K., and Osaki, A., eds.), 475:493 (2004). 79. Suratwala, T. I., Hanna, M. L., Miller, E. L., Whitman, P. K., Thomas, I. M., Ehrmann, E. R., Maxwell, R. S., and Burnham, A. K., J. Noncrys. Sol., 316:349 (2003). 80. Gun’ko, V. M., Vedamuthu, M. S., Henderson, G. L., and Blitz, J. P., Coll. J., Inter. Sci., 228:157 (2000). 81. Reidy, R. F., Orozco-Teran, R. A., Zhang, Z., Nerusu, P. K., Matz, P. D., and Mueller, D. W., Advanced Metallization Conference (Ray, G. W., Smy, T., Ohta, T., and Tsujimura, M., eds.), p. 513 (2003). 82. Gorman, B. P., Orozco-Teran, R. A., Zhang, Z., Matz, P. D., Mueller, D. W., and Reidy, R. F., J. Vac. Sci. Technol., B22(3):1210 (2004). 83. Reidy, R. F., Zhang, Z., Orozco-Teran, R. A., Gorman, B. P., and Mueller, D. W., Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics (McKerrow, A., Leu, J., Kraft, O., and Kikkawa, T., eds.), MRS Proceedings Volume 766, E8.20 (2003). 478 Dry Cleaning Processes

84. Xie, B., Finstad, C. C., and Muscat, A. J., Chem. Mater., 17:1753 (2005). 85. Shan, X., Watkins, J. J., Thin Solid Films, 496:412 (2006). 86. Powell, K. R., McCleskey, T. M., Tumas, W., and DeSimone, J. M., Ind. Eng. Chem. Res., 40:1301 (2001). 87. Reidy, R. F., Gorman, B. P., Mueller, D. W., Zhang, Z., and Orozco-Teran, R. A., SEMATECH Wafer Cleaning and Surface Preparation Workshop, Austin, TX (May 2002). 88. Jones, C. A., Yang, D., Wagner, M., DeYoung, J., and DeSimone, J. M., Chem. Mater. 15:2867 (2003). 89. Kaga, S., Kuniyasu, H., Hattori, T., Yamada, K., and Azuma, T., Solid State Phenomena, 103-104:115 (2005). Bo, X 90. Bo, X . Montano-Miranda, G., Finstad, C. C., and Muscat, A. J., Materials Science in Semiconductor Processing, 8(1-3):231 (Feb.–June 2005) 91. Jezewski, C., Lanford, W. A., Wiegand, C. J., Senkevich, J. J., and Lu, T-M., Semiconductor International, 27(5):56 (May, 2004). 92. Abell, T., and Maez, K., Microelectronic Engineering, 76:16 (2004). 93. Gorman, B. P., Matz, P. D., Chyan, O., and Reidy, R. F., Proceedings of Advanced Metallization Conference (Brongersma, S. H., Taylor, T. C., Tsujimura, M., and Masu, K., eds.), p. 393 (2005). 94. Rajagopalan, T., Lahlouh, B., Lubquban, J. A., Biswas, N., Gangopadhyay, S., Sun, J., Huang, D. H., Simon, S. L., Mallikariunan, A., Kim, H. C., Volksen, W., Toney, M. F., Huang, E., Rice, P. M., Delenia, E., and Miller, R. D., App. Phys. Lett., 82:4328 (2003). 95. Pai, R. A., Humayun, R., Schulberg, M. T., Sengupta, A., Sun, Jia-Ning, and Watkins, J.J, Science, 303(5657):507 (2004). 96. Goldfarb , D. L., de Pablo, J. J., Nealey, P. F., Simons, J. P., Moreau, W. M., and Angelopoulos, M., J. Vac. Sci. Tech. B, 18(6):3313 (2000) 97. Namatsu, H ., Vac. Sci. Tech. B, 18( 6):3308 (2000). 98. Zhan g, X., Pham, J. Q., Ryza, N., Green, P. F., and Johnston, K. P., J. Vac. Sci. Tech. B 22(2):818 (2004). 99. Flowers, D ., Hoggan, E. N., Carbonell, R. G., and DeSimone, J. M., Pro- ceedings of the SPIE—The International Society for Optical Engineering, 4690:419 (2002). 100. Hoggan, E. N . Wang, K., Flowers, D., DeSimone, J. M., and Carbonell, R. G., IEEE Transactions on Semiconductor Manufacturing, 17(4):510 (2004). 101. Weibel, G. L . and Ober, C. K. Microelectronic Engineering, 65(1-2):145 (2002). 102. Pham, V. Q ., Ferris, R. J., Hamad, A., and Ober, C. K., Chem. Mater., 15(26):4893 (2003). 103. DeYoung, J. P., Wagner, M. I., Harbinson, C., Miles; M., Zweber, A. E., and Carbonell, R. G., Advances in Resist Materials and Processing Technology XXIII, SPIE Volume 6153:615346 (2006). 8 Detection and Measurement of Particulate Contaminants

Ed Terrell Particle Measuring Systems, Inc., Boulder, CO, USA

Karen A. Reinhardt Cameo Consulting, San Jose, CA, USA

8. 1 Measurements of Particle and Defects

8.1.1 Overview of particulate contamination and defect analysis Detection of defects on the wafer surface is a critical step during the integrated circuit (IC) manufacturing process. Non-damaging and non- destructive defect monitoring allows the wafers to be quickly checked for imperfections and then returned to the manufacturing process. This chapter focuses on the equipment used to detect and measure particulate contamination that contributes to the imperfections that are referred to as defects, on the wafer surface. Many commercially available systems are manufactured to measure both particle size and concentration on the wafer surface, in the air, or in H2 O and chemicals. The commercially available system for particulate contamination analysis which classify the particle size and measure the concentration fall into three broad categories:

1. Detection and classifi cation of particles and other defects on a wafer surface. 2. Measurement of particle concentrations in UPW (ultrapure

H 2 O) and process chemicals. 3. Measurement of particle concentrations in the atmosphere.

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 481–521 © 2008 William Andrew Inc.

481 482 Analytical and Control Aspects

This chapter will cover the following methods:

• Section 8.2 : Detecting particles and defects on wafer surfaces. • Section 8.3 : Detecting particles in UPW and chemicals. • Section 8.4 : Detecting particles in air and vacuum.

8. 1.2 Overview of particulate contamination and defect measurement equipment Commercially available defect measurement equipment is found in every IC manufacturing facility and is used to monitor the cleanliness of the wafer during processing or the cleanliness of the air and chemicals in which the wafer is exposed. The equipment for defect inspection on wafer surfaces is typically high-throughput automated equipment that can be integrated with other defect analysis equipment, for example scanning electron microscopy. The equipment for particulate contamination control in UPW and chemicals is installed and integrated within the distribution system; monitoring takes place at a central location and any deviation from the control limits signal that maintenance may be required on the lines. Monitoring particles in air is typically performed at critical sites in the manufacturing area. This equip- ment, strategically placed in the cleanroom, can detect spikes in the particle count and signal an out of control situation that must be corrected. The spe- cifi c components that detect the particles, the theory and mechanism of the equipment will be discussed in the following sections.

8.1.3 Overview of cleaning process steps and equipment requiring particle monitoring Essentially, every processing step in the manufacturing of an IC requires monitoring the number of defects, since it has been clearly shown that yield is related to the amount of defects and particulate contamination [1]. Defects are monitored to record the level of defects as the wafer pro- gresses through the entire process fl ow [2]. In particular, particles that inadvertently are deposited on the wafer surface from the process steps or handling are monitored. The systems processing the IC devices are also monitored to assure these are not sources of particles. At some process steps, particles constitute a large percentage of the defects, as discussed later in this section. With the decrease in device feature size, smaller and 8: Particulate Contaminants, Terrell and Reinhardt 483 smaller particles and defects need to be detected. Chapter 2 outlines the relationship of defect density to yield.

Particles that originate in H 2 O and chemical processing are routinely monitored to assure the liquids perform up to specifi ed purity levels. Particle density is monitored at each chemical station. In-line real-time particle monitoring allows rapid detection of a process that is out of control. The same approach is used when monitoring the air in the clean room, even with the use of front opening unifi ed pod (FOUP) and standard mechanical interface (SMIF) pod. Process monitoring for the presence of particles in wet cleaning solu- tions, both UPW and chemicals, and in air help by increasing IC device yield. When out of control points occur, the necessary cleaning or preventive maintenance of the chemical and UPW delivery systems, airfl ow system, or cleaning of the equipment can take place to eliminate the contamination source. Mitchell and Knollenberg present a good overview paper of moni- toring particles in situ [3 ]. Defect equipment for monitoring cleaning processes is typically based on an optical light scattering technology with suffi ciently high sensitivity to provide detection of very small defects or particles. This includes surface scanning technology used on wafer surfaces and laser based optical particle counters for real time particle monitoring of liquids, air and vacuum environments.

8.2 Defect and Particle Measurements on Wafers

8. 2.1 Overview of defect and particulate detection on wafers Particle detection analysis helps the process engineer in the IC manufac- turing area determine and understand the criticality of the defects. Defect counting metrology tools used in cleaning applications determine the following parameters:

• Number of defects • Effective size of defect • Location of defect • Type of defect: particle, pit, stacking fault, scratch, etc. • Single particles or defects, versus clusters of particles or defects • Haze 484 Analytical and Control Aspects

Defect monitoring equipment is commercially available that inspects the front and back surfaces of the wafer, including the near-edge regions, top and bottom bevels and apex. Back surface particles can cause detrimental yield issues such as creating a non-fl at wafer surface during a lithography step by raising the wafer out of the focal depth of the stepper lens. The ITRS (International Technology Roadmap for Semiconductors) Front End Processing requirements state that particles less than the half the size of the smallest linewidth will lead to detrimental yield decrease if they fall on the active portion of the devices. That means at a 45-nm linewidth the criti- cal particle diameter will be 22.5 nm at a defect density of 0.022 particles/ cm2 or for a 300 mm wafer, less than 76 particles [4 ]. In general, as the critical dimensions of semiconductor devices continue to shrink, the size of “ killer defects ” also decreases. The detection of the particle location on the wafer surface may help in the determination of the source of the contamination and may help in recognizing trends within the wafer profi le, thus helping to troubleshoot process problems that lead to a decrease in yield. Monitoring is usually performed after a thin-fi lm deposition step, after etching and cleaning a feature, after a chemical mechanical polishing step (CMP), and after any other critical process step. For example, wet cleaning process steps remove unwanted contamination, including particles, thus to qualify the process for production, and it is imperative to have a high level of particle removal effi ciency. The particle removal effi ciency (PRE) is outlined in Chapter 4, where particles are counted before and after a cleaning step is performed to determine how effi cient the cleaning step is at removing the particles. In addition to identifying the contamination source, it is also important to accurately determine the location of particles and other defects on the wafer surface to obtain the correct count of added and removed defects [5 ], rather than just a simple before/after measurement, which often gives misleading information. Back surface and edge defect detection is used to detect particles before process steps that are sensitive to particles in these locations, for example, particles that may have impact on the depth of focus at a lithography step. Elimination of edge defects, especially the removal of CMP slurry and any particles caused by chipping of the Si wafer during the transport and handling process, are important. Thus, monitoring these locations is criti- cal for defect assessment. With the use of immersion lithography, particle monitoring is important, because the fl uid introduced between the lens and the wafer transports particles across the wafer surface, and from the edge to the interior [6 ]. 8: Particulate Contaminants, Terrell and Reinhardt 485

8.2.2 Overview of particulate contamination analysis on wafers Many particle problems manifest themselves as defects on wafers and have the potential to cause yield loss [7 ]. In addition, the latest defect inspec- tion tools can provide full-wafer surface morphology information using the background scattering (haze) data collected from the substrate surface [8]. A more detailed explanation of haze is given in Section 8.2.5.1. Using a system to monitor defects on wafers is advantageous because the defect can be classifi ed. When particles are found, the root cause of the defect and the source can be traced using various analytical techniques. However, this tracing the source of the particle is fraught with diffi culties because the particles that are observed on wafers may be attributed to many potential sources such as the process equipment, chemical distribution system, clean room, wafer handling, etc. The analysis of the particles can help in deter- mining the source. Defects can be classifi ed by inspection tools based on their scattering characteristics. Analytical tools such as energy dispersive X-ray analysis (EDX) can analyze the defect. EDX is a feature available on many scanning electron microscopes (SEM), and EDX analysis is usually performed after the inspection system has found the particle’s coordinates. Figure 8.2-1 shows the analysis of a particle using EDX. Chapter 10 outlines various analytical techniques for investigating the composition of a particle. Since particles can be composed of various materials, some methods may not accurately detect the composition, thus multiple analytical methods may

A. B.

Figure 8.2-1 (A) SEM image of a particle on a Si wafer surface, which appears to be an agglomeration of large particles surrounded by many smaller particles. (B) EDX spectra of particles indicate the elements sulfur, nitrogen, and oxygen [9]. Used with permission from Reproduced by permission of ECS—The Electrochemical Society from Münter, N., Kolbesen, B. O., Storm, W., and Müllerbz, T., J. Electrochem. Soc. 486 Analytical and Control Aspects be needed to detect the full range of elements. Also, there is a lower size limit on the particles that can be directly analyzed, a direct effect of the spot size of the analytical tool and the haze level of the inspected wafer.

8.2.3 Particle monitoring on a wafer for cleaning tools Successful particle control during wafer cleaning requires periodic monitoring of the performance of the cleaning equipment and processes. It is common practice to conduct particle-per-wafer-pass measurements of cleaning systems once a shift or once a day. The measured particle counts are then used as part of a statistical process control (SPC) chart to make a decision whether the process is in control. If the particle counts are outside the bounds of SPC for a certain number of measurements, cor- rective action has to be taken. Figure 8.2-2 shows an example of a typical particle count control chart from IC manufacturing equipment. Excursions from the expected limit values are deemed out of control and corrective action is taken to bring the process back into acceptable operating limits. Monitoring systems for particle contamination starts with a particle grade monitor wafer. The wafer is pre-measured at the desired particle size sensitivity and then processed through the manufacturing equipment to be tested, after which a fi nal particle count is taken. In most cases, a starting wafer with a low particle count is used to see how many added particles are deposited on the wafer surface. The wafer is fi rst scanned before being processed to obtain a baseline particle pre-count. However, for process steps that remove particles, a high particle count wafer may be used — pro- vided it is not contaminated with unwanted impurities such as metal. The wafers are then processed through the cleaning or other equipment and a

Figure 8.2-2 Particle count SPC chart showing control limits [10]. UCL = upper control limit, CTR = center control limit, and LCL = lower control limit. Used with permission from Semiconductor International. 8: Particulate Contaminants, Terrell and Reinhardt 487 post-process particle count is obtained. In the past, a simple delta measure- ment of the post-count minus the pre-count was used to give the number of particles added or removed from the wafer, this being referred to as per- wafer-pass measurements (PWP). In the last few years, more sophisticated techniques such as map-to-map or defect source analysis (DSA) [6, 11] are used instead of PWP count. These techniques use the precise x - y locations of the defects to accurately determine the added and removed counts [7]. Typically, the full process is tested, for example on a wet cleaning tool; the chemical baths, the rinsing station, and the dryer are run with a normal production process to determine the number of particles added or removed from the wafer. Statistically signifi cant results are important to obtain, and thus the PWP measurement should be performed several times. A testing methodology for measuring PWP has been published by Semiconductor Equipment and Materials International (SEMI) and Sematech [12 ]. Most IC fabrication facilities (fabs) utilize particle monitoring to detect early problems in process equipment. A particle-per-wafer-pass method is used to make these measurements. A detailed description of the PWP methodology is available in Tullis [7 ]. Per-wafer-pass is described as the number of particles added to wafers in one pass through one or more pieces of process equipment [7]. Per-wafer-pass can also be used to evaluate subsets of a piece of equip- ment. Isolating the particle contributions of components of IC fabrication equipment using a PWP method assumes that the contributions are additive.

8.2.3.1 Particle monitoring of wet stations The particle-per-wafer-pass measurement on a wet processing station combined with routine data on chemicals, H2 O, and N2 quality is adequate to alert an operator when the process begins to go out of control. When the particle-per-wafer-pass measurement is not in control, a particle-partitioning test should be run to isolate the problem. Partitioning testing: testing the entire process and then segmenting the process to isolate the sub-step that is problematic. Particle counts should be taken using the following tests:

• Entire process: chemical tanks, rinser, and dryer • Partition 1: dryer only • Partition 2: rinser and dryer • Partition 3: chemical 1 tank, rinser, and dryer • Partition 4: chemical 2 tank, rinser, and dryer • Any subsequent partitioning needed 488 Analytical and Control Aspects

By comparing this result of the partitioning test to the particle count from the entire process, the process step responsible for the excursion can be determined. If the process bath is indeed the source of contamination, obtaining particle count data for the liquid chemicals is recommended. This can be done at the central distribution facility or at the point of use with a particle counter for liquids. If the baths are determined to be the cause of contamination the following per-wafer-pass tests are recommended.

• With and without recirculation of process bath. This deter- mines if the fi lter is shedding particles. • With and without continuous drip of rinse tank nozzles for low fl ow. This determines if there is bacteria buildup in the nozzles. Additional tests can also be performed to analyze the origin of the particles:

• Total organic carbon (TOC) in the rinse tank. For hydrophobic wafers, the particle count increases with increasing TOC. • Observation of discoloration of tubing, bath materials, etc. This identifi es if materials of construction are shedding particles, or reacting adversely with the chemicals. Metallic contamination in acid lines will gradually produce a greenish-brown color in PFA (perfl uoroalkoxy) pipes. • Laminarity of airfl ow in and around wet bench process equip- ment and adequacy of exhaust fl ow. Inadequate exhaust could cause cross contamination of vapors from adjacent baths,

e.g., HCl and NH4 OH vapors could react to form NH 4 Cl particles. • Check the integrity of O-rings, seals, etc. This is especially

important for O3 /H2 O systems where the O3 has been known to attack polymeric materials. • Surface analysis of the particles to determine the composition that may point in the direction of the source.

Particle monitoring techniques continue to be used for characterizing and optimizing the performance of new single wafer wet cleaning tools. Sensitivities down to 36 nm have been used to understand the impact of various process and equipment parameters such as fi lters, cleaning chemistry, drying, and wafer storage on PRE, and to develop a more effective wafer cleaning process for next generation technology nodes [13]. In addition to particles, wafer haze has also been used to study the PRE of cleaning tools. In a recent study, haze measurements were used to characterize local PRE with 600 µm × 600 µ m lateral resolution. The local 8: Particulate Contaminants, Terrell and Reinhardt 489

Figure 8.2-3 Wafer map showing wet etch residues detected in the haze map, and not in the defect channel [8]. Used with permission from SEMI. haze was used to determine the cleaning effi ciency in different regions of the wafer for different process conditions and cleaning times. This experimental data was also used to establish a quantitative relationship between cleaning time and PRE [14]. In addition, shallow residue (which is sometimes not detected as a defect) can also be effectively captured by the haze channel of wafer inspection systems, as can be seen from Figure 8.2-3 [8 ].

8.2.3.2 Particle monitoring of plasma equipment and other vacuum equipments Processing equipments such as plasma strip, etch, and other vacuum tools are routinely tested for particle excursion in much the same way wet processing equipment is tested. One concern of testing the number of particles added during plasma processing is the extra analysis needed to determine a defect on the wafer that may have arisen due to the plasma process itself. Particles in plasma systems may be caused by:

• Build-up of unwanted residue from the stripping and clean- ing process on the sidewalls of the chamber. • Inadequate venting and purging of the process chamber and loadlocks. • Handling errors from the robot and end-effector. • Incomplete or inadequate cleaning and conditioning of the process chamber after maintenance. 490 Analytical and Control Aspects

Particle partitioning tests are run to isolate the source of the particle contamination. These tests are outlined below:

• Entire process: process chamber with plasma, loadlock, and handler • Partition 1: handler only • Partition 2: handler and loadlock • Partition 3: process chamber without plasma, loadlock, and handler • Any subsequent partitioning needed

In the case of multiple process chambers, isolation of the individual pro- cess chamber should be performed. As with wet stations, haze has also become an effective technique for monitoring plasma processes such as chemical vapor deposition (CVD), by detecting small variations on the wafer surface that are often below the defect detection threshold of the inspection tool [9 ].

8.2.4 Wafer defect and particle measurement technology Defect inspection equipment for monitoring cleaning processes is segmented into two types: systems that measure defects on patterned device wafers and on blanket test wafers. Section 8.2.5.1 outlines the blanket wafer defect detection technology and equipment and Section 8.2.5.2 outlines the technology and equipment for patterned wafers. Most IC fabrication facilities (fabs) monitor wafers for defects at vari- ous critical steps in their process fl ow. Inspection systems are used to char- acterize device (patterned) and blanket (unpatterned) wafers for defects. Analysis of this defect data allows the IC manufacturer to increase yield by monitoring the product for defect density and determining the quality of the manufacturing process, and can be the fi rst step in defect root cause analyses. In addition, this data is used for assessing the manufacturing tool’s ability to produce high yielding devices. As a result, the ability to accurately and repeatably detect, locate, size and classify small defects on a wafer surface with these instruments is of paramount and increasing importance, as the semiconductor industry moves to smaller device sizes. Defect inspection tools are able to give maps with coordinates and attributes defi ned for each defect to allow observation and classifi cation 8: Particulate Contaminants, Terrell and Reinhardt 491 of the type of defect, of which particles are one type. Most commercially available tools also perform grading and classifi cation of defects. For the purpose of simplicity, the rest of this section will focus on unpatterned wafer inspection methodologies. The typical steps involved in defect inspection and analysis on blanket wafers are (1) detection, (2) sizing, (3) classifi cation, and (4) analysis. Detection is the use of the light scattering system to detect defects, also called light point defects (LPD) on the wafer surface. Any scattering above a nominal threshold of light intensity signifi es the presence of a defect. The method by which these LPDs are detected is discussed in Section 8.2.5.1 . The scattering information and defect location ( x - y coordinates on the wafer) are stored by the system as part of the scan. Sizing is the use of the integrated software of the system to determine the defect size. The size of the defect is determined and segmented into a bin based upon the intensity of the light scattering signal. Classifi cation is the use of software analysis tools to determine the type of defect. Defect types include: • Scratches • Haze • Particles • Missing and broken line • Crystal oriented pits (COP) • Area defects and clusters

Image-based review can supply additional information about the particle such as shape and topography if the defect is large enough. So far, COP and particles have been the two main defect types that can be differenti- ated with current state-of-the-art light scattering inspection systems [15 ]. However, recent advances in inspection tool capability and real time defect classifi cation have enabled automatic classifi cation of several other key defect types, such as stacking faults, micro-scratches, air pockets, and voids, based on their scattering signal characteristics, without requiring optical or SEM review. Analysis is performed on the defect to confi rm the type of defect and to analyze the composition or other physical parameters, if needed. The analytical tools have the ability to read the coordinates of the defect from the saved scan results and move to the appropriate area to analyze the defect. For example, commercially available SEM/EDX systems have software with the ability to locate the defect’s position on a wafer using the same coordinate map obtained on a light scattering defect detection system [16 ]. 492 Analytical and Control Aspects

8. 2.5 Defect inspection equipment 8. 2.5.1 Unpatterned wafer defect inspection A beam of light from a laser source is used to illuminate the wafer surface. In the presence of an imperfection, which can be a particle on the surface, surface roughness, or sub-surface defect, the light is distorted and a portion of the incident light is scattered [17 ], thus refl ecting light in directions dif- ferent from that of the surface refl ection. The amount of light scattered from the surface imperfections, depending on the physical and optical properties of these imperfections, is measured. Light scattering by a particle [18] is a function of its size— spherical [19] or non-spherical [20, 21], shape and material properties (e.g. refractive index) for a given incident laser wave- length. When there are no defects on a fl at and perfectly smooth wafer sur- face and the angle of refl ected light equals the angle of incident light, this is referred to as specular refl ection, and in this case all the light is refl ected and none is scattered. However, all wafers scatter light due to imperfections and discontinuities on their surface. This background scattering (referred to as haze) usually determines the noise threshold that limits the smallest defect size that can be detected by the scanner. A schematic illustration of haze and defect signals and thresholds is shown in Figure 8.2-4 . As can be seen in Figure 8.2-4, particles or other defects on the wafer sur- face will have a stronger scattering signal than the wafer surface. Depend- ing on the wafer type, desired sensitivity, signal-to-noise ratio, and tool type, an intensity threshold is set in the scan recipe. All scattering events with intensity higher than the threshold are reported as defects, and the signal below the threshold is reported as haze. This is the basic principle

Figure 8.2-4 Schematic illustration of defect and haze scattering signals from a wafer surface [8]. Used with permission from SEMI. 8: Particulate Contaminants, Terrell and Reinhardt 493 of scattering-based defect inspection [17]. The ability of an inspection tool to repeatably detect defects at a certain threshold is called its capture rate. This parameter is used to defi ne tool specifi cations, to set thresholds for recipes, and for comparing scan results across different tools and scan con- ditions. A more detailed description of capture rate can be obtained from the SEMI M50 standard [22]. Commercially available wafer inspection systems have both bright fi eld and dark fi eld (scattering) detection capability. In general, dark fi eld has better sensitivity for detecting small defects. A third technique, differential interference contrast (DIC) [23], is a microscopy-based technique used primarily for detecting non-scattering defects like shallow residue or surface topography. Dark fi eld inspection detects light scattered away from the specular beam, regardless of whether the light is incident on the wafer at a normal angle, i.e. perpendicular to the wafer, or at an oblique angle, i.e. other than perpendicular. A photo-multiplier tube is used to collect the scattered light. A schematic of defect detection by light scattering is shown in Figure 8.2-5. Particles and other discrete defects are not the only cause of light scattered from a wafer [24 ]. Surface roughness, organic fi lms, residue and other surface fi lm from unwanted contaminants all result in haze scattering, which must be distinguished from defects, as described above [25–27]. Surface roughness arises from the Si wafer not being perfectly smooth; for example the Si surface has different planes of the Si crystalline bonding structure. Chapter 9 discusses this structure. The wafer surface can also be roughened by

Figure 8.2-5 Schematic of dark fi eld scattering-based defect detection. 494 Analytical and Control Aspects various surface treatments such as etching, plasma damage and other IC fabrication processes. This surface roughness, damage and other surface morphology changes can be characterized with sub-nm resolution by haze measurements. Controlled studies have shown good correlation between local haze, and surface roughness as measured by atomic force microscopy (AFM), on bare silicon [28 ], polysilicon [29 ], and other fi lms. This ability of haze to provide full-wafer surface roughness information becomes criti- cal in light of the sub-4 Å surface roughness requirements predicted in the ITRS roadmap [4]. Haze has also shown the ability to detect watermarks and other shallow wafer residues, which are known as yield killers [27 ]. Defect inspection on bare Si wafers is typically done using light scattering based tools [17 , 30 ]. Sensitivity and throughput are the primary focus of tools designed for unpatterned wafer inspection. Sensitivity improvements in recent years have been obtained by moving from visible-wavelength to ultraviolet (UV) laser sources. The shorter-wavelength UV light is sensi- tive to smaller defect sizes, with current tools showing ultimate sensitivity down to 30 nm [31]. The UV light also has a much smaller penetration depth into Si than visible light; 10 nm, instead of several hundred nm. This enables the inspection of silicon-on-insulator (SOI) substrates [32], which

Figure 8.2-6 Inspection result of a SOI unpatterned wafer, showing particles, haze, and shallow scratches. Used with permission from KLA-Tencor. 8: Particulate Contaminants, Terrell and Reinhardt 495 are fi nding increased use in high performance microprocessor applications. Thus the scattered light in a UV-based tool is mostly due to surface defects and anomalies, giving more accurate and yield-relevant defect results [32]. Figure 8.2-6 shows a KLA-Tencor Surfscan SP2 inspection result on a SOI wafer, showing particles, haze and shallow scratches detected by the haze analysis algorithm of the SP2 [33]. Some examples of commercially available defect detection systems available that can measure blanket Si wafers are the KLA-Tencor SP1, SP2, Hitachi LS6800 and LS7800. Figure 8.2-7 shows an example of a KLA-Tencor SP2 300-mm wafer inspection system.

8.2.5.2 Patterned wafer defect inspection The criteria for detection of defects on patterned versus unpatterned wafers are different because with patterned wafers it is important to recognize defects and imperfections that are incorporated into the device

Figure 8.2-7 KLA-Tencor SP2 blanket wafer defect detection system. Used with permission from KLA-Tencor. 496 Analytical and Control Aspects structures. The detected imperfections may be lines that have opens, shorts, or width variations, or impurities that should not be present on the wafer such as particles, resist and implant residue, organic haze, or particulate contamination. To recognize defects on pattern wafer requires complex- imaging techniques performed with pattern recognition software and algorithms [34]. Defects on pattern wafers are detected in much the same method as blanket wafers as discussed in Section 8.2.5.1, although optical patterned wafer inspection systems may use bright fi eld imaging or dark fi eld imaging techniques, in addition to the dark fi eld scattering techniques described in Section 8.2.5.2. Moreover, electron-beam (E-beam) inspec- tion [35] is becoming commonplace not only during process development, but also in production. E-beam inspection provides some distinct advan- tages in sensitivity for some process layers, and has the unique ability to fi nd electrical defects in-line. State-of-the-art patterned wafer inspec- tion systems have detection limits around 30 nm, and throughputs ranging from a few wafer per hour to tens of wafers per hour [36 ]. Commercially available dark fi eld illumination pattern wafer defect detection systems are the Hitachi IS2700 [37 ] and IS3000 [37 ], KLA-Tencor Puma Series [38], and Nanometrics NanoUDI9300 [39]. Figure 8.2-8 shows an example of a 300-mm KLA-Tencor Puma Series system.

Figure 8.2-8 KLA-Tencor Puma Series dark fi eld imaging, patterned wafer defect detection system. Used with permission from KLA-Tencor. 8: Particulate Contaminants, Terrell and Reinhardt 497

8.2.5.3 Wafer back surface defect inspection Back surface contamination, although not as immediately detrimental to the devices as defects on the front surface, nevertheless can cause yield failure [40 ]. Cross-contamination of the wafer front surface from the same or adjacent wafers can result in killer defects migrating to device areas. In addition, backside particles also cause depth of focus (DOF) issues at lithography steps, often resulting in yield-killing hot spots. Nearly all fabrication processes can contribute to this issue. Touching the back surface and edge of the wafer in any manner by robot end effec- tors and edge grips, wafer chucks and clamps, and FOUP and SMIF pods can cause damage and particles to deposit on the back surface [41 , 42 ]. In addition, particles from processes like CMP, wet cleans, and diffusion can also deposit on the wafer backside during processing. The two typical uses of backside inspection are for (a) monitoring process tool for backside contamination and (b) monitoring patterned wafers on the backside for yield-impacting defects. The Back Side Inspection Module (BSIM) on the SP1 and SP2, and the Nanometrics NanoUDI [41] systems are two tools capable of performing back surface inspection.

8.2.6 Limits of analytical measurement techniques Detection of the particles on a blanket wafer must be differentiated from detection of other defects. In addition, particle detection on the wafer must distinguish the particle from the features. Examples of defects that must be distinguished from the particulate contamination are light-point crystal defects, surface roughness, scratches, etc. As feature dimensions become smaller with each progressing node, the need to detect defects, including particles that are smaller than half the size of the feature, are deemed to be needed [4 ]. As particles become smaller, their detection becomes more diffi cult due to Raleigh scattering. Rayleigh scattering is usually used to describe system noise caused by light scattering from air molecules. Raleigh scattering defi nes the exponential reduction in scattering effi ciency as a function of the particle diameter. Halving the particle diameter reduces the amount of surface scattering by a factor of 64. Detection limits occur when the scat- tering intensity for small particles approach the level of the stray light in the system— and reaches a limit which translates into the haze sensitivity. Current state-of-the-art commercially available technology has limitation for detecting particles at 30 nm [31 ]. 498 Analytical and Control Aspects

8. 2.6.1 Calibration Calibration of the particle monitoring system is crucial to the performance of the tool [43, 44]. Methods used for calibration include polystyrene latex (PSL) sphere calibration, differential mobility analysis (DMA) and secondary electron microscopy (SEM) for comparison [43 ]. Custom calibration may be needed when the tool performance becomes an issue or when characterizing a specifi c defect of interest. Calibration is performed at periodic maintenance checks or if the process drifts out of control during routine statistical process control (SPC) testing. Typically, particles of known sizes are used for calibration at various defect dimen- sions. Polystyrene latex spheres have a well-defi ned spherical shape and are commercially availability with various calibrated sizes from approxi- mately 20 nm to many microns in diameter with a tight standard deviation. Polystyrene latex spheres are spherical, as the name implies, however typi- cal defects come in various shapes and scatter the light differently than the PSL spheres. Therefore, even if the PSL spheres give a tight measurement distribution, there is no guarantee that the same measurement will result for real defects. Also, the material the spheres are deposited on is impor- tant, as the refl ectivity changes with different fi lms or surface condition. However, for production requirements this calibration method suffi ces. The ITRS states that in 2005 43-nm particles must be detectable on bare Si and 56-nm particles detectable on metallic fi lms [4]. Standards for cali- bration have been developed with SEMI and Sematech [45] and are also by Mulholland et al. [46]. SEMI specifi cation M53-0303 [47] outlines the pro- cedure for calibration of the scanning surface inspection equipment (SSIS). The use of the PSL spheres are suggested that range in sizes from the larg- est measurable size of interest to the smallest size for example, those par- ticles with an expected capture rate of 50%. The capture rate is defi ned by probability of the SSIS capturing the signal of the PSL sphere at the opera- tional set point. Section 8.3.1.3 discusses the important of the size distribu- tion and symmetry of the histogram of the particle size for liquid particle counting and is applicable to particle and defect detection on a wafer. The particle size as defi ned by a scanner is given as the PSL diameter that would give the same signal into that detector — regardless of what the defect is. In a typical IC manufacturing environment particle standards are pre- pared and used to calibrate the system periodically. SEMI specifi cation M58-0704 [48] outlines the use of certifi ed material for calibration and the use of DMA for depositing the particles resulting in a tight size distribu- tion. Many sources for PSL are available such as Duke Scientifi c and Poly- sciences, Inc. and there are sources for purchasing calibration standards such as VLSI Standards and The Scatter Works. 8: Particulate Contaminants, Terrell and Reinhardt 499

41

47 33 Count 52

0.030 0.035 0.040 0.045 0.050 0.055 0.060 Size (nm) SizC (µmLSE)

Figure 8.2-9 (A) Defect map and (B) histogram from a multi-spot PSL deposited wafer, scanned with a 30 nm threshold on the KLA-Tencor Surfscan SP2 [31]. Used with permission from KLA-Tencor.

A KLA-Tencor Surfscan SP2 wafer scan of PSL spheres of different sizes uniformly deposited for calibration is shown in Figure 8.2-9. Note the sharp peak for the size distribution of each PSL sphere [31 ]. Since calibrating a defect measurement system for the various size par- ticles and defects that may be encountered, the hardware of the systems has been modifi ed to optimize the detection of the scattered light. Multiple scattering channels and multiple detectors for use with a single-wavelength light source have been developed. Accurate scattering models are needed for these systems to achieve usable results [17 ].

8. 2.6.2 Uncertainty and repeatability Uncertainty is defi ned as the ability of the measurement system to detect the defect and the location with enough precision to locate the defect again and again. This is important when performing overlay defect analysis for a patterned wafer, for example, allowing tracking of a particle throughout the manufacturing process to see if it is removed during a cleaning step. Uncertainty is also defi ned as the ability of the measurement system to detect the defects that are true defects and not other phenomena that are displayed as defects and also the accurate size of the defect as related to the polystyrene-latex sphere equivalent (LSE). The accuracy of the size of the defect is essential to the high performance standards the tool must meet in a manufacturing environment. Section 8.2.6.1 outlined the calibra- tion of the size of the defect, however, real-time monitoring is essential to confi rm the calibration and with time the defect measurement process is tuned for the type of particles and defects encountered in production. 500 Analytical and Control Aspects

Repeatability is defi ned as the ability of to take a statistically signifi cant number of measurements and have an acceptably small variance between the numbers to assure the number is correct [30 ]. The uncertainty of the measurement is expressed in the following terms:

ss22+ CoV = RPT RPD Eq. (8.2-1) 2 (mm+ ) RPT RPD where CoV is the coeffi cient of variance and m RPT and m RPD are the aver- age particles counts and s RPT and s RPD are the standard deviations; RPT is the repeatability test and RPD is the reproducibility test. Measuring the same test wafer 30 times without unloading the wafer from the measure- ment station assesses repeatability, while measuring the same test wafer 30 times using the entire process sequence including loading and unloading, aligning, pattern recognition, and measurement assesses reproducibility. SPC charts are set up to look at the long-term performance of the system and to determine acceptable limits for the repeatability, the same wafer is measured every shift or every day and plotted on the SPC chart to deter- mine if the process is in control. Figure 8.2-2 shows an example of an SPC chart. Statistic books such as [49 ] are good references for understanding statistical process control.

8.3 Particle Measurement in Liquid Chemicals

Real time particle monitoring of liquid chemicals is commonplace in an IC manufacturing facility. The ability to isolate the liquid chemical as the source of particles without the aid of a particle counter requires a consider- able expense of time and money and, therefore, liquid particle counters are being used for high volume production. The uses of these particle counters are best at quantifying the level of liquid-based contamination as well as monitoring the liquid on a routine basis.

8. 3.1 Optical particle counters Liquid particle counters or optical particle counters (OPC) for liquids are the most popular instruments for measuring particles in UPW and process chemicals. They provide a reliable, consistent, and effi cient method for quantifying particle size and concentration. An OPC measures the equiva- lent optical size of particles based on the principal of light scattering. 8: Particulate Contaminants, Terrell and Reinhardt 501

A laser beam is focused on a sample cell or capillary through which a liquid is fl owing. Individual particles in the liquid scatter light that is detected by a photodetector. The intensity of scattered light is proportional to particle size, with smaller particles scattering less light. Since particle counters are calibrated with polystyrene latex (PSL) size standard spheres, particles are assigned a size based on their light scattering equivalency to the PSL spheres. Liquid particle counters can be classifi ed as either volumetric or non- volumetric; a good overview is given by Terrell [50]. The term volumetric refers to whether or not the particle counter has a well-defi ned sample volume and is viewing the entire sample fl ow [51]. The sample volume is the amount of fl uid examined per minute during the measurement. In a volumetric particle counter the laser beam has a uniform shape across a capillary, as shown in Figure 8.3-10. Volumetric instruments have good size resolution, provide more repeatable results due to their large sample volumes, and can accurately measure small volume samples. When these particle counters have several channels they are referred to as spectrometers and their sizing accuracy gives them the ability to distinguish particles of different sizes. Their main drawback is that the capillary is in the viewing region and scatters some light, which reduces their size sensitivity. Com- mercially available volumetric particle counters are limited to 0.1 µ m size sensitivity. Non-volumetric particle counters have tightly focused laser beams that provide increased sensitivity, as shown in Figure 8.3-11 . These types of particle counters are often referred to as monitors due to their poor reso- lution and small number of channels. In addition, only a small portion

Figure 8.3-10 Schematic of volumetric liquid fl ow cell designs for particle measurements. Adapted from [50, 51]. 502 Analytical and Control Aspects

Figure 8.3-11 Schematic of non-volumetric liquid fl ow cell designs for particle measurements. Adapted from [50, 51]. of the fl uid fl ow is examined per unit time. The sample volume can be less than 0.25% of the total fl ow through the instrument and as small as 0.1 mL/minute. High variability in the results can occur when short sample intervals are used since very little fl uid is actually being examined. This is especially true when measuring UPW and very clean chemicals. Non- volumetric particle counters with larger sample volumes typically provide more consistent measurements but lack the sensitivity to examine the smallest particles. The current sensitivity limits for non-volumetric coun- ters are 0.065 µ m in liquid chemicals and 0.05 µ m in UPW. Both types of particle counters are well suited for online monitoring, but the volumetric counters are better for off-line use with syringe or compression samplers due to their large sample volumes. An excellent review of the performance and use of optical particle counters is found in Knollenberg et al. [51] and in Terrell et al. [52 ].

8.3.1.1 Special considerations for optical particle counters There are special considerations that can compromise the accuracy of particle counters. These include index of refraction differences, bubbles, particle counting coincidence, and contamination. For light to be scattered 8: Particulate Contaminants, Terrell and Reinhardt 503 by a particle there must be a contrast between the index of refraction of the particle and the sample media. Index of refraction is a factor that describes how the phase velocity of light slows down as it passes through a material relative to a vacuum. It is composed of two parts: a real component and an imaginary component. The real component describes the light scattering and the imaginary component describes the degree of light absorption. Table 8.3-1 shows index of refraction numbers for some typical particle compositions as well as for common liquids used in semiconductor wafer cleaning. For example, when PSL spheres are used for calibrating the par- ticle count, the actual particle count, from non-PSL material, may vary. This variation is from the contrast in the differences between the PSL calibration material and media (H 2O) when compared to the actual par- ticle and the specifi c chemical, thus this discrepancy will impact the sizing accuracy. These effects are unimportant for very small particles in UPW and many liquid medias. Raleigh scattering predicts that for particles less than 0.2 µ m the amount of scattering decreases according to:

Scattering = D–6 Eq. (8.3-2) where D is the diameter. The Raleigh scattering effect is so dominant that the particle shape and the index of refraction differences become inconse- quential for very small particles when compared to comparable PSL sphere sizes. However, when particles closely match the index of refraction of the

Table 8.3-1 Refractive Indices of Semiconductor Materials at 780 nm

Particulate Material Refractive Index

Silicon dioxide (SiO2) 1.45 Silicon (Si) 3.90 Polystyrene latex (PSL) 1.59 Sample media

Hydrofl uoric acid (HF) 50 wt% 1.29

Ammonium hydroxide (NH4OH) 29 wt% 1.33 Hydrochloric acid (HCl) 37 wt% 1.41

Sulfuric acid (H2SO4) 96 wt% 1.46 Water (H2O) 1.33 504 Analytical and Control Aspects media they are present in, they will be diffi cult or impossible to detect and if counted will be sized much smaller than their true size. Bubbles have an index of refraction contrast with liquid chemicals and will be counted as particles. Many process chemicals used in semiconductor wafer cleaning form bubbles easily, including H2 O2 , SC-1, SC-2, and hot UPW. The effect of bubbles can sometimes be reduced with the use of degasifi cation membrane fi lters. These, however, may fi lter out the par- ticles of interest and mask particle events. Wettability characteristics for the membrane are important to consider because improper membrane selection can result in it becoming a nucleation site for bubble formation. If on-line particle counting occurs under suffi cient pressure, bubble can remain in solution and may not be a problem. Off-line samples can benefi t with the use of a compression sampler. Compression sampling forces the bubbles back into solution before measuring the sample. If a liquid sample is collected for off-line analysis, air can be entrained during the collection especially for more viscous fl uids. These types of artifi cial bubbles can be diffi cult to remove with compression sampling. Proper sample handling practices and time may be the only solution to this specifi c problem. In the case of a volumetric particle counter, bubbles can be easily identi- fi ed because they typically occur in the larger size channels. Figure 8.3-12 shows the benefi t of using a compression sampler at pressures of 45 psi (pounds per square inch). In this example, a pressure of 30 psi was insuf- fi cient and the bubbles are counted as 2- µ m size particles. Particle counting or optical coincidence occurs when more than one particle is in the viewing region at the same time. In this case, multiple smaller particles get sized as a single larger particle. All particle counters

Figure 8.3-12 Example of particle size with different compression pressures. Compression is used to return bubbles back into solution so that they will not be incorrectly counted as particles. Used with permission of Particle Measuring Systems. 8: Particulate Contaminants, Terrell and Reinhardt 505

Figure 8.3-13 Tap H2O with high particle concentrations introduced at various rates. Very fast introduction rates, in this case 1 mL/15 second, led to optical coincidence and reduced differential counts in the most sensitive channel (0.2 µm) when compared to the second channel (0.3 µm). Used with permission of Particle Measuring Systems. have a specifi ed maximum concentration that is design dependent. Above this limit, sizing and overall counts will be inaccurate. Figure 8.3-13 shows how doubling the particle concentration in sequential steps, eventually leads to a reduced differential of counts in the most sensitive channel when compared to the second channel. In this case, tap H 2 O with a high particle concentration was introduced at different rates. The particle counter maxi- mum concentration (10,000 particles/mL) was exceeded at 1 mL/2 minute. The skewed distribution provides evidence that counting coincidence is occurring. In extreme situations, total cumulative particle concentrations will decrease with increasing particle concentrations. Contamination can impact the accuracy of particle counter results. This is because particles and other contaminants can become attached to opti- cal surfaces of the liquid particle counter or in the sample lines leading to the counter. Capillaries are particularly susceptible to contamination, which will causes more light to be scattered. This results in an increase in the direct current (DC) component of the voltage signal (DC light level). It can also cause unusually high counts in the most sensitive channel. Sample cell contamination is not usually an issue with the high sensitivity non-volumetric counters, as the viewing region does not include the opti- cal interfaces. However, high sensitivity instruments are more affected by small particles present in the sampling lines and valves. It is not unusual, when installing a high sensitivity particle counter, that “ clean up” times to baseline levels can exceed 24 hour for very clean UPW systems. Changes in the fl ow rates will affect the face velocity along the tubing and can cause particle shedding. Valves are especially prone to trapping and shedding 506 Analytical and Control Aspects particles and should always be fully opened or closed. Partially open valves will continually shed particles for long lengths of time.

8. 3.1.2 Particle counter performance

Ultrapure H2 O and very clean chemicals manufactured for the IC indus- try are close to particle-void environments. The relative infrequency of par- ticle detection by particle counters can result in poor repeatability. The most important criteria in establishing particle counter performance are the number of particles counted per unit time. The two design criteria that directly impact the frequency of particle detection are sensitivity and sample volume. Size sensitivity is important since there are a greater number of small diameter particles when compared to the number of large diameter parti- cles. Filters for liquid systems act primarily like sieves removing the large particles preferentially. However, they are not perfect and some small per- centage of large particles will still be detected. If this concentration to size relationship is known, a less sensitive monitor can be used to predict particle concentrations below its sensitivity limit. Particle size distributions (PSD) in continuously fi ltered UPW systems and in chemical distribution systems have been well documented by Knollenberg [51] and Mitchell [53]. These PSD closely approximate a power distribution function where the cumula- tive concentrations for different particle sizes have a relationship of diame- ter–3 . The graphical representation of this is best shown using a log – log plot as shown in Figure 8.3-14 . The rule of thumb is that when the diameter is halved there will be 8 times as many cumulative particles in the liquid. In optical particle design, sensitivity comes with a trade off in sample volume. Since sample volume represents the amount of fl uid being exam- ined per minute, then a larger sample volume will result in more particles being detected. Since the number of particles detected is a function of both sensitivity and sample volume, both of these criteria affect particle counter performance; the more particles detected the higher the confi dence in the result. A reasonable measure of statistical signifi cance requires the detec- tion of a minimum of 20 particles. Figure 8.3-15 shows the time required for several current commercially available instruments to detect 20 par- ticles in a fl uid with a concentration of 0.2 particles/mL >0.1 µ m with a particle size distribution of diameter–3 . The precision of the particle count measurement is primarily a function of counting statistics. The standard deviation ( SD ) and relative standard deviation ( RSD) can be calculated by knowing the total number (N ) of particles counted during the sample interval [54 ]: 8: Particulate Contaminants, Terrell and Reinhardt 507

Figure 8.3-14 Cumulative particle count distribution in a continuously fi ltered liquid system [50]. Used with permission of Semiconductor Pure Water and Chemical Conference. Copyright 2005 SPWCC.

Figure 8.3-15 Minimum time required for accurate results require detecting at least 20 particles. The minimum of 20 counts gives a reasonable measure of statistical signifi cance. The Ultra DI 50 and the LiQuilaz S02 are manufactured by Particle Measuring Systems. The MicroCount 100 is manufactured by Hach Ultra Analytics. Used with permission from Particle Measuring Systems. 508 Analytical and Control Aspects

= SD N Eq. (8.3-3) RSD = NN Eq. (8.3-4)

When larger volumes of fl uid are examined, more particles are detected during the sample interval. This reduces the sample-to-sample variation, as shown in Figure 8.3-16 , and the relative standard deviation is smaller. In addition, by knowing the number of particles counted per sample interval, the upper control limits can be calculated using probability tables. For the example shown, two particle counters with the same sensitivity (0.05 µ m), monitoring the same fl uid have very different control limits. This is due to the fact that the UDI-50 counter has a sample volume 15 times larger than the M50 and the control limits are normalized by this volume. In Eq. (8.3-5), the value of 1.65 comes from a Students T table of probability constants for the 95% upper control limit.

95% UCL = [(expected counts) + 1 .65 × SD]/sample volume Eq. (8.3-5)

Figure 8.3-16 Particle counts versus. sampling over a time period. The particle counter with the larger sample volume has less data variability and allows tighter control limits to be established [50, 52]. Ultra DI 50 and the HSLIS M50 are manufactured by Particle Measuring Systems. Used with permission of Semiconductor Pure Water and Chemical Conference. 8: Particulate Contaminants, Terrell and Reinhardt 509

A more detailed discussion of the impact of sizing sensitivity and sam- ple volume on particle performance can be found in Terrell [52] and Mitchell [53 ].

8. 3.1.3 Calibration and particle counter verifi cation Particle counter calibration with PSL sphere as standards establishes the particle counter’s sensitivity and sizing accuracy. Volumetric particle counters count 100% of the particles in the fl ow through coming into the instrument so if the sizing accuracy is correct the counting accuracy will be correct. Commercially available PSL spheres have a very narrow distribution with 50% of the particles above the mean size and 50% of the particles below the mean size. This sensitivity threshold is set during calibration so that the particle counter will count 50% of the PSL spheres present at the size standard being calibrated and thus all particles found in the sample being analyzed be counted. Therefore, calibration can be best done with comparison to a reference instrument or with the use of count standards, which are commercially available PSL sphere solutions of a certifi ed concentration. Setting the sensitivity limit at 50% count- ing effi ciency is important as it sets the sensitivity limit independent of the resolution of the particle counter. If values other than 50% were used then resolution would affect the sensitivity limit as shown in Figure 8.3-17 , resulting in inaccuracies when counting real world particles. This example shows the affect of setting the threshold incorrectly at 90% of the total PSL spheres counts. Sizing accuracy at sizes greater than the sensitivity limit can be verifi ed for volumetric particle counters by measuring the distribution of a PSL sphere size standard [53 ]. This requires setting the channel’s size threshold to be exactly the same as the PSL sphere size being tested.A cumulative distribution function is used to compute the sizing accuracy based on the percentage of PSL spheres above this threshold and the particle counter resolution. Sizing errors are typically less than 5% at the calibration particle sizes. Non-volumetric monitors have poor resolution and inherently poor siz- ing accuracy. These types of counters are calibrated by setting the sen- sitivity limits and their sample volumes to match a reference unit or to meet counting effi ciency specifi cations with count standards. If present, channels above the sensitivity limit are set by adjusting voltage thresholds such that the distribution of the PSL sphere size standards meet some pre- defi ned calibration criteria. Performance verifi cation of a non-volumetric monitor requires a reference unit or count standard. 510 Analytical and Control Aspects

Figure 8.3-17 Example of particle size distributions for PSL sphere standards. The sensitivity limit of a volumetric particle counter is set at 50% counting effi ciency. This ensures that the sensitivity limit is independent of resolution and that all particles greater than the sensitivity limits are counted. Used with permission from Particle Measuring Systems.

8. 3.1.4 Applications of particle monitoring in liquids Particle counters can be used in-line, with a syringe sampler or with a compression sampler. Particle counters installed on pressurized delivery lines provide continuous sampling and are less susceptible to contamination. Syringe samplers deliver a small and precise amount of fl uid to the particle counter. These are useful for batch type operation with samples of limited volume or with expensive chemicals. Finally, compression samplers are available to minimize false counts due to bubbles when measuring chemi- cals offl ine. Compression samplers are also useful for making continuous measurements directly from a recirculating chemical or UPW bath.

8.3.1.5 Ultrapure H2 O distribution systems: trending and alarming

Ultrapure H 2 O is critical in the manufacturing of semiconductor devices. It is used extensively in aqueous cleaning and rinsing baths as well as in the chemical make-up of etching, stripping, and cleaning solutions. Due to the very low concentrations of particles in UPW distribution systems, con- tinuous in-line monitoring is normally used. Continuous in-line monitor- 8: Particulate Contaminants, Terrell and Reinhardt 511 ing minimizes the potential for particle contamination and provides higher levels of statistical confi dence in the results. While portable carts with par- ticle monitors are still used for trouble-shooting these have become less useful except for identifying extreme out-of-spec conditions. Two types of sensors that are most commonly purchased for this application:

1. Small sample volume monitors (< 0.5 mL/minute) that only provide alarm capability. 2. Medium sample volume monitors (1 – 10 mL/minute) that can provide statistical trending information in addition to alarm capability [52 ].

At critical fab locations, such as the main delivery line for UPW to the fab, high sensitivity of the medium sample volume sensors is needed for trending and gathering of statistical information. Particle background lev- els are an important consideration since typical concentrations for UPW are commonly at or below 0.5 cts/mL for particles >0.05 µ m. The back- ground counts are not due to particles but are caused by high-energy photons striking the detector. These photons are normally attributed to cosmic rays and can have a signifi cant impact on the results since they occur about once per minute. The larger sample volume of these sensors ensures that enough particles are counted relative to these false background noise counts. The results of small sample volume instruments are affected to a much larger degree by high background counts. These monitors are still useful in detecting signifi cant out-of-spec conditions or in monitoring concentrations greater than 1 ct/mL for particles >0.05 µ m. Hot UPW can form bubbles that may be counted as particles. The best approach to eliminating this possibility is the use of a small chiller prior to the particle counter. The chiller should be qualifi ed prior to use to ensure that it does not shed particles.

8.3.1.6 Chemical distribution systems and recirculating baths Particle monitoring of chemical distribution systems is very similar to UPW monitoring. Most particle counters are installed in-line to reduce the possibility of contamination and to provide continuous monitoring of the liquid chemicals [55]. Particle counter sensitivities tend to be some- what higher for chemicals when compared to UPW. Most aqueous chem- istries exhibit more molecular scattering than H 2 O, which raises the back- ground voltage signal. This requires an increase in the counter’s sensitivity 512 Analytical and Control Aspects

specifi cation and corresponding voltage threshold setting for the fi rst chan- nel. Specialized mobile carts for particle counting with scrubbed exhaust and leak detection are employed to a limited extent for troubleshooting. Mobile carts suffer from the same particle issues that occur with their UPW counterparts in that, long clean up times may be required and are really only useful in identifying large excursions. Monitoring of recirculating chemical baths can be accomplished with an in-line counter in the recirculation loop. However, it is usually preferable, depending on the processing speed, to directly monitor the bath itself, so that the particle counter measures the same fl uid that comes in contact with the wafer. A compression sampler operating in a continuous mode is best for accomplishing this goal. When wafers are introduced in a bath an increase in particle concentrations is observed with the cleanup to baseline dependent on the fi lter recirculation rate, fi ltration effi ciency, and rate of clean chemical addition, as shown in Figure 8.3-18 . Rapid processing can result in incom- plete return to baseline, increased bath contamination and a decrease in product quality. Some compression samplers are equipped to accept external triggering so that the sampling can be halted during the cleaning and replen- ishment of the bath. Gromala and Beal [56 ] describe parameter optimization for compression sampling to improve the data reliability.

Figure 8.3-18 Example of particle concentration with time showing that spikes in particle concentration occurs when wafers are introduced into a bath. The particle cleanup is dependent on recirculation rate, fi lter effi ciency, and the rate of clean chemical additions. Used with permission from Particle Measuring Systems. 8: Particulate Contaminants, Terrell and Reinhardt 513

The ITRS roadmap [4] shows concentration for the gate clean chemicals HF and HCl below 10 particles/mL at the critical particle size (0.040 µ m in 2005). Since the most sensitive particle counters are limited in measurement capability to >0.065 µ m, it is necessary to project the concentration to the smaller particle size using an expected particle size distribution of diameter–3 . This can be reasonably accurate assuming that the original measurement is based on suffi cient counting statistics. Monitors with larger sample volumes count more particles per unit time and provide better confi dence in the results.

8. 3.2 Nonvolatile residue monitor There are several nonvolatile colloidal species in UPW that deposit onto the surface of wafers during the rinsing and drying steps of a cleaning process. These include colloidal silica, bacteria, particles, and certain ionic impurities. Blackford et al. [57–59 ] describe a nonvolatile residue moni- tor (NRM) that quantitatively measures the amount of these nonvolatile species in H2 O. Figure 8.3-19 depicts a schematic diagram of this moni- tor. A sample of H 2 O is atomized into an air stream that carries it through a diffusion dryer. The H 2 O is evaporated leaving a particulate phase that represents the nonvolatile components. These particles are typically well

Diffusion dryer

Diluter (100:1) Filter compressed air

Atomizer

Condensation nucleus counter

Figure 8.3-19 Nonvolatile residue monitor [57]. Copyright 1987 by IEST. Used by permission. Reprinted with permission from 1987 Journal of Environmental Sciences, published by IEST. www.iest.org (847) 981-0100. From: Blackford, D. B., Belling, K. J., and Sem, G. J., “A New Method for Measuring Nonvolatile Residue for Ultrapure Solvents,” J. Environmental Sciences, 30(4):43 (1987). 514 Analytical and Control Aspects below 0.1 µ m in size, and conventional aerosol optical particle counters cannot be used to size and count them. Blackford et al. [58 ] recommend the use of a condensation nucleus counter (CNC) to count these particles. In a CNC, small particles are coated with a fi lm of alcohol (e.g. butanol) that increases the effective size to ~ 10 µ m, allowing the small size particles to be counted by standard optical techniques. This technique can also be used to measure the nonvolatile residue of H2 O soluble solvents by inject- ing pulses of the solvent into the UPW passing through the monitor [59 ]. It should be noted that NRM is not a particle counter and does not attempt to distinguish between real particles and the other nonvolatile materials. Some nonvolatile chemicals such as silica may exist as a colloid, a single particle, or dissolved in solution. In addition, its form can change with changes in the pH. Nonvolatile residue monitoring results are reported in mass or parts per billion (ppb) and are calibrated using NaCl, which is well behaved during evaporation, in contrast to real samples that experience some loss during the drying process.

8. 4 Particle Measurement in Vacuum, Gas, and Air

Particle counters that directly measure particles in the vacuum, gas, and air are essential for estimating the amount of particles that will eventually be deposited on the wafer surface. Knowing the number of particles that are in the processing medias is critical for the successful production of semiconductor devices. Particle detection and control are used to assure the clean room meets strict manufacturing standards, as outlined by the Institute of Environmental Sciences and Technology [60]. Table 8.4-2 outlines the cleanliness parameters for clean room particle count require- ments. The monitoring of these particles in the clean room is discussed in Section 4.3. Integrated and stand-alone metrology particle measurement systems are commercially available.

8. 4.1 Particle measurements in vacuum Integrated metrology can help reduce metrology costs for high-volume, 300-mm manufacturing. Optical particle counters for vacuum systems have been implemented into various reaction chambers such as high current implanters [61 ], etchers [62 ], deposition [63 ], and diffusion furnaces [10 ] and in the load locks and exhaust ports of several process tools. Corre- lation of high particle counts to lower yields has demonstrated that the 8: Particulate Contaminants, Terrell and Reinhardt 515

Table 8.4-2 Classifi cation of Clean Room Levels as Defi ned in ISO 14644-1 Specifi cation [60]

Class Number of Particles per Cubic Meter of Room Air by Micrometer Size

0.1 µm 0.2 µm 0.3 µm 0.5 µm 1 µm 5 µm

ISO 1 10 2 ISO 2 100 24 10 4 ISO 3 1000 237 102 35 8 ISO 4 10,000 2370 1020 352 83 ISO 5 100,000 23,700 10,200 3520 832 29 ISO 6 1,000,000 237,000 102,000 35,200 8320 293 ISO 7 352,000 83,200 2930 ISO 8 3,520,000 832,000 29,300 ISO 9 35,200,000 8,320,000 293,000

Figure 8.4-20 A particle detector module designed to be integrated into a vacuum chamber. A PSI HYT Model 70XE sensor, which provides sensitivity down to 0.17 µm through a dark fi eld, light scattering detection scheme. Used with permission from Pacifi c Scientifi c Instruments/Hach Ultra. reliability, sensitivity, and consistency offer a cost attractive alternative to conventional ex situ monitor wafer practices [64]. Examples of these systems are the FiberVac and the MiniNet® , both are manufactured by Par- ticle Measuring Systems. The High Yield Technology (HYT) Model 70XE sensor is manufactured by Pacifi c Scientifi c Instruments and is shown in Figure 8.4-20 . 516 Analytical and Control Aspects

These integrated, in situ particle monitors (ISPM) are real-time metrol- ogy systems that can be physically integrated into gaseous or vacuum environments such as load locks, vacuum lines, gas lines, and even the process chamber. This method allows advanced process control (APC) to monitor the particles in the actual processing environment and helps identify out-of-control occurrences of the process [65]. Besides ISPM there are other advanced control sensors, such as residual gas analyzers. Coupled together these give an overall assessment of the health of a reaction chamber. To be successful, the process chamber conditions must be correlated to the results of the ISPM and the wafer particle count [65]. Once the correlation has been made and real-time monitoring is in place, advance process control [66] can be performed on the tool resulting in an increase of wafer yield and equipment productivity. Integration of the software for these monitoring systems is just as important as the hardware. APC diagnostic software is needed and the monitoring tool must commu- nicate with the manufacturing host tool, which typically uses SECS- GEM (SEMI Equipment Communications Standard/Generic Equipment Model) protocol.

8. 4.2 Particle measurements in gases Gases for the semiconductor industry are manufactured to have purity levels for atmospheric molecular contaminants (AMC), impurity gases, but not particles. Process gases and purge gases that are used can be monitored for particles in the exhaust stream and at point of use. Particles can be generated in the gas line from various sources and high effi ciency fi lters are used to remove them. However, not all particles can be removed and in some cases the fi lter can introduce more contamination than it removes. Particles smaller than 300 nm are in Brownian motion and fi lters must trap them. If the fi lter fails, particle counters are used to detect excursion. Qualifi cation of a gas distribution system is accomplished using a gas- phase particle counter. There are commercially available particles counters that are capable of pro- cessing the different gases that may be used in a clean room; for inert gases

(He, N2 , Ar, etc. ), for reactive gases (H2 , O 2, etc. ), and for toxic gases (NF3 , etc.) at high (>1500 psi) or low (<150 psi) pressures. However, corrosive gases

(Cl2 , HCl, etc. ) and highly reactive gases (HF, etc. ) cannot be measured. The particle size sensitivity is 0.1 µ m at a fl ow rate of 0.1SCFM (standard cubic feet per minute) for both high-pressure gases at ~3000 psi as well as for lower pressure gases [67 ]. When analyzing reactive gases the probe is 8: Particulate Contaminants, Terrell and Reinhardt 517 contained in a cylindrical pressure vessel, providing a containment hous- ing for safety, which is pressurized with N 2 during operation. Additional safety measures are suggested when measuring toxic gases by having in place the ability to monitor leaks and purge fl ow monitoring. Direct sampling of the gases at their delivery pressure is preferred, using a mass fl ow controller (MFC) to provide a constant fl ow rate and the same volume for each sample. This technique works best for gases at pressures between 40 and 150 psi. Due to the different physical properties of the gases being tested, the ability to accurately size the particles is depen- dent on the pressure and the composition of the gas. Particle counters are designed to account for these variables, including sensing the fl ow rate and the exact atmospheric pressure. Typically, a gas constant entered into the instrument’s data system provides correction factors for different gases and allows the mass fl ow controller to increase/decrease the fl ow rate based upon the chemistry of the gas [67 ].

8. 4.3 Particle measurements in air Termed aerosol particle counters, these systems measure particles in air; other applications include measurements of the interior of a processing tool where the cassette resides and the handling areas. Particle monitors are usually placed at various locations within the fabrication area and are useful for constant monitoring of the real-time health of the clean room. Clean room standards are discussed in Chapter 2 and these references clas- sify the clean room, as shown in Table 8.4-2. Typically, 0.2 µ m size par- ticles are monitored according to the values set by the ISO standards [58]. The ISO 14644-1 standard requires three sample locations, with a 19.6-L minimum sample volume and a minimum sample time of 1 minute. Par- ticle counters have different sampling volumes; a large fl ow monitor at a fl ow rate of 50 L/minute can sample 1 m2 in 20 minute. Handheld and stand-alone particle counters are also commercially available, for example, the BPA Air Quality Solutuons Lighthouse Solaire series and the PMS LASAIR series. Many other companies make aerosol particle counters and their use goes beyond semiconductor manufacturing to include pharmaceutical manufacturing, medical apparatus manufactur- ing, and food processing areas, for example. The techniques used to measure particles in gases is similar to those used for measuring particles in liquid media, as discussed in Section 8.3.1 . The particles size measured is dependent on the wavelength of light, light will not be scattered for a particle smaller than the wavelength used for the detection. 518 Analytical and Control Aspects

Acknowledgements

The authors wish to thank John Stover for his helpful review of the chapter manuscript. The authors also wish to thank Lisa Cheung and Uday Mahajan for contributions to the chapter and for arranging photo of the KLA equipment.

References

1. Bichebois, P., Workshop on Defect and Fault-Tolerance in VLSI Systems, p. 124, IEEE, Boston, Massachusetts (1996). 2. Mori, K., Nguyen, N., Keeton, D., and Burns, R., SPIE Proceedings: Microelectronics Manufacturability, Yield, and Reliability (Vasquez, B., and Kawasaki, H., eds.), 2334:62 (1994). 3. Mitchell, J. R., and Knollenberg, B. A., Semiconductor International, 19(10):6 (September, 1996). 4. The International Technology Roadmap for Semiconductors, ITRS Semicon- ductor Industry Association, Austin, TX (2006). 5. Zazado, T. J., and Bottin, J. R., IEEE/SEMI Advanced Semiconductor Manu- facturing Conference and Workshop, p. 100 (2005). 6. Nakano, K., Owa, S., Malik, I., Yamamoto, T., and Nag, S., Optical Micro- lithography XIX, Proceedings of SPIE (Flagello, D. G., ed.), 6154:61544J (2006). 7. Tullis, B., Particle Control for Semiconductor Manufacturing (Donovan, R. P., ed.), p. 359, Marcel Dekker, New York (1990). 8. Braun, T., and Wocko, A., Sematech ISMI Symposium on Manufacturing Effectiveness, (2005). 9. Münter, N., Kolbesen, B. O., Storm, W., and Müllerbz, T., J. Electrochem. Soc., 150:G192 (2003). 10. Levinson, W. A., Stensney, F., Webb, R., and Glahn, R., Semiconductor Inter- national, 24(12):83 (October, 2001). 11. Teo, T. Y., Goh, W. L., Lim V. S. K., Leong, L. S., Tse, T. Y., and Chan, L., J. Vac. Sci. Technol., B22(1):65 (2004). 12. Semiconductor Equipment and Materials International (SEMI) Document E14-90, San Jose, CA. 13. Sano, K., Izumi, A., Eitoku, A., Snow, J., Wostyn, K., Holsteyns, F., Mertens, P., and Steinbach, A., Sematech Surface Preparation and Cleaning Conference (2006). 14. Janssens, T., Holsteyns, F., Kenis, K., Arnauts, S., Bearda, T., Wostyn, K., Simpson, G., Steinbach, A., and Mertens, P., 8th International Symposium on Ultra Clean Processing of Silicon Surfaces, p. 67 (2006). 15. Fossey, M. E., Stover, J. C., Clementi, L. D., US Patent 6,118,525 (2000). 16. Brundle, C. R., and Uritsky, Y. S., Handbook of Semiconductor Metrology (Diebold, A., ed.), p. 547 Marcel Decker, N. Y. (2001). 17. Stover, J. C., Optical Scattering, 2nd Editon, SPIE Press (1995). 18. Stover, J. C., Ivakhnenko, V., and Eremin, Y. A., Optical Metrology Roadmap 8: Particulate Contaminants, Terrell and Reinhardt 519

for the Semiconductor, Optical, and Data Storage Industries II, SPIE Proceedings (Duparre, A., and Singh, B., eds.), 4449:131 (2001). 19. Bobbert, P. A., and Vlieger, J., Physica, 137A:209 (1986). 20. Germer, T. A., 7th Conference on Electromagnetic and Light Scattering by Non-spherical particles: Theory, Measurements, and Applications (Wriedt, T., ed.), p. 93, Bremen, Germany (2003). 21. Germer, T. A., Opt. Lett., 27:1159 (2002). 22. Semiconductor Equipment and Materials International (SEMI) Document M50-1101, San Jose, CA. 23. Allen, R. D., David, G. B., and Nomarski, G., Z Wiss Mikrosk., 69:193 (1969). 24. Olson, C. R., Yalamanchili, D. M., Shortt, R. W., Mule’Stagno, L., Future Fab Intl., 14:Section 6 (2003). 25. Munter, N., Kolbesen, B. O., Storm, W., and Muller, T., Solid State Pheno- mena, 76–77:115 (2001). 26. Shive, L. W. Blank, R. E., and Lamb, K. H. MICRO, 19(3):59 (March, 2001). 27. Shen, J. J., Cook, L. M., Pierce, K. G., and Loncki, S. B., J. Electrochem. Soc., 143(6):2068 (1996). 28. Chen, D. K., Sun, K., Yeh, M., and Chen, C. C., Yield Management Sympo- sium (YMS) Taiwan, KLA-Tencor (2006). 29. Chen, D. K., Steinbach, A., Yeh, M., Chen, C. C., Chen, S. C., and Liang, M. S., IEEE/SEMI International Symposium on Semiconductor Manufacturing (2006). 30. Huang, P.-F., Uritsky, Y. S, and Brundle, C. R., Handbook of Semiconductor Metrology (Diebold, A., ed.), p. 515, Marcel Decker, New York (2001). 31. Shortt, D., and Cheung, L., Solid State Phenomena, 103-104:133 (2005). 32. Maleville, C., Moulin, C., Delprat, D.; McMillan, W.; Payne, J.; Birdwell, K.; and Moirin, R., 12th International Symposium on Silicon-on-Insulator Technology and Devices, (Celler, G. K., Cristoloveanu, S., Gamiz, F.; Fossum, J.G.; and Izumi ,K., eds.) 19(3):357 The Electrochemical Society, Pennington, NJ, USA (2005). 33. Moulin, C., Delprat, D., Maleville, C., McMillan, W., Birdwell, K., Payne, J., Brun, R., and Moirin, R., Proc. IEEE International SOI Conf., p. 146 (2005). 34. Hamamatsu, A., Shibuya, H., Oshina, Y., Maeda, S., Nishiyama, H., and No- guchi, M., 12th Asia Pacifi c Conference on NDT, Auckland, New Zealand (2006). 35. Hendricks, D., Jau, J. Y., Dohse, H., Brodie, A. D., and Meisburger, W. D., Integrated Circuit Metrology, Inspection, and Process Control IX, Proc. SPIE (Bennett, M. H., ed.), 2439:174 (1995). 36. Abe, S., Sekiguchi, T., Nakano, H., and Noguchi, M., Hitachi, Review, 55(2):73 (2006). 37. Watanabe, T., Jingu, T., Noguchi, M., and Hosoe, T., Hitachi, Review, 52(3):153 (2003). 38. KLA-Tencor, Technical Data Sheet Product Overview, Puma 9000 Series (2005). 39. Nanometrics web site http://www.nanometrics.com/products/udi9300.html. 40. Cheema, L. A., Olmer, L. J., Patterson, O. D., Lopez, S. S., and Burns, M. B., Solid State Technology, 46(9):57 (2003). 520 Analytical and Control Aspects

41. Saravanan, C., Yang, W., Liu, Z., Swisher, M. F., and Tang, A., MICRO, 22(3):29 (April, 2004). 42. Bearda, T., Mertens, P. W., Holsteyns, F., De Bisschop, P., Compen, R., van Meer, A., and Heyns, M. M., Jap. J. Appl. Phys., 44(10), 7409 (2005). 43. Yoo, S.-H., Weygand, J., Scherer, J., and Davis, L., Liu, B., Christenson, K., Butterbaugh, J., and Narayanswami, N., J. Vac. Sci. Technol., B19(2):344 (2001). 44. Stover J. C., Chapter 21, Handbook of Silicon Semiconductor Metrology (Diebold, A., ed.), Marcel Dekker, New York, NY (2001). 45. Sankaran, V., and Stover, J. C., #99083800B-TR, SEMATECH (1999). 46. Mulholland, G. W., Germer, T. A., and Stover, J. C., Proceedings of the Gov- ernment Microcircuits Applications and Critical Technologies Conference, Tampa, Florida (2003). 47. Semiconductor Equipment and Materials International (SEMI) Document M53-0303, San Jose, CA. 48. Semiconductor Equipment and Materials International (SEMI) Document M58-0704, San Jose, CA. 49. Harrington, H. J., Hoffherr, G. D., and Reid, R. P., Statistical Analy- sis Simplifi ed: The Easy-to-Understand Guide to SPC and Data Analysis, McGraw-Hill, New York, NY (1998). 50. Terrell, E., 24th Proceedings of Semiconductor Pure Water and Chemicals Conference (SPWCC), p. 91 (Balazs, M., ed.) Santa Clara, CA (2005). 51. Knollenberg, R. G., and Veal, D. L., Presented at the 37th Annual Meeting of the Institute of Environmental Sciences and Technology (IEST), p. 751 (1991). 52. Terrell, E., Gromala, J., and Beal, D., Understanding Liquid Particle Coun- ters, Particle Measuring Systems Inc. (2005). 53. Mitchell, J., Presented at the 44th Annual Meeting of the Institute of Environ- mental Sciences and Technology (IEST), p. 751 (1998). 54. Knollenberg, B. A., Datatech, p. 3 (September, 1999). 55. Hess, D., Klem, S., and Grobelny, J. M., Microcontamination, 14(1): 39 (January, 1996). 56. Gromala, J., and Beal, D., Cleanroom Technology, p. 21 (April, 2004). 57. Blackford, D. B., Belling, K. J., and Sem, G. J., J. Environmental Sciences, 30(4):43 (1987). 58. Blackford, D. B., Sem, G. J., and Kerrick, T., Proceedings of the Interna- tional Conference of Particle Detection, Metrology and Control, p. 546, Ar- lington, VA (1990). 59. Blackford, D. B., Zarrin, F., Sem, G., and Kerrick, T., Proceedings of the Microcontamination 1991 Conference and Exposition, p. 39, Canon Communications, San Jose, CA (1991). 60. ISO 14644-1 and ISO 14644–2, Institute of Environmental Sciences & Tech- nology (IEST). 61. Burghard, R., Dance, D., and Markle, R., Microcontamination, p. 27 (September, 1992). 62. Scharnagl, T., Presented at the 42nd Annual Meeting of the Institute of Envi- ronmental Sciences and Technology (IEST), p. 317 (1996). 63. Winter, T., Woodward, R.; Niemyski, P., and Fu, T., Presented at the 41nd 8: Particulate Contaminants, Terrell and Reinhardt 521

Annual Meeting of the Institute of Environmental Sciences and Technology (IEST), p. 74 (1995). 64. Pham, H. D., Elzingre, M., and Borden, P. G., Semiconductor International, p. 101 (April, 1995). 65. Allinger, J., Semiconductor International, 28(10):67 (September, 2005). 66. Gise, P., and Hoobler, R., Semiconductor International, 27(5):60 (May, 2004). 67. Kochevar, S., Cleanroom Technology, p. PAGE (November, 2005). 9 Surface Chemical Composition and Morphology

Yves J. Chabal Department of Material Science and Engineering, University of Texas, Dallas, TX, USA

Gregg S. Higashi Applied Materials Inc., Santa Clara, CA, USA

Robert J. Small RS Associates, Tucson, AZ, USA

9.1 Overview of Surface Composition and Morphology

The chemical state in which a surface is left subsequent to a clean is as important as the clean itself. A surface that becomes re-contaminated before the next processing step will not be useful. The best cleaning techniques are therefore the ones that can chemically passivate the semi- conductor surface during the act of cleaning. It is essential to control the surface of silicon during the critical oxidation steps and epitaxial deposition steps. Cleaning also plays a critical role for metal surfaces. Aluminum has been the conventional material for logic feature sizes of <130 nm, but the drive for lower resistivity candidates for the 90-nm node and below, for which there will be more than 1000 m of active metal lines/cm2 [1], has led to consideration of Au (2.4 µ Ωcm, bulk resistivity), Ag (1.6 µΩ cm), and Cu (1.7 µΩ cm). Though all three metals can diffuse rapidly into SiO 2 to create deep levels in the silicon band gap [2 , 3 ], only Cu has a low enough resistivity and the availability of barrier fi lms to make these metals attractive.

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 523–618 © 2008 William Andrew Inc.

523 524 Analytical and Control Aspects

The body of this chapter is thus broken up into four parts. The Si sur- faces produced by cleans that involve chemical oxidation (hydrophilic surfaces) are discussed in Section 9.2.1 and the surfaces produced by HF acid etching (hydrophobic surfaces) are discussed in Section 9.2.2. The metal surfaces that are cleaned and passivated by organic chemicals are discussed in Section 9.3. The low- k dielectrics that are cleaned and the morphology of the porous materials are discussed in Section 9.4.

9. 2 Silicon Passivated Surfaces

9. 2.1 Silicon oxide terminated surfaces

9.2.1.1 Overview of oxidized surfaces

There are two predominant ways to clean and passivate Si surfaces chemically. The fi rst is to grow a thin layer of SiO2 in the act of clean- ing. This is best accomplished using acidic or basic solutions mixed with H2 O2 and is the basis of the RCA Standard Clean developed by Kern in 1965 [4]. These clean processes leave 6– 15 Å of hydroxylated oxide on the Si surface, which prevents recontamination of the Si. Such surfaces are hydrophilic in nature and are easily wetted by aqueous solutions. The sec- ond way to clean and passivate the surface is to dissolve the surface oxide completely in HF acid. Indeed, in 1958, the early electronic measurements of Buck and McKim [5] demonstrated the high degree of passivation of HF-treated Si surfaces. These surfaces are now known to be oxide-free and passivated with H. The H-terminated surfaces are hydrophobic in nature and are not wetted by aqueous solutions. The chemical composition of the Si surface subsequent to a clean is fun- damental to its passivation. The chemically grown oxides exhibit a more complex chemical composition than the high quality stoichiometric SiO2 grown thermally. Thermal oxides have been studied extensively because of their application as gate insulators in the metal oxide semiconductor (MOS) technology (Section 9.2.1). However, as gate oxide thickness decreases (12 Å gate oxides are in production in 2005), the importance of the cleaning procedures employed is increasing, motivating in-depth studies of chemically grown oxides. The chemical oxides grown during pre-clean make up a large fraction of the total thickness and infl uence the overall performance of the dielectric. In addition, the deposition of high-k materials (k is the dielectric constant) by atomic layer deposition (ALD) can be infl uenced by the nature of the chemical oxide growth. The 9: Surface Composition and Morphology, Chabal et al. 525

importance of understanding these chemical oxides cannot be overstated. They provide the foundation of the gate oxides used today and will provide the foundation for gate oxides and high- k dielectrics of the future. Section 9.2.2 presents what is currently understood about the chemical composi- tion of these hydrophilic surfaces. The chemical composition of HF-etched Si surfaces has been the sub- ject of some confusion. It was originally believed that the hydrophobic nature of these surfaces was explained by F-termination and that the sur- faces were hydrophobic because they resembled Tefl onTM . It has since been shown that these surfaces are terminated with H and are therefore more paraffi n-Iike due to the non-polar nature of the Si– H bond. Because of this original confusion, some history of the evidence for H-termination is presented in Section 9.2.1. A chemical mechanism, which explains how the Si surfaces become H-terminated, is then described. The microstructural state of the surface has been shown to affect sub- sequent device properties [6 , 7 ]. Surface roughness can cause degrada- tion of the breakdown fi eld strengths of thin gate oxides [6 , 8 ], leading to decreased channel mobilities [6, 7]. Both hydrophilic and hydrophobic surface cleans can affect the morphology of the Si surface and will be dis- cussed separately in Section 9.2.2. Chemically grown oxides are noncrystal- line, limiting the information obtained from most techniques for structural analysis. In contrast, HF etching leads to H-termination of the bulk crystal and can be studied in greater detail. In order to understand the variety of structures produced by different HF solution chemistries, the principles and limitations of the most useful structural analysis techniques are fi rst briefl y reviewed in Section 9.2.3. Differences observed between the sur- face structures of Si 〈 100 〉 and Si 〈 111〉 wafers after HF etching are then discussed. In particular, the structural morphology on both surfaces varies with solution pH, favoring the formation of 〈 111〉 facets at high pH. The mechanism of this preferential etching is presented in Section 9.2.3. Contamination is also an important issue for any cleaning or passivation process because trace amounts of impurities can drastically infl uence sub- sequent materials properties. Contaminants can be intrinsic (e.g., H, OH, H2 O, O2 , S, Cl, and F) or extrinsic (e.g., C, Fe, Ni, Cr, and Cu) to the solu- tions used and will be treated separately. Contamination issues for oxide- covered surfaces are discussed in Section 9.2.1. The contamination and the resulting loss of passivation of H-terminated surfaces are discussed in Section 9.2.2. As early as the 1950s, Atalla, Tannenbaum, and Scheibner (1959) [9] recognized the signifi cance of oxide passivation of Si semiconductor devices. Their discovery of the unique passivation properties of thermally 526 Analytical and Control Aspects grown oxides, which led to remarkable improvements in device perfor- mance, is a cornerstone of the modern Si technology. It is noteworthy that the importance of surface chemical cleaning prior to oxidation was already being stressed. In particular, the distinction between cleans resulting in hydrophilic versus hydrophobic surfaces was noted, but not understood at that time. Although this chapter focuses on oxides grown chemically during cleaning, many analogies to the thermally grown oxides exist. Therefore, a brief discussion of the thermal oxides is useful to an overall understanding of these issues. Thermally grown oxides have been characterized extremely well because of their technological signifi cance. Entire books have been devoted to this subject [10 , 11 ], hence only a brief summary follows. Heating Si wafers in either an O2 or an H 2O environment forms thermally grown oxides. The resulting oxide is a noncrystalline, stoichiometric, low-defect density form of SiO 2 . The SiO 2 layer is largely impervious to contamination and protects the underlying Si substrate. A high degree of chemical passivation of the Si/SiO 2 interface is observed in the electronic properties of the interface. Dangling bond densities below 1 × 10 12 cm–2 are routinely achieved at the 11 –2 Si/SiO 2 interface (1 × 10 cm subsequent to H 2 annealing) with fi xed charge densities on the order of 1 × 10 11 cm –2 . The electronic perfection of this interface is the basis of the MOS technology and may be related to the atomic order of the Si/SiO2 boundary. Although the chemical perfection of the Si/SiO2 interface is well estab- lished, the molecular structure is less well understood. It is clear that there is a transition region between the bulk stoichiometric amorphous SiO2 and the crystalline Si substrate of 3 – 7 Å where suboxides are observed by X-ray photoelectron spectroscopy (XPS) [12 ]. It is less clear, however, what the exact structure and composition are for this transition region. The various models proposed have been reviewed by Ourmazd and Bevk (1988) [13 ] and fall into three classes involving: (a) an epitaxial SiO 2 intervening layer, (b) a disordered sub-stoichiometric oxide layer, and (c) an abrupt transition directly from the crystalline Si to the continuous ran- dom network of amorphous SiO 2 . Although the exact structure of the Si/ SiO2 interface is still being debated, the transmission electron micrographs (TEM) of Ourmazd and Bevk (1988) [13 ] demonstrate that atomically fl at interfaces can be obtained from atomically fl at Si 〈 100 〉 starting surfaces. Chemically grown oxides are produced by various cleaning techniques that are based on the use of acidic and/or basic H2 O2 solutions. The most widely used system is discussed in-depth in Chapters 1 and 4, the RCA Standard Clean developed by Kern and Puotinen (1970) [14 ]. It is a sequential two-step clean where wafers are immersed fi rst in a 1:1:5 solu- 9: Surface Composition and Morphology, Chabal et al. 527 tion of NH 4 OH:H2 O2 :H2 O at 80 ° C (SC-1) and then in a 1:1:5 solution of HCI:H2 O2 :H2 O at 80 ° C (SC-2). The SPM (Piranha) etch, developed ear- lier, consists of an immersion in 4:1 H2 SO4 :H2 O2 at a temperature some- what in excess of 100° C. Other cleans involve exposure to other chemicals or exposure to solutions containing dissolved ozone (O3 ). The oxides left behind after these surface treatments are similar to the thermally grown oxides in some respects but are quite different in others. The discussion of these chemically grown oxides is divided up into three parts: chemical composition (Section 9.2.1.2), structural morphology (Section 9.2.1.3), and contamination issues (Section 9.2.1.4).

9. 2.1.2 Chemical composition of oxidized surfaces The properties of chemically grown oxides produced by the various integrated circuit (IC) cleaning techniques are quite similar and have been reviewed by Deal (1987) [15]. These techniques are covered in Chapter 4 in detail; they include SPM (sulfuric acid– hydrogen peroxide mixture), SC-1 (standard clean 1), or SC-2 (standard clean 2). The oxides tend to be ∼ 10 – 15 Å thick, depending on the process temperature as well as the solution chem- istry used [16 , 17 ]. These fi lms are largely stoichiometric but, because they are so thin, exhibit properties with many of the characteristics of the inter- facial transition regions of thicker, thermally grown oxides. The large sub- oxide content characteristic of these chemically grown oxides is shown in the Si 2p core level spectra of Sugiyama et al. (1990) [18] in Figure 9.2-1. For two different chemical preparations, the spectra are dominated by the 4+ Si crystal substrate and by stoichiometric SiO 2 , Si . There is, however, a relatively strong and unambiguous Si2+ contribution corresponding to an interfacial transition region similar to that observed for the thermal oxides. In this case, the Si2+ contribution is 10 – 20% that of the Si4+ , indicating that the transition region is a large fraction of the surface layer. Besides the Si2+ , one observes varying degrees of other suboxides that depend on the exact surface treatment used [17, 18], but will not be discussed here. Dangling bond defects at the Si/SiO2 interface have been quantifi ed using minority carrier lifetime measurements to extract the surface recombination velocity and surface defect density [19 ]. Defect densities on the order of 1 × 1013 cm–2 are typical for these clean native oxides, placing these surfaces at a level 2 orders of magnitude higher than for the thermal oxides. Chemical oxidation involves species other than Si and O. All aqueous solutions, of course, are predominantly composed of H2 O and are, there- fore, sources of H 2 O, OH, and H. Thus, these chemical species must be 528 Analytical and Control Aspects

Figure 9.2-1 X-ray photoelectron spectra of the Si 2p3/2 core level associated with native oxides on Si formed by immersion in (a) HNO3 at 45–50°C for 5 minutes, and (b) 1:1:4 NH4OH:H2O2:H2O at 63–80°C for 10 minutes. A thorough rinse in Dl H2O for 10 minutes was performed in both cases before introduction into the UHV (ultrahigh vacuum) chamber. The spin orbit splitting of the Si 2p level is removed, the data smoothed and the background subtracted. The dashed lines are the result of a spectral deconvolution performed by assuming that the chemical shifts and the values of full width half maximum (FWHM) for the various components are the 4+ same as for the Si , which is associated with stoichiometric SiO2 [18 ]. Reprinted with permission from Japanese Journal of Applied Physics, Sugiyama, K., Igarashi, T., Moriki, K., Nagasawa, V., Aoyama, T., Sugino, R., Ito, T., and Hattori, T., Vol. 29 Page L2401 (1990). incorporated in the oxide layer to some extent. There is an extensive litera- ture on the infrared (IR) spectroscopy of OH and H2 O in and on the surfaces of silica glasses [20]. These OH units are found in the form of Si– O – H or H– O – H. When the silica glasses are treated at elevated temperatures (750° C) under vacuum, a narrow (50 cm –1) IR absorption band is observed at 3750 cm –1. This absorption is assigned to the O– H stretching vibration and is characteristic of isolated Si – O – H units. Without such a thermal treat- ment, the IR absorption of the O– H stretch is extremely broad (∼ 400 cm–1 ) and peaks near 3400 cm–1 , indicating a strong interaction between neigh- 9: Surface Composition and Morphology, Chabal et al. 529 boring OH and H 2O groups. This interaction commonly referred to as H bonding, is simply a weak bonding between a H atom and an O atom of neighboring molecules or molecular complexes. The exact nature of this bond is not completely understood. It is partially ionic and partially cova- lent and weakens the O – H bond, causing inhomogeneous broadening on the low frequency side of the isolated O– H stretching vibration. Hydrogen bonding is common to all aqueous solutions and is intimately related to the heat of solvation, as well as to the hydro- philic nature of oxide-covered Si surfaces. Hydrogen-bonded O – H is observed on all chemically grown oxides. An example of an IR absorption spectrum of such a surface is shown in Figure 9.2-2 , where a H-terminated Si surface was chemically oxidized using the SC-2 step of the RCA clean. The spectra presented in Figure 9.2-2 are ratios of oxidized and H-terminated Si surfaces, and therefore display a negative absorption for the Si– H stretch bands (2100 cm –1) associated with the reference surface. The H-bonded OH stretch- ing vibration peaks is at ∼ 3300 cm –1 and is ∼ 400 cm –1 wide (FWHM) with an asymmetric line shape. It is quite diffi cult to distinguish between

Figure 9.2-2 IR absorption spectra of Si wafer chemically oxidized in a 1:1:4 solution of HCI:H2O2:H2O: at 80°C for 10 minutes. The ratio of the spectra lines were compared to the corresponding spectra of the H-terminated Si by etching in a buffered HF solution [22 ]. A multiple internal refl ection geometry was used with 75 refl ections at a 45° internal angle of incidence, as shown in the inset. Reprinted with permission from Jakob, P., and Chabal, Y., J. Chem. Phys., 95:2897 (1991). Copyright 1991, American Institute of Physics. 530 Analytical and Control Aspects

Si– O – H and H2 O in these spectra without further spectral information –1 from the scissor mode of the H 2O molecule in the 1600– 1700 cm region. The intensity difference observed between the spectra taken in s- and p-polarization indicates that the OH groups must reside in or on the oxide layer. Although it is unclear from these spectra, one knows in very general terms that most of the IR signal comes from H2 O on the surface of the oxide, since gentle heating (100 ° C) decreases the OH/H2 O absorption substantially. The surface OH concentration is extremely important in determining the initial reaction rate for high- k ALD reactions requiring surface OH to promote reactions [21 ]. It is of interest to note that OH content can be varied depending on the chemi- cal treatment chosen. Ozone (O3 ) treatments are perhaps the most oxidizing and produce the lowest amount of OH on the surface. SC-1 solutions, on the other hand, produce larger quantities of OH units on the surface and within the fi lms. It appears that the OH concentration is related to the degree to which the cleaning solutions produce SiO2 . More OH-terminated groups are formed when the oxide formation is less complete and vice versa. It is also apparent that Si– H units reside on Si surfaces upon which chemical oxides have been grown [17 , 18 ] The fi rst convincing evidence came from the IR spectra of Ogawa et al. (1992) [17] shown in Figure 9.2-3, where Si– H stretching vibrations were identifi ed at ∼ 2260 cm–1 . Si – H stretches in that region of the spectrum originate from Si – H where the Si atom is back-bonded to O atoms [23 ]. This evidence clearly indi- cates that the Si – H resides within the oxide matrix. The area density is estimated to be (2 – 3) × 1013 cm –2 . XPS data from the same group suggest that these Si – H units are actually localized near the surface of the oxide. If this is indeed the case, these units may be residual Si– H bonds from the original H-terminated hydrophobic surface before the chemical oxidation. This kind of picture agrees well with the idea that oxidation proceeds via O-atom insertion between the Si – Si back-bonds of the surface and is consistent with the observations of Nagasawa et al. (1990) [24 ] on the initial stages of oxidation of hydrophobic surfaces. Also observed in the spectra of Figure 9.2-3 are Si– H stretches in the range of 2080 cm –1, which are best explained by H-atoms bonded to substrate Si (i.e., back-bonded to Si atoms rather than to O atoms). The high frequency shoulder of this band (∼ 2140 cm –1) is most likely associ- ated to Si– H stretches where some of the Si back-bonds are attached to –1 an O atom. If the mode at 2080 cm does arise from Si – H at the Si/SiO 2 interface, an interesting direction for future studies will be to determine its formation mechanism. 9: Surface Composition and Morphology, Chabal et al. 531

Figure 9.2-3 Infrared absorption spectra of six different native oxides on Si wafers: (a) “H2SO4” corresponds to a 10-min treatment in 4:1 H2SO4:H2O2 at 85–90°C, (b) “HCI”, 10 minutes in 4:1:1 H2O:H2O2:HCI at 37–65°C, (c) “NH4OH”, 10 minutes in 4:1:1 H2O:H2O2:NH4OH at 63–80°C, (d) “NH4OH + hot HNO3” in “NH4OH” followed by “hot HNO3” (e) “boil HNO3” in HNO3 at 115–125°C, and (f) “hot HNO3” 5 minutes in HNO3 at 45–60°C. The absorption (indicated by the arrow) which peaks at ∼2260 cm–1 arises from Si–H stretches where the Si is back-bonded to O (i.e. Si–H in or on the SiO2) [17 ]. Reprinted from Applied Surface Science Volume 56–58, Ogawa, H., Terada, N., Sugiyama, K., Moriki, K., Miyata, N., Aoyama, T., Sugino, R., Ito, T., and Hattori, T., “Silicon-hydrogen bonds in silicon oxide near the SiO2/Si interface,” page 836. Copyright (1992), with permission from Elsevier.

9.2.1.3 Structures and morphology of oxidized surfaces The work of Hahn and Henzler (1984) [25], Heyns et al. (1989) [8], and Ohmi et al. (1991) [6] have correlated electronic device properties with surface structural properties. While it is intuitively obvious that surface 532 Analytical and Control Aspects roughness must be detrimental to semiconductor devices at some scale, the main contribution of these workers has been to defi ne at what scale surface roughness is important to device yield and reliability. The evidence for degradation of thin gate oxide (100 Å) breakdown fi eld strengths and channel mobilities with surface roughness on a microscopic scale is now a parameter taken into account by the industry. The morphology that a surface exhibits tends to be a function of the com- plete processing history experienced by the wafer; it is therefore quite com- plicated. The initial surface polish processes, chemical cleaning processes, thermal oxidation processes, and etching processes, all infl uence the surface morphology. This section begins with a discussion of substrate wafers, includ- ing chemical mechanical polishing (CMP) and epitaxy. It then considers the effects of chemical cleaning on surface morphology. Finally, future trends in controlling oxidation and interfacial structure are briefl y discussed. Near atomic perfection is achieved in surface CMP. Commercial wafers exhibit a typical surface roughness on the order of 2 Å RMS (root mean square) and surface fi nishes produced in the laboratory have approached 1 Å RMS [26]. A scanning tunneling microscope (STM) image of such a surface is shown in Figure 9.2-4. Although STM images can characterize surface roughness on length scales covering the range from 1.0 to 1000 Å , these sur- faces are also characterized with a variety of other techniques, such as diffrac- tion methods, spanning lengths up to 1 mm with good correlation observed on all scales [26 ]. The post-CMP STM image shown in Figure 9.2-4 is taken subsequent to HF removal of surface oxidation, and the surface is hydropho- bic and H-terminated [27]. The process to produce H-terminated surfaces is not well understood and will be discussed briefl y in Section 9.2.2.

100 Å

250 187.5 125 Å 40 20 62.5 Å 0.0

Figure 9.2-4 Scanning tunneling microscope image of a polished Si 〈100〉 surface exhibiting 1.2 Å RMS roughness. The image was taken immediately following a HF dip. Courtesy of P.O. Hahn, Wacker-Chemitronic GmbH, Germany [26 ]. 9: Surface Composition and Morphology, Chabal et al. 533

Hydrogen-terminated surfaces are not as stable as oxide-terminated surfaces, and thus it is not surprising that wafer vendors ship wafers in the hydrophilic (oxide covered) state. Vendor polish and clean recipes are proprietary, but presumably the wafers receive something akin to an RCA clean before they are shipped. Another technique that provides atomically perfect surfaces uses Si molecular beam epitaxy [28], although this tech- nique has not yet been commercialized. Surfaces formed during commer- cial Si epitaxial growth by chemical vapor deposition (CVD), however, might also be made atomically perfect under the proper conditions. In 2005, as-received CZ (Czochralski) Si and epitaxial Si substrates seem to exhibit a surface roughness of ∼2 Å RMS [29 ]. The consensus is that the acidic peroxide cleans (SPM etch or SC-2) do not cause a substantial increase in the microscopic roughness of as-received wafers. The SC-1 clean (typically 1:1:5 NH4 OH:H2 O2 :H2 O at 80 ° C) on the other hand, has been found to substantially increase the surface rough- ness [30]. A comparison of the surface roughness of a control wafer and a wafer cleaned in a standard SC-1 process is shown in the STM images of Figure 9.2-5 [6 ]. Control wafers exhibit a RMS roughness of 2 Å . The SC-1 treatment more than doubles the observed roughness and repeti- tive SC-1 cycles can increase it by as much as a factor of 5, approaching 10 Å RMS. This roughness has been shown to decrease breakdown fi eld strengths by as much as 30% [31 ] and to degrade channel mobilities by factors of 2 – 3 times [32 ]. The mechanism leading to the roughening in the basic peroxide solution is not completely understood but is related to the slow but fi nite Si etch rate in the SC-1 solution ( ∼8 Å /minute at full strength at 80 ° C) [6 ]. The acidic peroxides, on the other hand, do not etch and hence do not roughen. A proposed solution to the basic peroxide roughening problem is to reduce the etch rate by reducing the concentration of NH4 OH in the SC-1 solution [30 ]. The etch rate drops to 1 Å/minute if the concentration of NH4 OH is decreased by a factor of 100 times. Figure 9.2-6 shows a plot of the measured RMS roughness as a function of NH 4 OH concentration [33 ]. One might wonder why the industry is working so hard to keep the standard SC-1 solution when it is clearly detrimental to the surfaces. The reason is simple: SC-1 is one of the most effi cient particle removal agents known. Further, the fact that the basic peroxide solution slightly etches both SiO2 and Si may be precisely why it is such an effi cient particle remover. This phenomenon is being investigated in the hopes that an opti- mum concentration can be found to minimize damage and retain particle removal effi ciency [6]. The microscopic mechanism by which the etching roughens the surface is also being investigated. It should be mentioned 534 Analytical and Control Aspects

Figure 9.2-5 Typical scanning tunneling microscope images of Si 〈100〉 surfaces taken before (a) and after (b) an RCA Standard Clean (SC-1 and SC-2). Images taken after a newly, developed buffered HF treatment (BHF) where the authors observed minimal increases in Si surface roughness due to the BHF [6 ]. Reprinted from Microcontamination Conference. Copyright 1991, Tom Cheyney, Los Angeles, CA. Used with permission.

Figure 9.2-6 Surface roughness plotted as a function of NH4OH concentration in a 10-min NH4OH/H2O2 solution treatment at 85°C [33 ]. Ohmi, T., Miyashita, M., Itano, M., Imaoka, T., and Kawanabe, I., “Dependence of thin-oxide fi lms quality on surface microroughness,” IEEE Trans. Electron Dev. Copyright (1992) IEEE. Used with permission. 9: Surface Composition and Morphology, Chabal et al. 535 that etching alone does not necessarily mean that the surface roughness will be increased. It is non-uniform etching, which is the true culprit. For example, Verhaverbeke et al. (1991) [34] have found that the Ca con- centration in SC-1 dramatically changes the degree to which the surface roughens. Similar studies are the direction of future work and are needed to understand fully the mechanism of surface roughness. The exact molecular structure of these chemically grown Si/SiO 2 interfaces is very diffi cult to deduce. Native oxide growth has been shown to occur in an extremely controlled manner [28, 35], leading to atomically ordered interfaces under the right conditions. This phenomenon appears, in fact, to be more gen- eral. In very careful XPS studies of surface O concentration as a function of time, layer-by-layer initial oxidation of Si has been observed [36 , 37 ]. Layer- by-layer oxidation, of course, requires that the previous layer fi nish before the next layer begins oxidation and leads by necessity to the conclusion that some form of order must exist at the Si/SiO2 interface.

9.2.1.4 Contamination issues associated with oxidized surfaces One of the main objectives of the development of the RCA clean was to remove organic and metal contaminants from the surface of Si wafers [4]. Although the RCA clean was developed over 25 years ago, it has func- tioned extremely well and is still the dominant clean used prior to gate oxidation. As gate oxides move into the sub-100 Å regime, there has been renewed interest in how trace metal contamination in the pre-gate clean affects gate oxide properties [38 ]. Residual trace metal contamination at the 1 × 10 10 cm –2 level is observed after RCA cleaning and is dependent on the quality of the chemicals used. Contamination levels required for device manufacturing is discussed in detail in Chapter 2. These metals can lead to surface roughening due to a couple of different mechanisms. Metals can enhance oxidation rates and therefore increase surface etching rates non-uniformly leading to surface roughening. Another mechanism is related to bubble formation that blocks surface reactions, again leading to increases in surface topography. Also, metals can get trapped in the oxide formed during the SC-1/SC-2 cleaning process and which subsequently leads to leaky junctions and to yield and reliability problems in gate oxides [38 , 39 ]. Ozone oxidation, sometimes with the addition of HCl, has been found to be an excellent way in which to grow passivating chemical oxides and avoids metal contamination from the RCA chemicals. Ozonated H 2 O can be produced in extremely high purity and have been shown to result in high quality gate dielectrics. 536 Analytical and Control Aspects

Another common contaminant on these oxide-covered surfaces is car- bon. It is most likely incorporated in or on these surfaces in the form of hydrocarbons and can come from the chemicals, H2 O used to rinse the wafers, or from the air in the laboratory environment. Trace hydrocarbons have not proven to be detrimental to gate oxides. A predominant sentiment in the industry is that the hydrocarbons get burnt off in the O-rich high- temperature environment of the oxidation furnace. If handled improperly, however, SiC precipitates can cause weak spots in the oxides grown [40 ]. Hydrocarbon contamination is much more of a concern for surface preparation prior to epitaxial growth of Si. In this case, surfaces that are completely free of contamination are needed to grow defect-free Si and C-contamination is of critical concern. The technique of desorbing the oxide at elevated temperature prior to epitaxy was fi rst discussed by Henderson (1972) [41 ] in which the results showed that atomically clean surfaces with only a small amount of C residue could be obtained after the RCA Standard Clean. Ishizaka, Nakagawa and Shiraki (1982) [42 ] reduced the level of C entrained in the oxide by repetitively immersing the wafers in boiling HNO3 acid followed by HF, ending with a concentrated SC-2 type of clean (4:1:1 HCI:H2 O2 :H2 O at 90 – 100 ° C). Another effi cient tech- nique to remove hydrocarbons is exposure to UV/O3 (ultraviolet/ozone) [43 ] and is discussed in detail in Chapter 5. Some contaminants, such as S and CI, can originate directly from the solution used during the chemical oxidation. Sulfur and Cl have been observed from the SPM and SC-2 cleaning solutions, respectively (see Chapters 2 and 4). Fluorine, on the other hand, has been observed when the chemical oxidation is preceded by a HF treatment. In this case, F is found to segregate at the Si/SiO 2 interface [44 ].

9.2.2 Hydrogen-terminated siliconsurfaces 9.2.2.1 Chemical composition of HF-treated surfaces The unique properties of Si surfaces treated in HF solutions were rec- ognized over 40 years ago [55, 99], but these hydrophobic surfaces have only begun to be understood less than two decades ago. It is now clear that H- and not F-termination of the dangling bonds on Si surfaces explained the hydrophobicity, the high resistance to chemical attack, and the low sur- face recombination velocity. Fluorine can also be found on these surfaces, but only in small quantities and should be thought of as a minor contami- nant rather than a major constituent of the surface. The initial confusion and controversy about H- versus F-termination was quite understandable. 9: Surface Composition and Morphology, Chabal et al. 537

Most conventional surface spectroscopic methods (AES- Auger electron spectroscopy, XPS, etc.) rely on core electrons and cannot measure H, since it has no core. They are instead sensitive to species such as F, which was detected to some degree. Vibration spectroscopies (EELS- Electron Energy Loss Spectroscopy, IR, etc.), on the other hand, are extremely sensitive to H-containing surface species but were not widespread enough in the community dealing with wet chemistry 20 years ago. This initial controversy is such a good example of how processing issues can be addressed by the surface science community that it is worth to give a brief historical background. Chapter 10 reviews various analytical methods for detecting contamination. Although several early reports of H-termination subsequent to HF etch- ing of Si surfaces emerged in the time period between 1965 and 1975 [45, 46] it was not until 1984, that Ubara, Imura, and Hiraki (1984) [47] clearly showed that the removal of SiO2 in HF solutions results in the formation of SiH on the etched Si surface. Their results obtained on hydrogenated microcrystalline Si [48 , 49 ], are summarized in Figure 9.2-7 . The as-grown samples (a) are thermally oxidized at low temperature (b), then HF- solution etched (c), reoxidized at much higher temperature (d), and fi nally HF-solution etched (e). The main result is best summarized in curves (d) and (e). After the high-temperature thermal oxidation in air at 600 ° C, all traces of H have disappeared, shown in curve (d), as evidenced by the –1 absence of the SiHx bands centered at 2100 cm . This treatment clearly –1 produced strong Si– O and Si– O 2 bands centered at 1100 cm , confi rming the formation of an oxide layer. Subsequent dipping in HF solution eliminated all the bands associated with Si – O and gave a spectrum with prominent –1 –1 Si– H bands at 2100 cm (SiH x stretch), 900 cm (SiH 2 scissor), and 650 –1 cm (SiH x bend), see curve (e). These data clearly show the removal of SiO2 in HF with subsequent termination of the surface by H. Ubara et al. (1984) [47] postulated a mechanism to account for the H-termination, which was later confi rmed by ab-initio calculations [50 ] and will be dis- cussed in detail later in this section. Despite these early works, which were clearly pointing to hydride forma- tion upon HF solution etching, it was still widely believed that F was in fact the passivating agent. Raider et al. (1975) [51 ] attributed the hydrophobic nature of HF-etched surfaces to the presence of Si – F bonds or adsorbed HF. Licciardello et al. (1986) [52], indicated that the presence of an organic (hydrophobic) over-layer and not the Si– F surface per se was responsible for the hydrophobicity, although they still assumed that this over-layer was deposited on top of the F-terminated Si surfaces. In addition, Weinberger et al. (1985) [53] explained the electronic passivation of HF-treated Si wafers as 538 Analytical and Control Aspects

Figure 9.2-7 Transmission IR absorption spectra of hydrogenated microcrystalline silicon (µc-Si:H): (a) as grown using radio-frequency reactive sputtering in H2 at 250°C, (b) after thermal oxidation in air at 200°C for 5 hours, (c) after subsequent HF etching of the previously oxidized surface; (d) after a thermal oxidation at 600°C for 1 hour, and (e) subsequent HF etching of this previously thermally oxidized surface [47]. Reprinted from Solid State Communications Volume 50, Ubara, H., Imura, T., and Hiraki, A., "Formation of Si–H bonds on the surface of microcrystalline silicon covered with SiOx by HF treatment," page 637. Copyright (1984), with permission from Elsevier.

being due to F-termination of the Si dangling bonds. They further argued that the strength of the Si– F bond would make it an extremely stable sur- face, a point discussed further in this section. Indeed, indirect support for F- passivation was drawn from the observation that F is a stable adsorbate on the surfaces of Si prepared in UHV [54]. Furthermore, F was directly iden- tifi ed by XPS on HF-treated Si surfaces [55], suggesting that a monolayer (ML) of F is present on the surface. Early work using radioactive 18 F had shown that F ions were indeed adsorbed on the surface.[56 ] The year 1986 was perhaps the turning point in the H- versus F-termination debate. Yablonovitch et al. (1986) [57] observed that the F concentration, as measured by XPS, was highly variable and could not be the correct explanation for the remarkable surface passivation achieved subsequent to HF etching. Using IR spectroscopy, they showed that a ML of H was 9: Surface Composition and Morphology, Chabal et al. 539

Figure 9.2-8 (a) Typical X-ray photoelectron spectra of the Si 2p core level obtained before (lower spectrum) and after (upper spectrum) a spin-etch in N2 by using HF in ethanol. (b) Expansion and overlay of the data shown in (a) to emphasize the region between the peaks that correspond to the Si substrate and to the SiO2. The dashed line represents a least-squares fi t to these two major components. The cross-hatched region corresponds to Si suboxide species [59]. Reprinted from Thin Solid Films Volume 183, Grunthaner, P. J.; Grunthaner, F. J.; Fathauer, R. W.; Lin, T. L.; Hecht, M. H.; Bell, L. D.; Kaiser, W. J., "Hydrogen- terminated silicon substrates for low-temperature molecular beam epitaxy," page 197. Copyright (1989), with permission from Elsevier.

adsorbed on the surface of HF-etched Si, with a spectrum characteristic of clean Si – H bonds (i.e., without O or F as a nearest neighbor). Another clear demonstration of the variability of the F concentration on the surface came from the XPS data of Grunthaner and Grunthaner (1986) [58 ] show- ing no detectable O, F, N, or S on HF/ethanol spin-etched Si samples. Figure 9.2-8 , for instance, shows the Si 2p core level spectra measured after different chemical treatments. The spin etched samples are character- ized by an unshifted Si 2p core level, making it possible to set an upper limit of 0.1% of a ML of Si directly bonded to electronegative elements, such as F or O, for the spin-etched samples [59 ]. Independently, Grundner and Jacob (1986) [60] published the results of detailed EELS and XPS studies of oxidized and HF-etched Si surfaces. The EELS data, shown in Figure 9.2-9, consistently showed strong H vibrations at 2100, 900 and 540 Analytical and Control Aspects

Figure 9.2-9 Electron energy loss spectra of Si 〈100〉 and Si 〈111〉 surfaces after 1 minute immersion in 40 wt% HF solution (no rinsing) and subsequent introduction into UHV. The assignment of the main observed losses is summarized schematically above the spectra [61]. Reprinted with permission from Grundner, M., and Schulz, R. AIP Conf. Proc. No 167 (Rubloff, G. W., and Lucovskys, G., eds.), 167:329 (1988). Copyright 1988, American Institute of Physics.

650 cm–1 , although hydrocarbons (2800 cm –1 ) and OH (3400 cm–1 ) vibra- tions also contribute to the spectra [61]. The intensity of the F 1s line mea- sured in XPS data (6161) [62] indicated that the F concentration, after a DI (deionized) H2 O rinse, was always less than 1– 2% ML. This work clearly confi rms the formation of silicon hydrides upon HF etching and suggested that F was a contaminant that could be removed by rinsing in H2 O. Both the surface-recombination velocity measurements of Yablonovitch et al. (1986) [57 ] and the extensive XPS and EELS studies of Grundner et al. [60, 61] motivated a number of photoelectron emission and IR absorption studies [63, 64]. Takahagi et al. (1988) [63] used XPS, ultraviolet photo- emission spectroscopy (UPS) and IR absorption to quantify the chemical species on the Si surface after a combination of UV/O3 cleaning and HF dipping. Using dilute HF solutions, they achieved low levels of contami- nation (totaling less than 5% of a ML of O, C, and F) and detected both 〈 〉 Si – H and Si – H 2 species on the Si 100 surface. A typical XPS survey scan is shown in Figure 9.2-10, which emphasizes the chemical purity of HF cleaned surfaces. Burrows et al. (1988) [65 ] and Chabal et al. (1989) [66 ] investigated the Si – H stretch vibrations (2000 – 2200 cm –1 region) to quantify the surface 9: Surface Composition and Morphology, Chabal et al. 541

Figure 9.2-10 XPS survey scan spectra of Si wafer surfaces (a) before UV/O3 cleaning (as purchased with 7-Å thick native oxide and a 2-Å thick organic contamination), (b) after UV/O3 cleaning with a low-pressure Hg lamp (184.9 and 253.7 nm emissions) in an O2 atmosphere, and (c) after subsequent HF dipping of the UV-cleaned sample in a 1 wt% HF solution [63]. Reprinted with permission from Takahagi, T., Nagai, I., Jshitani, A., Kuroda, H., and Nagasawa, Y., J. Appl. Phys., 64:3516 (1988). Copyright 1988, American Institute of Physics.

morphology of Si etched in dilute HF (no rinsing) and kept in a N 2 purged environment. The spectra for both Si 〈 100 〉 and Si 〈 101 〉 surfaces displayed a variety of hydrides (mono-, coupled mono-, di- and tri-hydrides) con- sistent with atomically rough surfaces covered with roughly one ML of H, discussed in detail in pages 535–538. Although no detectable absorp- –1 tion bands were observed in the O– H stretch (3600 cm ) and CH x stretch (2800 cm –1) region, the sensitivity of these IR absorption measurements only placed an upper limit of 10% of a ML for these species. Fenner et al. (1989) [64] applied much more sensitive techniques (XPS and AES) for the detection of C, O, F, and N to samples prepared by vari- ous wet-chemical techniques, cleaving in UHV, and ion sputtering. They found that, among the various wet-chemical techniques, spin-etched 542 Analytical and Control Aspects

samples with HF-alcohol mixtures exhibited the lowest contamination lev- els (0.03 ML-C, 0.005 ML-O, and F), close to levels found on cleaved Si in UHV. By comparison, samples dipped in HF solutions or sputtered and annealed showed a 10-fold increase in surface residue. Subsequently, Dumas and Chabal [67 , 68 ] used EELS to characterize Si surfaces etched in buffered HF solutions. For samples rinsed in DI H2 O after the etching, they found that the concentrations of impurities, such as Si – F, Si– C, Si – O, Si – OH and Si – CH x, were less than 1% of a ML (see Figure 9.2-11). All losses in the EEL spectra could be assigned to H or Si

Figure 9.2-11 Electron energy loss spectra of (a) Si 〈111〉, and (b) Si 〈100〉 after etching in an ammonium fl uoride solution (NH4F–H2O, pH = 7.8) and a brief (∼10 seconds) rinsing in Dl H2O. The ×200 factor corresponds to the magnifi cation of the spectrum relative to the elastic peak [68]. Reprinted with permission from Dumas, P., and Chabal, Y. J., J. Vac. Sci. Technol., A10:2160 (1992). Copyright 1992, American Institute of Physics. 9: Surface Composition and Morphology, Chabal et al. 543 vibrations [67]. This is in contrast to the early EELS data [61], as shown in Figure 9.2-9 , where relatively intense losses around 800 – 1100 cm–1 (oxide) and around 2900 cm–1 (hydrocarbons) were apparent. Possible reasons for the discrepancy are (a) variations in the purity of the chemicals used, (b) handling and rinsing procedures, and (c) wafer introduction and evacuation procedures used for these UHV studies. The main conclusion of the above studies is that H acts as the passi- vating agent and is the direct result of HF etching. The concentration of contaminants, such as C, O, and F, depends on the details of processing, in particular, the rinsing procedure after the last etching step directly affects the concentrations of F and O.

9.2.2.2 Mechanism of hydrogen termination Part of the confusion concerning F termination of the Si following HF etching has arisen not only because of the stability of the Si – F bonds, but because the accepted explanation of the mechanism for SiO2 dissolution leads automatically to F-terminated Si. The dissolution of SiO2 by HF can be depicted in its simplest form in the following reaction:

→ S i O 2 + 4 H F S i F 4 + 2 H 2 O Eq. (9.2-1)

Notice that the above reaction involves HF molecules and not F – ions in the solution. HF is a weak acid having an equilibrium constant such that it does not dissociate readily in concentrated solutions [69 ]. In addition, Judge (1971) [69] clearly showed even if F– ions are available, they give an etching rate, which is negligible compared to HF and HF 2– species. Thus, only HF in its associated form need be considered in the dissolution mech- anism. HF molecules attack Si– C bonds by inserting themselves between the Si and C atoms. This reaction is depicted schematically in Figure 9.2- 12a as if it were the last Si– O bond to be broken before reaching the Si substrate. This insertion occurs with a low activation barrier because the reaction is highly exothermic and conserves the number of broken and reformed bonds. The reaction is also greatly facilitated by the highly polar nature of the Si – O bond, which the highly polar HF molecule can use to its advantage during attack. The Coulomb attraction naturally leads to hav- ing the positively charged H atom associated with the negatively charged O atom, and the negatively charged F atom associated with the positively charged Si atom of the Si– O bond. This liberates H 2O into the solution and leaves Si– F in its place on the surface (Figure 9.2-12b). The Si– F bond is the strongest single bond known in chemistry with a bond energy of ∼6 eV. 544 Analytical and Control Aspects

H+ H+ O- F- F- F- F- a) + b)+ c) + + d) Si Si H Si H Si Si Si Si

Figure 9.2-12 Schematic representation of Si etching and H passivation by HF. Used with permission from the authors.

The bond strength of the Si – H is only ∼ 3.5 eV and based on these ther- modynamic considerations, the F-terminated surface must be more stable than the H-terminated surface. Ubara et al. [47] were the fi rst to propose a reaction mechanism to get around this dilemma. They recognized that the Si – F bond must be highly polar because of the large electronegativity difference between these atoms. They suggested that the Si – F bond causes bond polarization of the Si– Si back-bond allowing HF attack of the back-bond, as illustrated in Figure 9.2-12c . This kinetically favorable pathway results in the release of stable SiF x species into the solution leaving Si– H behind on the surface, shown in Figure 9.2-12d . The validity of this proposed pathway was confi rmed using fi rst principles molecular orbital calculations of the acti- vation energies of these types of reactions on model compounds by Trucks et al. (1990) [50 ]. In these calculations, an activation energy of ∼1.0 eV was found for reactions shown in Figure 9.2-12c . Low activation energies such as these are due to the charge transfer between the Si and F atoms, as originally suggested by Ubara et al. [48 ]. In the absence of charge transfer, as is the case for the nonpolar Si – H bonds, the activation energy of the Si– Si back-bond attack is 1.6 eV, which is 0.6 eV higher in energy than for that of fl uorinated Si species. The impact of the Coulomb interaction could also be observed by inverting the HF molecule, making the attack occur in opposition to the Coulomb force. In that case, an activation energy of 1.4 eV is obtained. In summary, HF attacks polar species very effectively but is much less effective against nonpolar species. Also, the reactant must attack the bonds in a specifi c orientation to take advantage of the Coulomb interaction between the positively and negatively charged atoms. These 9: Surface Composition and Morphology, Chabal et al. 545 concepts allow us to understand why it is H and not F that terminates the Si dangling bonds after HF solution etching, and why HF dissolves oxide so readily but leaves the Si relatively untouched. The preceding arguments give us a basic understanding of HF etching. In reality, however, the situation is much more complex, with HF, HF 2– , – – – F , H3 O , OH , and NH 4F species together in the solution, in chemical equilibrium with one another, not to mention the steric constraints at the surface or the effects of solvation on the reactions. A complete understand- ing of the detailed chemistry is not available at this time, but certain con- jectures can be made with a reasonable degree of confi dence. The calcula- tions mentioned above were performed for molecules in free space and thus can accurately describe only gas phase reactions. We know, however, that H 2O vapor is needed to initiate SiO 2 etching reactions with anhydrous HF [70 ]. It can be argued that the main effect of placing the polar HF mol- ecule into H 2 O is to surround it, on the average, with H2 O molecules in the proper orientation to minimize the Coulomb energy. This, in turn, weakens the H – F bond, facilitating all HF reactions that must break the HF bond. Therefore, the postulate is that solvation simply lowers the activation bar- riers that exist for the gas phase reactions described above. Reaction rate data are not available for the Si– Si back-bond attack, but HF dissolution of SiO 2 has an activation energy of approximately 0.35 eV [69 ], to be com- pared with the 0.55 eV calculated for the gas phase reaction [50]. The heat of solvation to place an HF molecule into solution is ∼0.4 eV and is con- sistent with the observed 0.2 eV lowering of the energy barrier. This point of view also allows one to rationalize the HF and HF2– etching behavior observed by Judge (1971) [69]. HF 2– can be thought of as a more highly solvated form of HF with a weaker bond strength that explains the lower activation energy for SiO 2 dissolution (0.31 eV) as well as the increased rate of dissolution (factor of 4– 5). The role of steric hindrance at the sur- face is also important. In general terms, confi dence should be placed on the chemical trends discussed above while remaining skeptical of the exact activation energies, since modifi cations due to steric constraints or solva- tion can be expected. One last point needs to be clarifi ed regarding HF solution chemistry. It is now clear that the OH – concentration has a drastic effect on the etching that occurs with HF solutions [71 ]. Experiments show that H2 O rinsing alone can remove dihydride species at steps [71, 72] and leads to monohy- dride termination on Si 〈 111 〉 surfaces [72 ]. In this regard, it was noticed early on that samples etched in HF remained hydrophobic even after boiling in H2 O for extended periods of time [99 ]. It is equally curious that CMP Si wafers (polished in slurries with pH ∼ 13) are hydrophobic and are 546 Analytical and Control Aspects terminated with H [27]. These observations taken together suggest that Si surface reactions with OH– can also lead to hydrophobic H-terminated Si surfaces once the surface oxide is removed. This leads one to the specu- lation that HF and OH– chemistry may be similarly removing Si atoms bonded to electronegative elements by back-bond attack of the polarized Si – Si bond. It is also interesting to note that HF and OH – in solution may have similarities in their reaction pathways at the surface.

9.2.2.3 Structure and morphology of hydrogen terminated surfaces This section starts with the effects of aqueous HF (concentrated and dilute HF solutions) on the surface morphology of Si 〈 100〉 and Si 〈 111〉 , then, separately examined, is the etching of Si 〈 100 〉 and Si 〈 111〉 i n buffered HF solutions. Throughout these sections, the nature of the starting Si/SiO2 interfaces and the role of H 2 O (e.g., rinsing) in the etch- ing process are also considered since they are relevant to the fi nal sur- face structure.

Si á 100ñ and Si á 111ñ etched in aqueous HF solutions

The fi rst information available on the morphology of H-terminated Si 〈 100〉 and Si 〈 111〉 was the study by Hahn and Henzler (1984) [25 ]. Their goal was to use low-energy electron diffraction (LEED) for investigating the Si/SiO2 interface morphology as a function of oxidation conditions and polishing methods. As with most surface analysis techniques, LEED requires SiO2 fi lm removal prior to the measurement. This was achieved by dissolving the oxide layer in concentrated HF followed by rapid load- ing into the analysis chamber. In this manner, the densities of step-atoms could be studied as a function of oxidation conditions. An example of how the analyses were performed is found in reference [25], where LEED spot-profi le-analysis was used to study the atomic structure of CMP Si 〈 1 1 1 〉 wafers. The original data, shown in Figure 9.2-13 , demonstrated that CMP Si 〈 1 1 1 〉 etched in concentrated HF is, on the average, step-free over 100-Å distances. In such an experiment, the electron wavelength is varied by varying the electron energy, leading to in- and out-of-phase scattering conditions from one atomic layer to another. The lack of broadening as a function of the electron wavelength shown in Figure 9.2-13 indicates that the width is instrumentally limited and gives a lower limit for the average length of the terrace of 100 Å. 9: Surface Composition and Morphology, Chabal et al. 547

Figure 9.2-13 LEED spot profi les of Si 〈111〉 polished wafer after HF treatment [73]. Used with permission from Material Research Society. Reprinted from Hahn, P. O., Mat. Res. Soc. Symp. Proc., Vol. 54, Pittsburg, PA, (1986) page 645.

Next, Grundner and Schulz [61] used the vibrational frequency infor- mation from EELS on HF-treated Si 〈 1 1 1 〉 and Si 〈 100〉 to investigate the nature of the H-termination. They concluded that Si 〈 100〉 was dihydride terminated and Si 〈 111 〉 was monohydride terminated because the dihy- –1 dride species has a loss of a peak at 900 cm , corresponding to the SiH 2 scissor mode. The EEL spectrum shown in Figure 9.2-9 (top) for Si 〈 1 0 0 〉 is characterized by a strong loss peak at 900 cm–1 and compares well to spectra obtained in UHV upon atomic H dosing of clean Si 〈 100〉 . This fi nding and the observation of a 1 × 1 LEED pattern [26] led the authors to the conclusion that a uniform dihydride phase had been obtained. For HF-etched Si surfaces, the strong Si– H stretch loss at 2080 cm–1 in 548 Analytical and Control Aspects

Figure 9.2-9 (bottom), together with the high quality 1 × 1 LEED pattern [26 ], led them to conclude that the surface was ideally monohydride- terminated. A weak loss at 900 cm–1 was attributed to dihydride at steps. High-resolution IR refl ection absorption spectroscopy is a powerful technique that provides detailed information not available from EELS. Polarized spectra, taken by multiple internal refl ection (MIR), are particu- larly useful to elucidate the surface structure of H-terminated Si. Contrary

Figure 9.2-14 Polarized IR absorption spectra of Si–H stretch vibrations for various isotopic concentrations after etching of a Si 〈111〉 sample in dilute HF/DF. The spectra (a) corresponds to 10% H and 90% D, (a′) to 25% H and 75% D and (b) to 100% H. The observed isolated frequencies are M = 2077 cm–1, D = 2111 cm–1 and T = 2137 cm–1. The thick vertical bars represent the calculated coupled mode splittings from the measured isolated frequencies (labeled M, D, and T) [65]. Reprinted with permission from Burrows, V. A., Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Christman, S. B., Appl. Phys. Lett., 53:998 (1988). Copyright 1988, American Institute of Physics. 9: Surface Composition and Morphology, Chabal et al. 549 to the conclusions drawn from EELS, the IR studies indicate that both Si 〈100 〉 and Si 〈 111 〉 surfaces are atomically rough after similar etching treatments. The roughness is evident from the complexity of the polarized IR absorp- tion spectra shown in Figure 9.2-14 for Si 〈 111〉, for instance. An ideally terminated Si 〈 111〉 surface would be characterized by a single monohy- dride stretch mode polarized normal to the surface (see Figure 9.2-15) and is clearly not observed in Figure 9.2-14. The spectra of Si 〈 100〉 surfaces (not shown) also show that the HF-etched surfaces are much more com- plex than atomically fl at, H-terminated surfaces. Although these surfaces are not ideally terminated, structural information can still be extracted by providing complete assignments of the observed bands. The mode assignments of the various hydride species can be performed by using isotopic substitution experiments combined with force constant normal mode analyses on model compounds [66]. The Si– H stretch spectra in Figure 9.2-14 (a and a ′ ) are simpler because the major species is deu- terium, i.e., the H atoms are isolated from each other. The three main bands in the isolated spectra are assigned to the monohydrides (coupled M or not coupled M ′ ), to dihydrides (O) and trihydrides (T). The corre- sponding spectra for the Si– D stretch, not shown, confi rm these assign- ments. The solid vertical bars in Figure 9.2-14 correspond to the theoret- ical predictions for the splittings associated with each hydride structure, starting from the measured value of the isolated frequency [65, 66]. With these mode assignments the structure of these H-terminated surfaces can be obtained. For Si 〈 111 〉 , the spectra in Figure 9.2-14a are dominated by mono- hydride stretches, in agreement with the EELS data. In this case, part of the monohydride spectrum (M ′ ) is found to be polarized normal to the surface and can be associated with ideal termination (monohydride on a Si 〈 111〉 terrace [74 , 75 ]. Having identifi ed the M′ mode, the relative intensities of M, O, and T modes can be used to deduce the average sur- face structure, schematically represented in Figure 9.2-15 . In this fi gure, M terminates the side of a structure and T terminates the 〈111 〉 terraces. There are two types of uncoupled monohydrides (M′ ) and the ideal mono- hydride, (M″ ) and two types of dihydrides (O and O′ ). M ″ has not been measured separately, either because its frequency is too close to that of the M′ and/or because its concentration is too low to be detectable. These data do show that only a quarter of the surface is covered with M′. Step edge monohydrides (coupled monohydride, M) comprises another quarter of the surface. Together, they make up approximately half of the surface hydrides leading to the strong monohydride EELS signature. Although, 550 Analytical and Control Aspects

Figure 9.2-15 Schematic representation of possible surface structures on the Si 〈111〉 surface with their associated H termination. The ideal monohydride and trihydride termination are possible for an atomically fl at 〈111〉 plane. The “horizontal” dihydride (D) terminates the corner of a small adstructure where an isolated monohydride (M″) may exist. Both the “vertical” dihydride (D′) and coupled monohydride (M) can terminate larger structures of the type shown here. These are all the possible structures that do not involve surface reconstruction [65]. Reprinted with permission from Burrows, V. A., Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Christman, S. B., Appl. Phys. Lett., 53:998 (1988). Copyright 1988, American Institute of Physics.

there appears to be an apparent contradiction to the EELS results, where no dihydrides were observed, EELS suffers from problems with inter- pretation depending on whether the scattering mechanism is believed to be dipolar [76, 77] or non-dipolar [78]. The IR spectra give quantitative information of the dihydride stretch. The main conclusion of the results presented above is that etching in dilute HF leads to atomically rough surfaces. Mono-, di-, and trihydrides coexist on both Si 〈 100〉 and Si 〈 111〉 surfaces. STM images of Si 〈111 〉 [71 ] show structures of 10– 20 Å diameter and 3 Å in height, accounting for about 50% of the surface, consistent with the IR data.

Si · 100Ò etched in buffered HF solutions

Buffered HF (BHF) is composed of various mixtures of 50 wt% HF in H2 O and 40 wt% NH 4F in H 2O. A common mixture used in the industry is 7:1 buffered HF, which has a pH = 4.5 and is composed of 7 vol of NH4 F and 1 vol of HF. The main difference between aqueous HF and buffered HF is the solution pH, which is the object of the following discussion. 9: Surface Composition and Morphology, Chabal et al. 551

Figure 9.2-16 Polarized IR absorption spectra of Si 〈100〉 surfaces etched in: (a) dilute HF (1 wt%, pH = 2) and (b) buffered HF (pH = 5). The chemically oxidized surface is used as a reference and the spectral resolution is 1 cm–1 [78]. Reprinted from Surface Science Volume 269-270, Dumas, P., Chabal, Y. J., and Jakob, P., "Morphology of hydrogen-terminated Si(111) and Si(100) surfaces upon etching in HF and buffered-HF solutions," page 867. Copyright (1992), with permission from Elsevier.

Raising the pH of the HF solution increases the etch rate of the H- terminated Si surfaces. Figures 9.2-16 and 9.2-17 clearly show that the morphology of chemically prepared Si 〈 100〉 surfaces changes as the pH of the etching solution varies from 2 to 8. For a pH = 2 (Figure 9.2-16a), the IR absorption spectra are dominated by dihydrides. In buffered HF (pH ∼5), the spectrum sharpens and is dominated by coupled monohydrides (Figure 9.2-16b). For higher pH values, the etching proceeds quickly, as evidenced by the gas bubbles forming at the sample surface. After etching in an NH4 F solution (pH = 7.8) the dihydride contribution is again domi- nant ( Figure 9.2.17a ). The dihydride dominated spectra shown in Figure 9.2-16a (pH = 2) are the result of a treatment in dilute HF, and represent a surface which is rough on an atomic scale, as previously discussed. The dominance of the monohydride modes in Figure 9.2-16b suggests the formation of microfac- ets on the Si 〈100 〉 surface [71, 78]. At high pH (Figure 9.2-17a), although 552 Analytical and Control Aspects

Figure 9.2-17 Polarized IR absorption spectra of Si 〈100〉 surface etched in a

40 wt% NH4F solution (pH = 7.8) once (a) and after repeated cycles of etching in a 40 wt% NH4F solution, chemical reoxidation in H2O:H2O2:HCI (4:1:1) at 80°C, and a fi nal etching in NH4F (b) [78]. Reprinted from Surface Science Volume 269- 270, Dumas, P., Chabal, Y. J., and Jakob, P., "Morphology of hydrogen-terminated Si(111) and Si(100) surfaces upon etching in HF and buffered-HF solutions," page 867. Copyright (1992), with permission from Elsevier. the spectra revert back to being dominated by the dihydride stretch, the polarization of this mode is quite different from the pH = 2 spectra. In this case, the symmetric stretch (2105 cm–1 ) is polarized normal to the surface and the anti-symmetric stretch (2112 cm–1 ) is polarized parallel to the surface. Although, these polarizations would be correct for terrace dihydride, for the reasons given above, these surfaces are not believed to be atomically fl at because of the existence of spectral contributions from mono- and trihydride that are still very strong. Furthermore, the monohy- dride spectrum is now centered at ∼ 2085 cm–1 , indicating the growth of 〈 111〉 facets. After several etching cycles, Figure 9.2-17b shows that two sharper modes are resolved at 2084 and 2088 cm–1 . The fi rst is assigned to the Si– H stretch of the ideal Si 〈 111 〉 monohydride, confi rming that 〈 111 〉 facets develop in solutions of high pH. The second is probably associ- ated with the symmetric stretch of coupled monohydrides; the asym- metric stretch mode is more highly screened and therefore not observed. 9: Surface Composition and Morphology, Chabal et al. 553

Both types of monohydrides have symmetric stretches pointing away from the normal of the macroscopic surface plane and are therefore unscreened. In contrast, the dihydride modes in Figure 9.2-17 are char- acteristic of dihydrides with their axis pointing along the surface normal. The simplest atomic arrangement consistent with these observations is a distribution of tent-like structures with a row of dihydrides at the roof- top, 〈 111 〉 facets terminated with ideal monohydride on the sides and coupled monohydride at the periphery of the facets. Since the facets are small, the concentration of coupled monohydrides is as high as that of ideal monohydrides. The use of buffered HF may be ill advised in attempting to prepare atomically fl at 〈 100 〉 surfaces, since 〈 111 〉 facets develop upon etching. Increased surface roughness has been directly observed after buffered HF etching using atomic force microscopy (AFM) [29 , 33 ]. As shown in Figure 9.2-18, a control wafer is relatively smooth with ∼ 2 Å RMS rough- ness, whereas a wafer treated in buffered HF is characterized by ∼ 5 Å

(a)

(b)

Figure 9.2-18 Atomic force microscope images of (a) a CMP Si 〈100〉 control wafer (∼2 Å RMS) and (b) an Si 〈100〉 wafer etched in 7:1 buffered HF solution for 10 minutes (∼5 Å RMS). Used with permission from J. Sapjeta, unpublished. 554 Analytical and Control Aspects

RMS roughness. In attempting to smooth Si 〈 100〉 surfaces, one might use thermal oxidation that is known to result in high-quality Si/SiO2 interfaces.

In such an experiment, a 1000- Å thick dry O 2 oxide was grown at 1000° C and post-annealed in Ar at this temperature for 30 minutes. The oxide was then removed with concentrated HF. The complex spectra obtained give conclusive evidence that atomically inhomogeneous surfaces again result. In summary, Si 〈 100 〉 surfaces are microscopically rough when treated in either dilute or concentrated HF. These surfaces are macroscopically roughened by buffered HF solutions due to 〈 111 〉 facet formation. To date, little is known about the nature of such surfaces. The potential impact on the quality of subsequent interfaces formed after further processing will motivate future work in this area.

Si · 111Ò etched in buffered HF solutions

For the Si 〈 111 〉 surfaces, increasing the pH of the solution leads to a preferential etching of the H-terminated surfaces, making it possible to fl atten the surface on an atomic scale [71]. For instance, Figure 9.2-19 shows the difference between a Si 〈 111〉 surface etched in dilute HF and ∼ buffered (pH 8) HF solutions (i.e. 40 wt% NH4 F). Whereas the dilute HF etched surface is atomically rough (Figure 9.2-19a) with all forms of hydrides, the surface etched in a 40 wt% NH4 F solution is characterized

Figure 9.2-19 P-polarized IR absorption spectra of Si 〈111〉 after (a) etching in dilute HF (pH = 2), and (b) a 40 wt% NH4F solution (pH = 7.8) [68, 78, 80]. Reprinted with permission from Watanabe, Dumas, P., and Chabal, Y. J., J. Vac. Sci. Technol., A10:2160 (1992). Copyright 1992, American Institute of Physics. 9: Surface Composition and Morphology, Chabal et al. 555 by a single sharp absorption line at 2083.7 cm–1 , polarized perpendicular to the surface ( Figure 9.2-19b ). The obvious implication is that atomically fl at surfaces have been obtained with ideal monohydride termination. In the fi rst report [71 ] it was noted that the measured linewidth, ∆ ν of ∼0.9 cm–1 , was the narrowest line ever measured for a chemisorbed atom or molecule on a surface at room temperature. Part of the width, however, could still be due to thermal broadening. A method was therefore devised to introduce the wafer samples into UHV, making it possible to cool them so that the inhomogeneous linewidth could be measured. The data con- fi rmed that most of the linewidth measured at room temperature was ther- mally induced, due to an harmonic coupling of the Si – H stretch mode to surface Si phonons [75 ]. At present, the best samples are characterized by an extremely small (0.05 cm–1 ) inhomogeneous broadening [74 , 79 ]. This result indicates a high degree of homogeneity and has motivated thorough characterization by most of the techniques described in Section 9.2.3. The LEED patterns obtained after careful introduction into UHV show a 1 × 1 pattern with resolution limited integral order spots and a background below the detection limit of conventional LEED systems (Figure 9.2-20). This unreconstructed and ideally H-terminated surface is often referred to as the H/Si 〈111 〉 (1 × 1). STM images [81 , 82 ], such as the one shown in Figure 9.2-21 , have con- fi rmed that the surface is nearly contamination free (>1 ML), atomically fl at, and well ordered with 1 × 1 (3.84 Å) periodicity. The electronic structure obtained from (dI/d\/) measurements displays no states in the gap, which is expected for a H-covered surface. Further support for the bulk-like charac- ter and the ideal monohydride termination comes from electron-stimulated desorption experiments [83 ] showing the formation of the π -bonded chains (2 × 1) reconstruction after the H is desorbed. This surface has also been imaged with an AFM, confi rming the 1 × 1 periodicity [84]. An alternate means of characterization is to measure the low frequency surface vibrations (Si phonons) of this surface. Theoretical calculations of the vibrational manifold [86 ] predict strong dispersions for the modes of this ordered surface. Inelastic He atom scattering measurements, performed by Doak et al. [87], show phonon losses up to 30 meV (250 cm–1 ). Two phonon branches (S8 and S’8) at 27.4 and 23.7 meV, respec- tively, are observed to disperse in addition to the Raleigh wave between 0 and 16.3 meV, consistent with a well-ordered surface. The incoherent elastic scattering and broad inelastic backgrounds are almost two orders of magnitude lower than that measured for H-terminated Si 〈 111 〉 prepared using standard UHV techniques 〈 100〉 . This confi rms the perfection of the surface compared to surfaces prepared in UHV. 556 Analytical and Control Aspects

Figure 9.2-20 Photographs of LEED patterns of Si 〈111〉 surface after NH4F treatment: (a) 82 eV, and (b) 125 eV [81]. Reprinted with permission from Watanabe, Higashi, G. S., Becker, R. S., Chabal, Y. J., and Becker, A. J., Appl. Phys. Lett., 58:1656 (1991). Copyright 1991, American Institute of Physics.

Figure 9.2-21 STM images of Si 〈111〉 surfaces miscut by 0.3° toward the 〈112〉 direction: (a) Surface etched in buffered HF for 2 minutes under ambient conditions. This morphology refl ects the roughness of the original Si/SiO2 interface; (b) Surface etched in quiescent 40 wt% NH4F (aq) in a N2 ambient; (c) Surface etched in stirred 40 wt% NH4F (aq) in an O2 ambient. Dissolved O2 leads to increased pit nucleation and rougher etched surface [85]. Used with permission from Intl. Rev. Phys. Chem.

The EELS studies of the H/Si 〈 111〉 (1 × 1) are similarly characterized by a very high specular beam intensity and a low background [67]. The dispersion of both the Si phonons and the H vibrations has been measured [78 , 88 ] in good agreement with the calculated curves [86 ]. High-resolution IR absorption spectra recorded at low temperatures (<50 K) have also been used to characterize the extent of the perfect 1 × 1 domains [74, 79]. Below 50 K, the lifetime ( ∼ 0.005 cm–1 ) [89 ] and thermal (<0.001 cm–1 ) [75] broadenings are negligible compared to the measured linewidth (0.07 cm–1 ) ( Figure 9.2-22a ). After deconvolution 9: Surface Composition and Morphology, Chabal et al. 557

Figure 9.2-22 P-polarized IR absorption spectra of H/Si 〈111〉 (1 × 1) prepared by: (a) thermal oxidation followed by etching in buffered HF (pH ∼5) for 2 minutes and subsequent etching in 40 wt% NH4F for 4 minutes, and (b) chemical oxidation followed by etching in a 40 wt% NH4F solution for 6 minutes. Both samples were thoroughly rinsed in Dl H2O after the last etching step [79]. Reprinted with permission from Jakob, P., Chabal, Y. J., and Dumas, P., Appl. Phys. Lett., 59:2968 (1991). Copyright 1991, American Institute of Physics. of the resolution function (0.04 cm–1 ), the natural linewidth (0.05 cm–1 ) and the line shape are obtained and can be related directly to surface inhomogeneities. In considering the line shapes, Jakob et al. [74] pointed out that a distri- bution of point defects leads to a symmetrical broadening (such as Lorent- zian or Gaussian), whereas the presence of fi nite domains leads to an asymmetric broadening. Effects associated with dipole coupling between the H atoms dominate this asymmetry. For a fi nite domain containing N atoms, there are N normal modes. The strongest IR active mode is the in-phase normal mode. This normal mode is at the highest frequency, and this frequency increases with domain size because Si – H is oriented perpendicular to the surface. A few other normal modes, however, also have a strong enough IR cross-section ( ∼ 3 – 5% of the in-phase mode) to be detectable and give a low-frequency tail to the absorption band. Further- more, the measured absorption associated with a distribution of domain sizes is proportional to P ( N ) × N , where P ( N ) is the distribution function (the larger domains contribute more to the absorption). Therefore, a sym- metric distribution of domain sizes leads to an asymmetric absorption line shape, characterized by a low frequency tail for the Si – H system. When both effects are taken into account, the spectra of Figure 9.2-22a can be well fi t with N = 2 × 10 4 Si – H units with a 30% distribution in domain size [74 ]. Recent STM images show that the average linear terrace size is 500 Å 558 Analytical and Control Aspects on fl at samples in excellent agreement with the IR absorption line shape analysis ( ∼ 600 Å ) [90 ]. 〈 〉 STM images of NH 4 F-etched Si 111 surfaces show a wide variation in point defect densities. The origin of these variations was fi rst elucidated by Wade and Chidsey [91 ] who showed that a low concentration ( ∼ ppm, parts per million) of dissolved O2 in the etchant would lead to etch pit nucleation. Once nucleated, these pits grow and lead to rougher etched surfaces charac- terized by equilateral triangular pit shapes. In a pure O2 ambient, dissolved O 2 leads to pronounced roughening as shown in Figure 9.2-21 [92 ]. The sensitivity of the IR absorption technique makes it possible to investigate various preparations of the surface in detail. In particular, the nature of the oxide prior to the HF etching has been found to be impor- tant. Figure 9.2-22 shows high-resolution IR spectra associated with H/Si 〈 111〉 (1 × 1) surfaces prepared in two different ways: (a) by stripping the thick thermal oxide in buffered HF (pH = 5) and directly dipping the H-passivated sample into a 40 wt%-NH4 F solution for 4 minutes and (b) by reoxidizing chemically (SC-2) and then stripping and re-etching in a 40 wt%-NH4 F solution for 6 minutes. The fi rst absorption line was discussed in detail above. The second spectrum peaks at lower frequency, indicat- ing a smaller average domain size. It is also broader, indicating a larger distribution of domain sizes. Therefore, thermally grown oxides result in a smoother interface than chemically grown oxides. This phenomenon is well known in the literature and is investigated further by treatment in concentrated HF where etching of the H-termi- nated Si is minimized. Previous researchers have found that, when a thick thermal oxide ( ∼ 1000 Å) is grown, with post-annealing in an inert gas, at ∼ the growth temperature of 1050 ° C, a very smooth Si/SiO2 interface is formed [7 , 25 , 35 , 93 ]. Dissolution of this oxide in concentrated HF pro- duces a H-terminated Si 〈 111 〉 surface, characterized by a multimode spec- trum, which indicates atomic roughness, as shown in Figure 9.2-23 top (a). This roughness disappears upon rinsing as shown in Figure 9.2-23, top (b) as evidenced by the dominance of the monohydride peak afterwards. In contrast, the rough Si 〈 111 〉 surface produced by HF etching of a chemical oxide is not removed upon simple rinsing. These observations confi rm that the thermally grown oxide has a smoother interface than the chemically grown oxide and suggests that H 2 O rinsing alone can remove small surface defects preferentially. Identi- cal experiments were also performed on Si 〈 100 〉 surfaces and are shown in Figure 9.2-23, bottom. As discussed earlier, the multi-mode spectra imply that the surfaces are atomically rough. However, certain spectral changes do occur after rinsing. The monohydride modes decrease in strength while 9: Surface Composition and Morphology, Chabal et al. 559

Figure 9.2.23 Top: P-polarizted IR absorption spectra of thermally oxidized Si

〈111〉 after (a) etching in concentrated HF, and (b) subsequent rinsing in DI H2O. Bottom: P-polarized IR absorption spectra of thermally oxidized Si 〈100〉 after (a) etching in a concentrated HF solution and (b) subsequent rinsing in DI H2O [94]. Used with permission from the authors.

the dihydride modes increase. Note that this is opposite to the behavior observed on Si 〈111〉. 〈 〉 Preferential etching by H 2 O, resulting in fl at H-terminated Si 111 sur- faces, has been demonstrated by Watanabe et al. [72]. These authors studied the effects of H 2 O rinsing as a function of H2 O temperature, fi nding that 〈 〉 hot H2 O (100 ° C) increases the rate of removal of 111 surface defects while maintaining the H-termination. The spectrum obtained is shown in 560 Analytical and Control Aspects

Figure 9.2-24 Polarized IR absorption spectra of a chemically oxidized Si 〈111〉 sample after etching in 1.5 wt% HF solution and subsequent boiling in Dl H2O at 100°C for 10 minutes. The resolution is 0.5 cm-1 [72]. Reprinted with permission from Watanabe, S., Nakayama, N., and Ito, T., Appl. Phys. Lett., 59:1458 (1991). Copyright 1991, American Institute of Physics.

Figure 9.2-24. A single mode, polarized perpendicular to the surface, dom- inates the spectrum. However, using the analysis developed by Jakob et al. [74 ], the spectra indicate that the average domain size is 20 Å , a factor of 15 smaller than for the sample presented in Figure 9.2-22(a). It is surpris- ing that boiling H2 O rinsing did not lead to the growth of a surface oxide. A possible mechanism is discussed in the following section.

9.2.2.4 Mechanism of preferential etching

A solution of concentrated HF dissolves SiO2 effi ciently and passivates the Si surface with H. Once H-passivation is achieved, etching stops. As a result, the morphology of the original Si/SiO 2 interface is preserved. The data presented in the previous section indicate that dilution of con- centrated HF with H 2O or buffering with NH 4F induces a slow etching reaction of the H-passivated Si surfaces. The overall etching rate increases with the pH of the solution, as evidenced by the increasing formation of small H2 bubbles as the pH is raised. The bubbles are probably formed dur- ing oxidation of the surface by OH– , according to the following reaction:

≡ – → – S i – H + O H S i - O + H 2 Eq. (9.2-2) 9: Surface Composition and Morphology, Chabal et al. 561 once oxidized, the surface is subject to HF attack through HF insertion into the Si – O bond, according to the schematic reaction:

≡ Si –O– + H F → Si–F + HO– Eq. (9.2-3) with subsequent removal of the surface Si atom (now labeled Si* to distin- guish it from the underlying bulk Si atoms), and passivation of the second layer Si atoms by H, according to the mechanism proposed in Section 9.2.2.2:

≡ * → ∗ ( 3Si ) S i –F + 3HF 3 ( Si–H ) + Si F 4 Eq. (9.2-4)

In the above processes, the last two steps are fast compared to the initial oxidation of the H-passivated surface. As a result, the surface is always H-terminated. The role of OH – is clearly a key ingredient in the attack and etching of H-terminated Si surfaces. It is also important to note that Si can be etched without HF. Silicon can also be etched with alkaline solutions, such as KOH or NaOH [95, 96] and even with H2 O [72]. These observations indi- cate that, once oxidized, the Si surface can be attacked by OH – . A plausible reaction pathway involves the Si back-bond attack by OH– :

≡ ∗ – – → ≡ ∗ – 2 – ( 3Si ) Si –O + O H + 2 H 2 O ( 3 Si) Si –O + Si(OH )2 O 2 + H 2 ( g ) Eq. (9.2-5)

Confi rmation and quantifi cation of the above reaction steps should be possible using fi rst principles cluster calculations, as was done to understand H-passivation of Si [50 ]. In addition, the infl uence of surface charges on the anisotropic etching of Si needs to be understood [97]. The key point in considering preferential etching is to realize that oxida- tion of H-terminated Si surfaces is extremely slow and is the rate-deter- mining step. It takes many collisions between OH – ions and the surface Si– H to effect a reaction because the reaction barrier is large. When this is the case, relatively minor factors may affect the reaction probabilities greatly. For instance, if some surface structures are strained, they may be more easily attacked because the reaction barrier is lowered only to a small degree. Alternatively, if a surface structure is more accessible for the OH– ion in solution, it may be attacked faster because of an increased reaction probability (larger prefactor). To understand and quantify the etch rates of various surface struc- tures, the chemical etching of stepped Si 〈 111 〉 surfaces was studied 562 Analytical and Control Aspects by Jakob and Chabal [74] by utilizing IR absorption spectroscopy and STM images to characterize the surface structures after each chemical treatment. The results are summarized in the schematic drawing of Fig- ure 9.2-25 [98 ]. At low pH (pH = 1 – 3), the HF solutions do not modify substantially the original Si/SiO2 interface, which usually displays a fair degree of atomic roughness. The 〈 111 〉 terraces have many small adstructures, and the more extended steps are wandering with a high density of kinks. The IR absorption spectra are very similar to those in Figure 9.2-14 with a relatively low concentration of ideal monohydride

Figure 9.2-25 Schematic picture of the changes of the Si surface morphology as the etch rate is increased by increasing the pH of the etching solution: (a) pH <3, (b) pH = 5–6, and (c) pH = 6.6. A total etch time of 3 minutes, including the removal of approximately 10 Å SiO2, is assumed. A pH higher than 6.6 leads to step bunching, and therefore to the formation of multiple steps and facets (not shown here) [98]. Reprinted from Surface Science Volume 275, Jakob, P., Chabal, Y. J., Raghavachari, K., Becker, R. S., and Becker, A. J., “Kinetic model of the chemical etching of Si(111) surfaces by buffered HF solutions,” page 407. Copyright (1992), with permission from Elsevier. 9: Surface Composition and Morphology, Chabal et al. 563 termination of the 〈111 〉 planes. As the pH is increased (pH = 5 – 6), the small adstructures and defects present on the 〈 111 〉 terraces are etched away, leaving atomically fl at, ideally monohydride terminated terraces. The step edges, however, remain rough on an atomic scale with a high concentration of kinks. Solutions of higher pH (pH ∼ 6.6) are needed to remove kinks and generate atomically straight steps. After 3 minutes of etching at room temperature in a solution of pH = 6.6, for instance, the steps are observed to be straight with ∼ 1% of the step sites with kinks, which is probably accounted for by the imperfection in the azimuth of the miscut. The above observations indicate a step fl ow etching mechanism [82]. As the pH is increased beyond 7.0, the etching of stepped surfaces increases drastically as evidenced by the formation of bubbles. The surface then roughens, partly because of step bunching [96 ], and partly because of the more inhomogeneous conditions at the surface (bubbles, fl uctuation in the concentrations of various chemical species, etc.). The result is the formation of large, three-dimensional roughness as evident in STM images [90]. The site-specifi city of NH 4F etching reactions was quantifi ed using a combination of infrared spectroscopy, scanning tunneling microscopy, and kinetic Monte Carlo simulations [99 ]. As expected, this analysis confi rms that NH 4 F is a highly anisotropic etchant, which attacks all defect sites (e.g., step sites) at least 5000 times more rapidly than terrace sites. The most reactive site, the kink site, is at least 1 × 10 7 times more reactive than the least reactive site, the terrace site. The correlation between the struc- ture and reactivity of the various sites provides insight into the reaction mechanism. The observed trends in reactivity are consistent with a reaction that is rate-limited by a pentavalent transition state, as fi rst proposed by Hines et al. [100]. For example, the rigid, tetrahedral geometry of the terrace and monohydride step sites leads to a relatively low etch rate. In contrast, the highly strained kink site, which is held in a near-pentavalent geometry, reacts much more rapidly. In short, the reactivity of the surface appears to be dominated by structural considerations. The chemistry on these surfaces is obviously complex. The simple mechanisms described above are meant only to give a framework in which to address the problem. The etching reactions are also surprisingly sensitive to inhomogeneities in the etchant [101], as well as ppm levels of contamination. 564 Analytical and Control Aspects

9.2.3 Contamination issues associated with hydrogen-terminated surfaces 9.2.3.1 Oxidation of hydrogen-terminated silicon surfaces Oxidation of H-terminated Si surfaces is particularly important to under- stand because epitaxially grown Si and SiGe source/drains, introduced into manufacturing at approximately the 90-nm node, require H-passiva- tions that not only cover 100% of the surface but survive long enough to meet manufacturing queue time requirements. Trace contamination due to oxidation will be discussed in detail in the following sections, with much of the material taken from a review article [102 ]. The barriers to oxidation of H-passivated surfaces are high. In pure environments of O2 , N2 , or H 2O, the surface is characterized by a low reactivity requiring high exposures and relatively high temperatures to achieve oxidation. To study the oxidation mechanism, it is therefore important to determine the impact of (a) chemical and structural surface defects, and (b) impurities in the reactant, as such imperfections might lead to dramatically higher reactivity. These issues have been addressed by using (a) surfaces with different defect types and densities, and (b) high purity reactants. We fi rst summarize results on the interaction of H/Si with liquid H 2 O, since a H 2 O rinse is an inherent part of H/Si fabrication. Also, comparison of wet and dry reactivity of H/Si will ultimately aid in a full mechanistic understanding of oxidation. We then highlight high-purity gas phase stud- ies involving O2 and H 2O, which are the most relevant oxidants in high-k gate oxide processes. Kinetics and barriers extracted from these studies can then be invoked to model oxidation and ALD growth. We include a brief review of ambient oxidation studies. Such results are not only important to assess the impact of H/Si contact with clean room environments, but on a fundamental level also help to understand the mechanistic impact of impurities such as H 2 O in O2 vapor.

9.2.3.2 Aqueous chemistry of hydrogen-terminated silicon and the role of dissolved oxygen

The aqueous chemistry of H/Si depends on Si surface orientation, on H 2O pH, and on the concentration of dissolved O2 , as reviewed by Henderson [103]. We only outline here the cooperative effects involv- ing H 2O and O 2, as this may aid in the understanding of gas-phase oxidation. 9: Surface Composition and Morphology, Chabal et al. 565

Ultrapure H 2O (UPW), containing only ppb (parts per billion) amounts of O2 , can etch oxide-free Si surfaces. Etching likely occurs via successive hydroxylation of Si atoms until they are dissolved in the form of Si(OH) 4 [104, 105]. Hydroxide (OH –) ions probably play the dominant role in the hydroxylation/oxidation process [106], similar to the case of HF(aq) and NH4 F(aq) etching of Si surfaces [98 ]. For H2 O at room temperature and neutral pH, quantum chemical calculations indicate that a H/Si 〈 100 〉 sur- face site is at least 1 × 10 18 times more likely to react with OH– than with H 2 O [107 ]. Ultimately, etching by boiling H2 O results in atomically fl at H/Si 〈 111 〉 with triangular etch pits (likely due to continued oxidation 〈 〉 by trace O 2, [104, 105] and in substantially rougher H/Si 100 with pits exposing 〈 111 〉 facets [108]. These differences in morphology have been attributed to preferential OH– attack of the more polarizable Si atoms of Si – H2 and Si – H3 structures at kinks and steps [106 , 108 ]. In view of the proposed etching mechanism, oxidation by OH– should determine the overall etching rate. In the presence of dissolved O2 , however, the relative reaction rates depend on the relative concentrations of O2 and – of OH , and thus on pH [106 ]. At O 2 concentrations in the ppm regime, the oxidation rate exceeds the etch rate, and hence SiO2 grows [36 , 109 , 110]. The actual mechanism of H 2O-induced oxidation is still unknown. However, there is some evidence for a cooperative (e.g. catalytic) effect between the H 2 O and the dissolved O2 . In a study utilizing isotopically marked H2 O it was shown that at least 85% of the O incorporated into a 5 – 7 Å thick oxide formed at room temperature originates from the H2 O and not from the dissolved O 2 [111]. This was taken as indication that O2 activates the H/Si surface or acts as a catalyst for H 2 O oxidation of Si, rather than oxidizing substantial amounts of Si itself. The possibil- 18 16 16 ity of isotopic exchange between H2 O and O2 or Si O2 formed from 16 O2 was not discussed. Rather, the authors proposed that the role of the – dissolved OH /O2 couple is to anodically polarize the electrode, driving the H2 O oxidation reaction [111]. Water-induced oxidation of P-doped n + -Si in fact proceeds dramatically faster than for n– or p+ -Si, supporting a fi eld-assisted oxidation mechanism [36, 109]. However, at doping levels of 1 × 1020 atom/cm 3 , chemical effects (e.g. catalysis) due to the dopant atoms may also have to be considered. A very detailed mechanistic picture of the initial stage of H/Si oxi- dation by O 2 -containing H 2 O has been proposed by Cerofolini et al. [112 ]. They suggested that H2 O rapidly attacks the low concentration of F impurities on a HF-etched Si, thus forming OH groups. It was specu- lated that such sites then bond thermal excitons, i.e. electron – hole pairs, leading to Si– Si back-bond cleavage and thus to the formation of surface 566 Analytical and Control Aspects

– + + Si and subsurface Si . The subsurface Si then bonds molecular H 2 O in the form of a Lewis acid– base adduct, while the surface Si – ionizes – dissolved O 2 and reacts with it, forming SiOO . Proton transfer from – the H2 O adduct to the SiOO results in Si – OH (silanol) and Si – OOH [112]. In this largely speculative model, O 2 acts as a necessary ingredi- ent for subsurface hydroxylation, and thus ultimately for the formation of Si– O – Si bridges via silanol condensation. However, direct evidence for these reaction steps is not available. In conclusion, O 2-enhanced oxidation appears to occur through a cooperative – effect involving H2 O and/or OH and O 2. As discussed below, similar phenom- ena may be operative in gas-phase oxidation of H/Si. Details of the mechanism are still unclear, however, largely for lack of in situ studies.

9.2.3.3 Oxygen and air interaction with hydrogen terminated silicon surfaces

Early experimental studies of H/Si oxidation by O 2 were done in UHV. At temperatures below the onset of recombinative H 2 desorption (e.g. above ∼ 600 K) on H/Si 〈 100 〉 [113 ], substantial oxidation by typical UHV gas exposures in the Langmuir regime does not occur. Work per- formed on a number of H/Si surfaces prepared in UHV by atomic H expo- sure of well-defi ned Si reconstructions, e.g. for mono- and dihydride H/Si 〈100 〉 , both fl at and vicinal, and for H/Si 〈 311 〉 surfaces [113 , 114 ] showed that room temperature O2 exposures in the 1000-L regime did not result in observable OH formation or in the formation of any oxide fi lm exceeding an estimated 0.7 Å in thickness. There is no observable reactivity enhance- ment by steps. Dangling bonds appear to be needed for oxidation in this exposure regime. The initial room temperature sticking coeffi cient of O 2 on HF-etched Si 〈 100 〉 was determined to be 1 × 10 –12, according to Westermann et al. [115] and Kawamura et al. [116]. Even lower sticking coeffi cients of HF-etched Si emerge from studies by Morita et al. [36], who detected less than 1 Å ∼ 14 SiO 2 after 1 week of 4:1 N2 :O2 exposure at 1 Torr ( 10 L O2 ); and by Niwano et al. [117 ], who found that complete surface oxidation in 1 Torr 15 O2 required an exposure of 1 × 10 L, as detected by in situ IR spectros- copy. All these results point to the potential role of impurities, such as H 2 O, in initial surface oxidation of H-terminated surfaces. As is the case for H2 O oxidation, atomic-scale information on the O2 oxidation mechanism is scarce. Only Cerofolini et al. speculated on an oxidation scheme by O 2 or dry air [112]. They argued that O 2 mole- 9: Surface Composition and Morphology, Chabal et al. 567 cules dissolved in the water used to rinse HF-etched Si could react with – Si sites that are negatively polarized through interaction with H 2 O. On longer time scales, reaction was also suggested to occur through O 2 ion- ization by Si– , which is created by trapping of a thermally generated excitation. This would explain why the apparent activation energy for oxidation in the initial stage is close to the exciton energy and hence to the Si band gap of ∼ 1 eV. Photo-induced mechanisms have also been considered. Photon-stimu- lated H desorption [118] and formation of reactive O radicals in the O2 gas [119 ] have been identifi ed as relevant H/Si oxidation channels in the presence of UV light. Using intense ultrashort laser pulses, even visible or near-IR light can accelerate oxidation of H/Si 〈 111 〉 [120], suggesting that electron – hole pairs play a key role in promoting oxidation. The H/Si reactivity to O 2 is clearly higher at elevated temperatures more relevant for ALD growth of gate oxides. A comprehensive investigation by ∼ 9 in situ IR spectroscopy and XPS [121, 122] only 1 × 10 L dry O2 was required to almost completely oxidize atomically fl at H/Si 〈 100 〉 (2 × 1) 〈 〉 〈 〉 (prepared by an H 2 anneal of Si 100 (2 × 1)) and H/Si 111 (1 × 1) (from 〈 〉 an NH4 F wet etch). On H/Si 111 , the growth was predominantly lateral in nature, while on H/Si 〈100 〉 both lateral and vertical growth occurred and the area density of oxide patches was higher. An in situ microscopic study in UHV revealed some direct mechanistic insight into how the ini- tial ML oxidation may proceed at elevated temperatures [123 ]. It appears that even a small concentration of isolated dangling bonds is suffi cient to facilitate substantial oxidation, at such sites, oxide stripes as long as 15 dimer units (see Figure 9.2-26 ) were observed after O2 exposures of only 10 L at 530 K. In these experiments performed at elevated temperatures, the H-termination is preserved during oxidation, with O insertion in the Si – Si backbonds [121 – 123]. First principle calculations (using mostly Density Functional Theory) have addressed O 2 interaction with H/Si. If residual dangling bonds are present, O2 preferentially dissociates at such sites and initiates oxidation, which proceeds along dimer rows via H migration, as experimentally observed [123]. At low temperatures, the theory confi rms that only a phy- sisorbed state can be stabilized [124 ]. If a reaction is forced to occur, O insertion into Si– Si backbonds is energetically favorable over insertion into Si – H bonds [125 ], consistent with the observation of Si – H and the absence of any hydroxyl (Si – OH) at the surface. 9 15 Given the large O2 exposures (1 × 10 – 1 × 10 L) required to oxidize H/Si surfaces, cleanliness issues due to impurity-mediated reaction are expected to come into play. Notably, air oxidation of H/Si 〈 100 〉 and 568 Analytical and Control Aspects

Figure 9.2-26 Filled state STM images of a H-terminated Si 〈100〉–(2 × 1) with isolated dangling bonds (a) before and (b) after exposure to 10 L of O2 molecules at 530 K, performed at room temperature with a sample bias voltage of –2.0 V and tunneling current of 20 pA [123]. The bright ball-like feature denoted by an arrow is a dangling bond. Note the irregular oxidized region (“wire”). Reprinted with permission from Kato, K., Kajiyama, H., Heike, S., and Hashizume, T., Uda, T., Phys. Rev. Lett., 86:2842 (2001). Copyright (2001) by the American Physical Society.

H/Si 〈 111 〉 clearly accelerates with increasing humidity [36 , 109 , 126, 127, 128]. But a two-step mechanism is required to model time-dependent oxidation data [127 – 129]: a slow nucleation, followed by a faster subsurface oxidation next to sites thus attacked. The nucleo- philic attack of back bonds polarized by subsurface O may facilitate oxidation, resulting in 2-dimensional island growth. The rate con- stants for H/Si 〈 111 〉 were found to scale with humidity, indicating that H2 O is responsible for initial surface modifi cation. The newly formed hydrophilic sites then promote physisorption of additional H 2 O. The dependence on O2 only becomes important after this initial water- induced oxidation [127, 128]. Both for air [127 – 129] and O 2 oxidation [116 ] at room temperature, the reaction appears to accelerate as soon as a complete incorporation of O into the backbonds has taken place (labeled H/SiO x ). This observation suggests a reaction mechanism involving physisorbed precursor molecules (O 2 or H 2 O) that diffuse across the surface initially made up of H/Si and H/SiO x areas. These molecules can either desorb or react with the surface. If the desorption barriers are faster for H/Si than for H/SiO x, then the residence 9: Surface Composition and Morphology, Chabal et al. 569 time of the precursor molecules on the H/SiO x areas would lead to faster oxidation as soon as O incorporation is complete. The role of dopants in the Si substrate had also been shown to infl uence H/Si oxidation rates. For instance, oxidation of n + -Si proceeds much faster than for n – or p +-Si, indicating that substrate electrons affect reactivity [36, 109]. The initial oxidation rate also appears to scale with surface rough- ness [129], although some authors disagree [126]. This fi nding was taken as an indication that oxidation starts from steps or defects and contin- ues 2-dimensionally (e.g. via Si back bond polarization and nucleophilic attack) until the fi rst ML is completed [129 ]. In the absence of defects, for instance, an atomically fl at H/Si surface can remain perfectly oxide-free in humid air for at least 15 minutes [130 ]. Finally, ambient contaminants other than H 2 O, such as organics or radicals, cannot be disregarded. For instance, the presence of organic species has been invoked to account for changes in the electrical surface properties of H/Si [131 ]. Such species may also affect the oxidation rate. While all air oxidation studies implicitly assumed that other con- taminants were either absent or did not infl uence oxidation, experiments utilizing high-purity O2 /H2 O mixtures have helped show that this is not the case as shown below. In situ IR measurements have been performed to study O 2 interaction with various H/Si surfaces and to unravel the oxidation mechanisms [132, 133]. These studies use high-purity O2 and focus on comparing techno- logically relevant HF-etched Si 〈 100 〉 to structurally well-defi ned model surfaces, such as atomically fl at H/Si 〈 100〉 (3 × 1) prepared in UHV [133 ], 〈 〉 fl at and stepped H/Si 111 prepared by an NH 4F wet etch [44, 134]. Struc- tural and chemical information is readily available from the Si-H stretch modes (best probed by MIR) and direct detection of Si– O modes is usually done using transmission geometries. Figure 9.2-27a shows the Si– H stretch spectrum of a H/Si 〈 111〉 surface miscut at 9 ° in the direction exposing steps terminated by dihy- ∼ –1 drides (Si – H 2). Terrace monohydrides ( 2083 cm ) are clearly distin- guished from the step modes characterized by three distinct vibrations at –1 –1 –1 C1 = 2095 cm , C2 = 2102 cm , C3 = 2136 cm [135 ]. Figure 9.2-27b shows that the step modes react much faster than the terrace monohydride upon O2 exposure at 573 K, a temperature typical of ALD growth. In the above example, the disappearance of the Si – H stretch modes in the 2000 cm–1 range is not due to the reaction of H with O (to form OH for instance), but to the insertion of O into the Si– Si backbonds result- ing in a large Si– H stretch blue shift [132]. For instance, as a fl at surface is oxidized, modes corresponding to monohydrides with singly, doubly 570 Analytical and Control Aspects

Figure 9.2-27 Multiple internal refl ection IR spectra for H/Si 〈111〉 9° miscut along 〈112〉 (dihydride steps) exposed to 0.02 mTorr O2 at 573 K, subsequently annealed to 693 K, and exposed to O2 at the same temperature. (a) Absorbance of starting surface; spectral reference: H/Si 〈111〉 oxidized by O2 at 693 K. (b) Difference spectra for the subsequent processing steps; the spectrum of the starting surface is used as a spectral reference, i.e. negative absorbance indicates the loss of species from the surface. C1, C2, and C3 are modes related to step dihydrides. An exposure of 1 minute corresponds to a dose of 9 × 105 L

O2 [136]. Used with permission from Rutgers University. and triply oxidized Si atoms, observed at 2150, 2200 and 2250 cm–1 (not shown) [137]. In all cases, there is no measurable absorption in the 3658– 3750 cm–1 range corresponding to hydroxyls on attached to Si atoms with varying degrees of oxidation. Similar kinetic experiments have been performed for a variety of sur- faces, including fl at H/Si 〈111 〉 and for H/Si 〈 111〉 with monohydride steps [132, 137]. Figure 9.2-28 shows, for example, the oxidation kinetics for monohydride on Si 〈 111 〉 terraces compared to those of mono- and dihydrides at steps. The oxidation rate is highest on dihydride steps, then monohydride steps, and slowest on terrace monohydrides. Similarly, the rates of reactions for mono-, di- and trihydrides have been measured for fl at 〈 100 〉 and 〈 111 〉 surfaces for a variety of reconstructions and steps [136 ]. The results can be summarized as follows: • For comparable substrate orientation, the reaction rates increase according to: terrace monohydride < step mono- hydride < dihydride < trihydride (by up to one order of magnitude). 9: Surface Composition and Morphology, Chabal et al. 571

Figure 9.2-28 Kinetics of the Si–H peak decay on fl at and stepped H/Si 〈111〉 surfaces for terrace H, step monohydride (on 〈112〉 miscut surface), and step dihydride (on

〈112〉 miscut surface) in 1.3 mTorr O2 at 573 K. An exposure of 1 minute corresponds 7 to a dose of 6 × 10 L O2 [137]. Reprinted with permission from Zhang, X., Chabal, Y. J., Christman, S. B., Chaban, E. E., and Garfunkel, E., J. Vac. Sci. Technol., A19:1725 (2001). Copyright 2001, American Institute of Physics.

• On H/Si 〈 111 〉, the reaction rates for terrace hydrides increase according to: fl at < stepped with monohydrides < stepped with dihydrides.

Based on all the available data [137], some inference could be drawn on the oxidation mechanism:

• Oxidation occurs by direct insertion of O into Si – Si back- bonds, i.e. without H removal or OH formation. • The activation energies are approximately 1.6– 1.7 eV, i.e. lower than typical Si-Si bond energies (e.g. 2.31 eV [138]), indicating that oxidation occurs without Si– Si bond breaking prior to O insertion. • Differences in reaction rate of mono-, di-, and trihydrides are most likely due to different activation energies resulting from variations in steric hindrance. • Variations in reaction rates are largely consistent with differ- ences in accessibility of the backbonds to O 2 and thus higher reactivity is found for the highly accessible backbonds of strained tilted dihydrides at H/Si 〈 111 〉 steps and for back- bonds on rough surfaces in general, when comparing the same type of hydride on different substrates. 572 Analytical and Control Aspects

• A minor effect in some cases might be a lowering of the acti- vation energy at strained sites, e.g. for strained mono- and dihy- drides on Si 〈100 〉 (3 × 1), leading to an increased reactivity. • O-hopping immediately after insertion (dissipating the high free energy of oxidation) is a possible cause for the observed enhancement of terrace oxidation by nearby steps/defects and the absence of Si – H stretch observed with a single oxy- gen atom in its backbond. • A reaction order in Po 2 of 0.7 shows that interaction of O spe- cies simultaneously present at the surface lowers the prob- ability for reaction; this might be due to the presence of a layer of temporarily physisorbed O2 atop the H/Si surface, whose area density scales less than linearly with gas phase pressure due to O 2 – O2 collisions.

It was further shown that the oxidation mechanism observed in the low pressure regime is applicable to atmospheric pressures [136]. For atmo- spheric conditions, IR data yield a rate constant of 0.052 minutes–1 , fairly close to a value of 0.029 minutes –1 extrapolated from the kinetic data dis- cussed above, obtained at much lower pressures. This indicates that the same oxidation mechanism applies across 5 orders of magnitude and a temperature range of 240 K. Further extrapolating the kinetics at atmo- spheric pressure to room temperature, a reactive sticking coeffi cient of O2 on H/Si 〈 111 〉 of ∼ 10–13 is expected [136 ]. This number is identical to room temperature sticking coeffi cients on H/Si 〈 100 〉 of ∼ 1 × 10 –12 to 1 × 10–15 obtained in other studies [36, 115, 126], again indicating that the same oxidation mechanism may apply.

9.2.3.4 Water vapor interaction with hydrogen terminated silicon surfaces

The reactivity of UPW at H/Si surfaces is dominated by OH– . In the vapor phase, such (neutral or ionic) species formed by H2 O dissociation clearly cannot play a role since the H2 O photo-induced fragmentation is insignifi cant (OH reaction probability in the gas phase is ∼ 1 × 10 –45 at a temperature of 573 K as determined by the Boltzmann factor). Molecular O2 , on the other hand, may be present in H2 O vapor, since the liquid H2 O reservoir contains substantial amounts of dissolved O 2 after contact with atmospheric air. Therefore, special care must be taken to reduce the O 2 content in the liquid bath by bubbling with inert gases or chemical purifi - cation [105 , 139 ]. 9: Surface Composition and Morphology, Chabal et al. 573

Takagi et al. fi rst demonstrated the low reactivity of H/Si exposed to H 2O vapor [140]. In a UHV environment and at room temperature, no 〈 〉 detectable H2 O adsorption on H/Si 100 (2 × 1) was observed with expo- sures in the Langmuir regime. At low temperatures (e.g. 90 K), H2 O phys- isorbs, forming ice clusters, and desorbs below room temperature without 7 reacting with the substrate. At least 5 × 10 L H2 O had to be supplied to H/Si 〈111 〉 at room temperature [141 ] in order to reach an oxide thickness of about 2 Å. The H termination was preserved during the formation of thin SiO2 fi lms, similarly to the case of O2 oxidation discussed above. The generation of activated Si – Si back bonds was invoked as the rate-limiting step for these relatively lower temperatures. Initial oxidation is extremely slow even at 598 K, while above 723 K more than 3 Å SiO2 form rap- idly. In this higher temperature regime, the oxidation rate is limited by thermal desorption of the H overlayer from the Si substrate [141 ]. This is in line with the fi ndings of Kim et al. [142 ] who reported that a long (also 〈 〉 unspecifi ed) H 2 O exposure of H/Si 100 in an ALD reactor at up to 573 K results in 1– 1.5 Å SiO 2, while at 623 K and above, much thicker oxide fi lms are formed. They interpret their observations as an indication that in fact no reaction should occur below 573 K. In the absence of any direct spectroscopic evidence, oxidation at higher temperatures was tentatively attributed to creation of dangling bonds through H desorption and subse- quent OH formation with rapid insertion of the O into Si-Si backbonds. Za ï bi et al. [143], utilizing photoemission yield spectroscopy, also found a reaction threshold at 623 K at which temperature they indirectly inferred 〈 〉 a substantial OH concentration on H/Si 111 already upon H 2O doses as low as 50 Langmuirs (L). A number of theoretical studies have addressed the interaction of H2 O with H/Si. The physisorption energies on H/Si 〈 100 〉 and H/Si 〈 111 〉 are estimated at –0.16 eV [144 ] and –0.13 eV [145 ], respectively. For hydroxylation via:

Ο → S i 3 – Si–H + H2 S i 3 –Si–O–H + H2 Eq. (9.2-6) overall reaction enthalpies of –0.70 eV[108 ], –0.75 eV [146 ], and –0.59 to –0.69 eV [147 ] are reported, with corresponding barrier heights of 2.13, 1.60, and 1.85 – 2.05 eV. There is experimental evidence that trihydride con- fi gurations are hydroxylated more easily than dihydride structures [147]. For all confi gurations, however, hydroxylation requires substantial thermal energy to occur and is therefore improbable at room temperature. Recent IR absorption studies of H2 O vapor interaction with H/Si have used a transmission geometry and focused on ensuring a low O2 concentration by 574 Analytical and Control Aspects extended bubbling of the H2 O with ultrapure N 2. Such precaution is essential in view of the potential infl uence of O2 in the H 2 O vapor on oxidation. Most experiments were performed under typical ALD conditions (e.g. 573 K) in a home-built ALD reactor [148 ]. For such spectroscopic experiments, it is convenient to use D2 O instead of H2 O to separate surface reaction from possible fl uctuation due to residual H2 O vapor in the spectrometer, while leaving the oxidation chemistry unaffected [148 ]. The main result illustrated in Figure 9.2-29 is the stability of H/Si sur- faces under exceedingly high concentrations of H 2 O exposures at rela- tively high temperatures. Whether the surface is ideally H-terminated, Figure 9.2-29a, or atomically rough with several types of hydrides, Figure 9.2-29b , the Si – H stretch modes remain unchanged after D2 O exposure in the 1 × 108 L regime at 573 K [149 ], as only a few percent of isotopic H – D 〈 〉 exchange take place. On the surface of H2 O-exposed H/Si 111 , there is no evidence of H bonded to oxidized Si atoms (On – Si – H, 2130 – 2300 –1 –1 cm ) [121 ], of isolated OH groups (Si-OD, 2700 – 2760 cm ), or of SiO2 phonon signals (∼ 1000 – 1200 cm–1 ) [149]. This establishes that oxida- tion and hydroxylation of H/Si 〈 111 〉 are insignifi cant under the reaction conditions studied. 〈 〉 On H 2O-exposed H/Si 100 , there is evidence for incorporated O and isolated OD groups on oxidized Si sites (2760 cm–1 ), as shown in

Figure 9.2-29 Transmission IR spectra of (a) H/Si 〈111〉 and (b) H/Si 〈100〉 8 measured before and after exposure to (1–5) × 10 L D2O (10 mTorr) in N2 carrier gas (1 Torr) at a sample temperature of 573 K. Reference spectrum in oxide phonon and O-D stretching regions: H-terminated Si. Note that a signal at ∼920 cm–1, due to Si–H bending modes of the H/Si 〈100〉 reference surface, has been removed for clarity. Reference spectrum in Si–H stretching region: Si with native oxide. For comparison, we show IR spectra of well-known oxide and hydroxyl over layers described in the text [148]. Reprinted with permission from Frank, M. M., Chabal, Y. J., and Wilk, G. D., Appl. Phys. Lett., 82:4758 (2003). Copyright 2003, American Institute of Physics. 9: Surface Composition and Morphology, Chabal et al. 575

Figure 9.2-29b . The area density of O and OD species has been quantifi ed by comparison to transmission IR spectra of well-characterized reference samples, as shown in Figure 9.2-29b. For SiO 2 phonon intensity calibra- tion, a ∼ 5.5 Å fi lm prepared by wet-chemical oxidation of H/Si 〈 100〉 in a H2 SO4 :H2 O2 solution was used [102]. For OH intensity calibration, a well-defi ned OH over-layer on Si 〈 100〉 prepared in UHV was chosen. 13 –2 Comparison shows that at most 0.1 Å SiO 2 (5 × 10 -O ions-cm ) are formed, and that the OD area density amounts to 2% ML (2% of a ML; 1.3 × 1013 OD cm–2 ) [150 ]. Comparing the reactivity of structurally and chemically defective H/ Si 〈100 〉 to that of completely passivated fl at H/Si 〈 111 〉, hydroxylation is found to occur only at certain defects present in low concentration, such as oxide or specifi c step sites. In conclusion, in the 108 L exposure regime, well beyond exposures relevant to ALD processes, O2 -depleted H2 O does not oxidize H/Si 〈100〉 and H/Si 〈111〉 at 573 K to any signifi cant extent. 10 Experiments with even higher D 2O exposures (nearly 1 × 10 L) were performed on H/Si 〈 111〉 at 573 K ( Figure 9.2-30 ) [102 ]. The high degree of surface order makes it possible to discern IR features due to different H – Si and D – Si species and therefore estimate or place upper limits on several mechanisms including isotopic exchange. Specifi cally, the authors

Figure 9.2-30 Transmission IR spectra of H/Si 〈111〉 before and after exposure to 9 7 × 10 L D2O (10 mTorr) in ultrapure N2 carrier gas (1 Torr) at 573 K [102]. H/Si is the reference for D–Si and O–D stretching regions, and oxidized Si reference for the H–Si stretch region. Frank, M. M., Chabal, Y. J., Materials Fundamentals of Gate Dielectrics (Demkov, A. A., and Navrotsky, A., eds.), Volume 102 Page 367, Springer, Berlin (2005). Reprinted with kind permission of Springer Science and Business Media. 576 Analytical and Control Aspects found the following features: <1% ML OD; (68 ± 3)% ML Si 3 – Si – H; ≤ 3% ML O3 – Si – H; no or very little O1,2 – Si – H; (24 ± 2)% ML Si3 – Si – D; (10 ± 3)% ML O3 – Si – D; and no or very little O 1,2 – Si – D. Here, 1 ML is defi ned as the area density of H atoms on the initial H/Si 〈 111〉 (1 × 1) surface, i.e. 7.83 × 10 14 cm–2 . The total area density of ∼30% ML-O ions ∼ corresponds to 0.6 Å SiO 2 formed in the reaction. In fair agreement with ∼ this, a small phonon signal (not shown) indicates the presence of 0.3 Å SiO2 . These observations yield an upper level for the reactive sticking coeffi cient ∼ –10 of 1 × 10 . While most experimental studies have shown that H 2 O vapor oxidation of H/Si is a slow process [140– 143], this particular work arrives at an even lower reactivity, suggesting that dissolved O 2 was present in higher quantities in the previous experiments [102 ]. The similar area densities of D and O atoms would be compatible with an initial hydroxylation step:

→ S i 3 –Si–H + D2O S i 3 –Si–OD + HD Eq. (9.2-7) followed by a rapid OD decay with O insertion into a Si – Si backbond. Such OH decay on pristine Si – Si3 sites is known to occur below 600 K from studies on Si 〈100 〉 surfaces in UHV [151]. The overall initial oxidation mechanism at elevated temperature may therefore be:

→ → S i 3 –Si–H + D2O S i 3 –Si–OD + HD Si3 O–Si–D + HD Eq. (9.2-8) hydroxylation being the rate-limiting step. A preference for hydroxylation over direct H-D exchange is also supported by a recent density functional 〈 〉 study of H2 O reaction pathways with H/Si 100 [146 ]. The activation bar- rier and the overall enthalpy of reaction for hydroxylation were found to be lower by nearly 0.7 eV than for proton exchange. However, as discussed in detail for O 2 oxidation of H/Si, pathways and barriers may be different for different Si surface orientations. Therefore, comparison of H/Si 〈 100 〉 and H/Si 〈 111 〉 may be diffi cult. For oxidation at 673 K, appreciable quantities of stable OD groups were observed once the fi rst SiO2 ML was completed (not shown) [102]. Such OD groups are bonded in the local arrangement O3 – Si – OD and are thus likely stabilized against decay by the backbonded O. Since OH groups on pristine Si sites at room temperature are kinetically stable [151], the oxida- tion mechanism may be fundamentally different at 300 K than at elevated temperatures. 9: Surface Composition and Morphology, Chabal et al. 577

In conclusion, in situ IR studies have shown that H/Si surfaces react faster with H2 O vapor at 573 K than with O2 . Assembling all available evi- dence, the reactivity of H/Si between room temperature and 573 K appears to increase in the order: H 2O < O 2 < humid air. This surprising fi nding may indicate that there is a cooperative effect of H2 O and O2 ; such as a two-step oxidation mechanism in which one species opens up the surface while the other is needed for substantial oxidation. Alternatively, the reactivity of ambient air might be due to impurity species not yet considered; for exam- ple hydrocarbons, O3 , or radicals. In order to come to a conclusive answer, high-purity gas experiments utilizing O2 /H2 O mixtures and impurity addi- tion to O 2 or H2 O will be required, and quantum chemical calculations important should be coupled to these experiments.

9.2.3.5 Other types of contamination of hydrogen terminated silicon surfaces

An important contaminant after HF etching is F. Fluorine contamination is the most misunderstood of all the contaminants found on HF etched sur- faces. When wafers are treated with concentrated HF without H2 O rinsing, XPS reveals that ML quantities of F are present on the surface [53 ]. This could lead to the conclusion that F is the fundamental surface termination responsible for the amazing surface passivation [52]. Indeed, F from the HF was identifi ed as playing an important role in reducing the number of defects in gate oxides formed on these surfaces [152], as noted in Section 9.2.2.1. Although there is no doubt that F is present, the form in which it is found and how it is bound to the surface are uncertain. An important experimental result by Grä f et al. [62] states that rinsing in H 2 O strongly reduces surface F concentrations for immersions as short as a few minutes. Surface F concentrations on Si wafers that were immersed in dilute HF are in the range of a few percent of a ML [61], showing that the surface F concentration can vary vastly. The H content on the surface, on the other hand, does not vary substantially with rinsing or with HF concentration in the solution. Thus, it is clear that F must be thought of as a surface contaminant rather than the fundamental surface termination. While it is now generally accepted that the H-termination resulting from HF etching explains the hydrophobicity and the passivation of Si surfaces, there is still disagreement as to the nature of the F on the surface. It is clear that some of the F must be physisorbed to the hydride covered Si surface in chemical forms, such as HF, H2 SiF6 , (NH 4 )2 SiF 6 , or as ions such as – 2– 2– F , HF , SiF 6 [153 ]. The reason for postulating physisorbed species is 578 Analytical and Control Aspects that the concentration of these contaminants changes greatly with rinsing without changing the surface H concentration. Thus, physisorbed fl uorides can explain many of the observations of F on Si. All observations of F may not, however, be attributed to physisorbed F, and especially after extended rinsing. Many authors believe that some F is directly bonded to substrate Si after HF etching [37, 62, 63, 152]. The H 2O rinsing experiments of Grä f et al. [62] show that F disappears from the surface at the same time that O is taken up by the surface, indicating that an exchange reaction is occur- ring. Sunada et al. [37], on the other hand, argue that F termination prevents oxidation until the F concentration falls below a critical value, after which oxidation can proceed normally. Interesting new data suggests that the F is in fact subsurface and only comes to the surface upon oxidation of that sur- face [44]. Clearly, the chemistry of F on the Si surface after HF etching is an important issue still being debated and needs to be studied further. HF-etched Si surfaces can be prepared to be O-free. As mentioned above, however, O concentrations are found to grow as a result of pro- 〈 〉 longed H 2O rinsing [37, 62]. The atomically fl at Si 111 wafers produced by etching in NH4 F, on the other hand, are amazingly resistant to oxida- tion and show negligible amounts of O after H2 O rinses as long as 10 min- utes [67 ]. The conclusion from this result is that the oxidation rate must somehow be related to the step density on the surface. This might lead to – the conclusion that H2 O or OH attack the step edges more readily and lead to oxidation, but the boiling in H 2 O experiments of Watanabe et al. [72 ] suggest otherwise. Their surfaces are found to be terminated completely with H, with little if any residual O, demonstrating that step edge attack in H2 O does not necessarily lead to oxide formation. Oxidation appears to be more complex than simple attack by H 2 O. Oxidation in liquid solu- tion has been found to be related to the O2 concentration in the H 2O used during the rinsing process as well as the doping type of the wafers used [36]. It is interesting to note that lightly doped wafers can be rinsed for 104 minutes without appreciable oxide growth when H 2O with a low O 2 concentration is used. Further research regarding this issue is certainly warranted. Oxidation due to storage in air is also of current interest. Gr ä f et al. [154] have reported that wafers stored in standard moist air (relative humidity 35 – 40 vol%), oxidize extremely slowly, growing less than 1 Å of oxide in 7 days (104 minutes) as shown in Figure 9.2-31 . After 7 days of storage the oxidation rate increases abruptly, with the oxide thickness approaching 10 Å after 45 days. This bimodal oxidation rate distribution is not understood at this time but has been observed in other work [36, 37 ] and has been postulated to be related to the F coverage on the surface [37 ]. It should be noted that H-terminated surfaces have been prepared 9: Surface Composition and Morphology, Chabal et al. 579

Figure 9.2-31 Oxygen coverage (left scale) and oxide thickness (right scale) as a function of storage time in moist air. Oxygen coverage was determined by XPS [155]. Reprinted with permission from Gräf, D., Grundner, M., Muhlhoff, L., and Dellith, M., J. Appl. Phys., 69:7620 (1991). Copyright 1991, American Institute of Physics.

that show less than a ML of O after 7 days of storage both in air [154] and in H 2O [36], given the fact that many researchers still believe that the growth of native oxide begins immediately. The cleanliness of the chemicals available at the time may have infl uenced the observed oxida- tion rates, leading to the erroneous conclusion that the oxide growth was an intrinsic rather than extrinsic effect. Hydrocarbon contamination is a particularly insidious problem for H-terminated HF-treated wafers. Whereas hydrophilic oxide passivated surfaces are relatively resistant to hydrocarbon contamination, H-terminated Si surfaces can be covered with a ML of hydrocarbons extremely quickly if exposed to a contaminated environment. Hydrocarbon contamination can come from the H2 O rinse used [63], from contaminants in laboratory air, or from the environments of processing equipment. Infrared spectra have shown that H-terminated Si samples, prepared in dilute HF and rinsed in O2 -free and high-purity H2 O, transported through air and loaded into a N 2 -purged vacuum chamber remain hydrocarbon-free, only to be contami- nated the once the evacuation of the chamber begins. The hydrocarbon content was measured by IR absorption before and after evacuation. In fact, clean surfaces have been preserved only in the case where the load locks of the analysis chambers were pumped down to a few times 1 × 10–10 Torr prior to venting for sample introduction [67, 156]. It is obvious that these surfaces are highly sensitive to hydrocarbons and become contaminated unless extreme precautions are taken [61 , 63 ]. Part of the problem is that many common contaminants desorb at temperatures 580 Analytical and Control Aspects above the H-desorption temperature [44]. When this happens, Si carbides are formed and are impossible to remove at reasonable temperatures. In contrast, hydrocarbons on top of oxide-coated surfaces can be made to desorb before the oxide desorbs. Oxide passivation is obviously preferred if the 850 – 950 ° C desorption temperatures can be tolerated [42 ]. Metal contamination is also of crucial importance and is discussed Chapter 2. In brief, wafers etched in HF following the RCA standard clean can be free of metal contamination (<1 × 1010 cm –2 ) [8 ]. In other instances, however, Cu was found to be a problem and was eliminated by adding some H 2 O 2 to the HF [6]. Copper has been found to be extremely detri- mental to the stability of the H-termination by causing increased oxidation rates during H 2 O rinses, resulting in 3 Å of oxide growth in just 2 minutes [155 ]. This reaction has been attributed to Cu-catalyzed oxidation [155 ] of the Si, which causes bulk etching and surface roughness [6 ] upon expo- sure to contaminated HF solutions. Copper coverage of up to a 0.5 ML are obtained on exposure to HF solutions contaminated with only ppm levels of Cu [155]. Copper in HF is known to plate out on semiconductor surfaces; the use of ultra pure HF (and other reagents) in semiconductor processing is, therefore, imperative [4 , 14 ].

9. 2.4 Summary of passivated silicon surfaces Two distinct types of surface cleaning processes for Si wafers have been discussed. The fi rst leaves a thin chemical oxide behind and the second results in a H-terminated Si surface. Both techniques are extremely effec- tive at cleaning and passivating the Si surface. Three major issues were discussed for each of these classes of cleaning: chemical composition, structure and morphology, and contamination issues. The composition of chemically grown oxides on Si surfaces is similar to that of the interfacial transition regions of thermally grown oxides. The oxides are largely composed of SiO2 containing a fraction of sub-oxide spe- cies, dominated by Si 2+. The largest intrinsic contaminant found on such sur- faces is H-bonded OH and H2 O. Minor levels of H in the form of Si– H are also observed. The structure and morphology of CMP Si wafers approaches atomic perfection with a surface roughness of 2 Å RMS. The SC-1 clean is found to increase levels of surface roughness, whereas the SPM and SC-2 cleans tend to leave surface topographies unchanged. The oxide interfacial structure is found to vary with preparation technique with the indication that the chemically grown oxide interfaces are substantially rougher than their thermal oxide counterparts. Extrinsic contaminants, such as metals and 9: Surface Composition and Morphology, Chabal et al. 581 hydrocarbons, are effi ciently removed using RCA cleaning, but there is an increasing concern that the 1 × 1010 cm–2 level achieved for most metals today will have to be improved in the future. Other areas where future work is necessary include: gaining an understanding of how contaminants in the solutions used infl uence etching and surface topography; elucidating the mechanism of chemical oxidation in the various solutions employed; and learning, fi nally, how to control the surface structure and composition of oxide-terminated Si surfaces. Hydrogen-terminated Si surfaces are composed of H atoms covalently bonded to the substrate wafer. The electronic perfection of these interfaces is unsurpassed, indicating an extremely low dangling bond density. Fluorine is now understood to be a minor constituent of the surface and is one of the major intrinsic contaminants observed. The mechanism of H-termina- tion involves back-bond attack by HF molecules, that is, facilitated by the charge transfer used by Si bonding to highly electronegative elements, such as F or O. Si 〈 100 〉 and Si 〈 111 〉 surfaces are found to be atomically rough when etched in concentrated or dilute HF. This result was originally inferred by the coexistence of many types of Si-hydrides on the surface and was later confi rmed using a variety of techniques. High-pH HF solutions lead to increased roughness on Si 〈 100〉 with the formation of microfacets, but can produce atomically smooth Si 〈 111〉 . These ideally monohydride-terminated Si 〈 111〉 surfaces have been characterized by a variety of surface science techniques demonstrating the surface quality, which can be achieved using solution chemistry alone. Such surfaces allow highly detailed stud- ies, which can be used to elucidate the fundamental mechanisms of etch- ing. In this regard, OH – has been identifi ed as being responsible for the anisotropic etching observed. Surprisingly, H2 O rinsing alone can also be used to achieve a certain level of atomic perfection on Si 〈111〉 surfaces, which leads to the conclusion that anisotropic etching can occur in rinsing operations. Hydrogen-terminated Si surfaces are particularly susceptible to hydrocarbon contamination and extreme precautions must be taken in order for this contaminant to be avoided. Hydrogen-terminated surfaces have been prepared, which are essentially metal free (<1 × 10 10 cm–2 ), but solutions contaminated with Cu must be avoided when using HF. Recent fi ndings indicate that the growth of native oxide occurs much more slowly than pre- viously believed. Researchers fi nd that Si wafers remain essentially oxide free for up to 7 days in both in air and H2 O. Future work should be focused on understanding the role of F on these surfaces and the chemistry of HF and OH – in these solutions. Understanding the role of metal contaminants in HF solutions is also of critical importance. Learning to control Si 〈 100〉 surface chemistries and morphologies at a level similar to that achieved for Si 〈111〉 582 Analytical and Control Aspects will be of greatest importance. The foundation of the advances made in our understanding of these surfaces comes from a number of techniques, such as low energy electron diffraction, IR absorption spectroscopy, scanning tunneling microscopy, atomic force microscopy, high-resolution electron energy loss spec- troscopy and high-resolution XPS. Furthermore, new theoretical tech- niques, such as fi rst principles molecular orbital calculations of chemical activation barriers have provided a quantitative basis for understanding important surface chemical reactions. In this chapter, we have stressed not only the technology of wet chemical cleaning, but also have tried to emphasize the science behind the wet chemistry. This area of research is growing rapidly and we hope that better understanding will be central to successful semiconductor processing in the future.

9.3 Passivated Metal Surface

9.3.1 Overview of metal passivated surfaces Prior to the introduction of Cu, Al and its alloys have been the IC manu- facturing industry’s choice for metal interconnects, and are still in wide use. With the advent to features of <90 nm the conventional materials for the IC have changed, Cu was fi rst introduced for logic devices. The replacement of the Al alloys with Cu in chip fabrication was originally based on its electrical conductivity and electromigration resistance, but not for its corrosion resistance. Copper is also being used for some memory devices and other high-performance devices. Metal alloys containing Cu and Al plus the barriers used for manufacturing IC devices are susceptible to surface reactions, such as corrosion. This section discusses the methods for passivating the metal surfaces to prevent corrosion and other undesir- able reactions. The continuing trend in the semiconductor industry toward smaller feature sizes imposes increasing challenges on corrosion prevention and protection of the devices from corrosion. The effective protection of nanometer-sized devices will require an extensive understanding of the atomic-scale pro- cesses of corrosion and corrosion inhibition. The replacement of the Al/Si/ Cu alloys with Cu alloys was based on its electrical conductivity and elec- tromigration resistance, and not for its corrosion resistance. Additionally, the methods used for deposition of Cu or Al are complex procedures and because of the numerous process variables can result in defective fi lm morphology (which can present entry points for corrosion 9: Surface Composition and Morphology, Chabal et al. 583 reactions). Thus, metallization methods have undergone an evolution in response to new circuit requirements and new materials. Another differ- ence is that Al metal structures on the chip are formed by subtractive etch processes, while Cu features are formed by depositing the metal into pre- formed features, i.e. the Damascene processes [157]. These methods of deposition and feature defi nition add to the complexity of corrosion pre- vention and fi lm passivation.

9. 3.2 Copper surface structures and morphology The Damascene procedure [158], as shown in Figure 9.3-32, for Cu pro- cesses is to defi ne the trenches and vias features by etching the dielectric to defi ne the area for depositing the conductive material. A thin barrier layer (Ti, TiN, Ta, TaN, or W) is then deposited by physical vapor deposition (PVD) or by atomic layer deposition (ALD) on the walls of the trenches and vias, followed by a seed layer of Cu, which is deposited by PVD. Once the Cu seed layer is deposited, the fi nal step is to fi ll the remaining features by electrochemical deposition (ECD) of Cu. Evolution of the deposition processes is evolving; ECD is being augmented by other similar methods such as planar plating and electropolishing. Irrespective of the deposition method, the Cu is in a polycrystalline state in which the size of the grains depends on the seed layer surface. The growth conditions for the Cu grains depend on the size of the trenches and vias. The fi nal step is to anneal the polycrystalline Cu fi lm, which improves the fi lm quality by lowering its resistance. The annealing process enlarges the grain size, which can form a bamboo structure with the grains span- ning the full width of the line. The annealing step also reduces the fi lm tension by stabilizing the microstructures; furthermore, grain dislocations are reduced and the <111>-textured growths along the trench walls are reduced. All of these factors can have a pronounced effect on the Cu surface morphology, which in turn can have an effect on the passivation processes. Copper can be deposited by various methods, including PVD, CVD, and ECD, as discussed previously, and by other methods; each method can yield fi lms with different morphologies. The ensuing discussion outlines methods for analyzing the morphology and alternative methods for form- ing the Cu fi lms. For example, microwave plasma-assisted sputtering of Cu on Si 〈 100 〉 showed that power levels were important to the fi nal Cu morphology as characterized by X-ray diffraction (XRD) and AFM results [158]. Huang et al. [159] reported alternating Cu textures through 〈 〉 self-organization of thinly sputtered Cu on a SiO2 /Si 111 substrate, ana- lyzed by scanning electron microscope (SEM), AFM and XRD methods. 584 Analytical and Control Aspects

PR SIM ILD SEN ILD SIM Cu (a) (b) (c)

(d) (e) (f)

Caseed ECD Cu TaTaH

(g) (h)

Figure 9.2-32 The Damascene (via fi rst) process is illustrated above. The structure of Cu, hard mask and ILD layers (a) is coated with photoresist, patterned (b) and plasma etched to stop at the bottom SiN layer to avoid breaking through to the Cu fi lm (c). The photoresist is stripped and a second photoresist for the trench is deposited and patterned (d). The trench is opened with a plasma etch, stopping at the embedded SiN hard mask (e). The photoresist and residues are stripped and the bottom SiN layer is opened with a low-energy plasma etch (f). A barrier layer, usually Ta/TaN, is conformally formed by CVD to prevent copper diffusion through to the ILD layer. This process is followed by PVD of a thin Cu layer (100–150 nm) (seed layer) (g). The seed layer is needed to assure adequate deposition of the electroplated Cu fi lm (h). The ECD Cu layer is then planarized by CMP.

The textures alternated between 〈 111 〉 and 〈100〉 structures. Bae et al. have used fi eld emission (FE)-SEM, XRD, and Van der Pauw methods to study the morphology and crystalline structure of Cu fi lms deposited by ion beam on Ta/Si structures in a H2 atmosphere [160 ]. Once the Cu seed layer is deposited, usually by PVD over a barrier layer, the fi nal step is to fi ll the remaining features with electrochemically deposited Cu. Initially the ECD Cu is in a metastable state. The active states within these fi lms are defective since they contain a high density of extended vacancies, grain boundaries, and small grains [161 ]. Copper 9: Surface Composition and Morphology, Chabal et al. 585

ECD conditions using pulsing currents [162 ], and the effect of plating solution compositions [163] using SEM and TEM have been studied. Currently, Cu electrochemical deposition is the most important method. The initial ECD Cu fi lm is a metastable state of Cu (containing a high density of vacancies, grain boundaries, small grains and possibly chemi- cal inclusions from the electrolyte). The dimensions of the trenches, vias and the initial Cu seed layer morphology infl uence the fi nal grain size and type ( 〈 111 〉 , 〈100 〉 , etc.), fi lm stress and resistivity during annealing. X-ray diffraction and back-scattered diffraction data have shown that grains in inlaid structures nucleate and grow from the bottom and sidewall of the trenches, and that grain size and type (〈111〉 or 〈100〉 ) are signifi cant factors in the fi nal Cu line resistivity, electromigration (EM) and stress induced migration problems, especially at nodes of <65 nm. The ECD Cu is in a polycrystalline metastable state in which the size of the grains depends on the initial seed layer surface. The growth condi- tions for the Cu grains during plating depend on the pH and Cu electrolyte concentrations [164 ]. The dimensions of the trenches and vias will infl uence the grain size during annealing. Results from fl ow reactors have shown non- equilibrium growth patterns when the plating process is diffusion limited. Such conditions can affect the morphology of the fi nal Cu fi lm [165 ]. Latt et al. [166 ] found that a thin ionized metal plasma deposited Cu seed layer gave a ECD Cu with a more uniform morphology and lower resistivity (1.72 µ Ω cm). During elevated temperature annealing (750– 950 ° C), the Cu grain sizes grew ∼ 5 times larger than the originally deposited electroplated fi lm thickness and maintained a 〈111〉 orientation up to 950 ° C. The fi nal step is to anneal the polycrystalline Cu, which improves the properties of the fi lm. Electroplated Cu exhibits the phenomenon known as “ self-annealing ” because the initially deposited fi lms are metastable and their microstructure can evolve at room temperature. Vas’ko et al. [167 ], reported that the room temperature recrystallization of a 200-nm Cu fi lm changed the stress from compressive to slightly tensile with a decrease in resistivity. They believed that it resulted from an enhancement in the grain size and the 〈 111〉 and 〈200 〉 textures. The typical production-proven annealing step is conducted at elevated temperatures under controlled atmospheres and time periods. The process enlarges the grain size, which can form a bamboo structure with the grains spanning the full width of the line (trench). The conductivity of the Cu line is thus improved. There has been work done to understand the grain growth and orientation of the Cu grains. Some authors [168 , 169 ] believe that the grains grow from the overburden into the trenches, while Vana- supa et al. [170 ] observed grain growth independent of the overburden. 586 Analytical and Control Aspects

Zienert et al. [171 ], using XRD and back-scattered diffraction showed that grains in an inlaid structures nucleate and grow from the bottom and sidewall of the trenches. The anneal step, besides reducing the Cu fi lm tension by stabilizing the microstructures and reducing the grain dislocations, may also prevent the possibility of via pullout during CMP. All of these factors can have a pro- nounced effect of the Cu surface morphology, which in turn can have an effect of the passivation processes. There have been a number of articles concerning ECD and the annealing effects on the morphology of Cu metal features [172 , 173 ]. Other articles discussed factors that might infl uence the ECD Cu fi lm’s morphology: deposition on pre-deposited Cu 〈 100 〉 grain features [174 ], Cu nucleation effects [175 ], direct deposition on Si surfaces [176 , 177] and deposition on SiO 2 [178]. Also discussed are effects of inhibi- tors and accelerators [174, 178], copper sulfate (CuSO 4 ) concentration, magnetic fi elds during deposition [179 , 180 , 181 ], effect of plating cur- rent density [173, 182], low- k dry etch chemistries [183], deposition on low- k surfaces [184 ], grain boundary effects on microstructures [185 ] and the effect of 3.18 nm nanocrystalline Cu beads on the polycrystalline material properties [186]. Copper or metallo-organic CVD (MOCVD) is a method for forming fi lms of Cu metal on a substrate without either sputtering or electroplat- ing. A volatile Cu metal-organic complex, such as Cu(hfac), (hfac is 1,1,1,5,5,5-hexafl uoropentane-2,4-dione) is evaporated and transported by a carrier gas to the heated substrate. The process is a molecular process (instead of sputtered clusters of metal) and the deposition of the molecules is more conformal to the substrate features. Process methods with Cu(hfac) have been varied to produce different Cu fi lm structures [187 ]. The fi nal step is to reduce the complex to release the Cu metal. The most common reduction agent is H 2 at an elevated temperature. Other reducing agents that have been examined include isopropyl alcohol or hydrazine [188]. Ecke and Riedel [189 ] have shown that a combined TiN/Cu CVD process could give excellent coverage for an interchip 15 µ m via with an aspect ratio of 12.5. The use of more complex reducing agents offers a wider processing window for industrial use. Kang et al. have studied the effect of the TiN barrier fi lm orientation ratios 〈 200 〉 to 〈 100 〉 on the morphol- ogy of the MOCVD Cu crystal plane. As the TiN 〈 200 〉 content increases, the Cu orientation increases from 〈 200〉 t o 〈 111〉. Although the Cu surface roughness increased with the TiN fi lm roughness, the grain size was not affected [190]. Other studies on the morphology of CVD Cu fi lms have been reported [191 –193]. Zhang et al. [194] reported that the deposition 9: Surface Composition and Morphology, Chabal et al. 587 of a fl ash Cu fi lm before the MOCVD step, improved the fi nal Cu fi lm characteristics. Despite years of development work, the Cu MOCVD process is still only a laboratory process and has not displaced the current ECD Cu interconnect technology. In addition, atomic layer deposition (ALD) meth- ods have been evaluated for Cu, resulting in good coverage for high-aspect ratio trenches [195]. Shivashankar et al. [196] reported on the deposition of 〈 111 〉 Cu fi lms by ALD.

9. 3.3 Aluminum surface structure and morphology Aluminum metallization methods have undergone an evolution in response to new circuit requirements and new materials. Aluminum depo- sition has progressed from vacuum evaporation to the current PVD sput- tering techniques [197 ]. In all cases the desired end result is conformal fi lm coverage and low surfaces roughness. When Al is deposited on a Si wafer, hillock features (spike-like projections) are prone to form because of the mismatch in the coeffi cient of thermal expansion of Al (23.5 × 10–6 / ° C) and that of the Si wafer (2.5 × 10–6 / ° C). Numerous methods to control the hillock formation include adding elements that have limited solubility in Al or depositing capping layers of W, Ti, or TiN over the Al metal [198 ]. Electromigration within the Al lines is also a problem and methods to con- trol electromigration include adding Cu (0.5– 4 wt%) or Ti (0.1– 0.5 wt%) to the Al fi lm [199 ]. Pilippenko et al. [200] have studied the process of change in the struc- ture of Al fi lms on Si in metallizing integrated microcircuits under the con- ditions of both prolonged and fast thermal treatment. The data are based on the application of the Monte Carlo method and describe the change in the size and angles at triple points depending on the temperature and time of thermal treatment. Larger grain sizes (and larger triple point angles) can lead to more stable metal fi lms. Aluminum can also be deposited by various other techniques in addition to evaporation and sputtering. CVD processes for many materials in the semiconductor industry have the advantage of generally excellent conformal coverage. There has been only limited work to develop Al CVD processes, though the methods have resulted in good conformal coverage and good resistivities of ∼ 3.4 µ Ω/cm. This work has been done by the pyrolysis of triisobutyl aluminum (a toxic, pyrophoric material). Although there has been some success at depositing smooth, refl ective coatings, the fi lms are usually too rough which can cause lithography defects [201 ]. An important subset to MOCVD for Al is the ALD process, which is still under development. 588 Analytical and Control Aspects

9. 3.4 Overview of the passivated process Passivation is the process in which a fi lm covers a surface, thus prevent- ing dissolution of the underlying material. It may also reduce chemical and electrical reactivity either at a structural interface or throughout its bulk. Examples of passivaton are oxidation of a metal surface and complex- ing of a metal surface with an organic compound. Passivation compounds must block the diffusion of charges, atoms and ions into the material [202, 203 ]. The semiconductor industry is dependent on passivation of metals and semiconductors for the effi cient operation of components and devices. Without passivation there would be a higher probability of metal diffusion affecting the transistor performance. This is especially true for Cu, Ag, Au, and other transition metal contaminants. The passivation kinetics can be explained by a 2-dimensional phase transition model [204 ] in which a dilute phase of isolated adsorbed ions of a metal hydroxide are converted into a condensed phase of a continuous oxide layer on the metal surface, as shown in:

n+ 2 M – (aqueous) -ne n+ M M (solid) (adsorbed) n+ 1 3 M(oxide) Eq. (9.3-10)

Step 1 involves oxidative hydrolysis forming adsorbed metal hydroxide ions (OH– ) followed by either step 2, the dissolution of the hydrated metal adsorbed ions, or step 3, the 2-dimensional transformation forming a con- densed layer of the metal oxide. Steps 1 and 2 are both controlled by the potential of the electrical Helmholtz double layer at the metal – electrolyte interface, as described in Chapter 3. Step 2 is further controlled by the anodic ion migration across the concentrated metal– salt/electrolyte layer (or a porous precipitated metal oxide surface). Real time STM data [205] has recently visualized steps 1 – 2 process. The thickness of spontaneously formed passive fi lms is generally less than several nanometers in the potential region where H 2O is thermo- dynamically stable. For metals such as Cu the passive fi lm can grow up to 5 nm until anodic oxygen evolution begins at which time fi lm growth virtually stops. Aluminum metal has no anodic O2 evolution and therefore the passive fi lm can grow to a thickness larger than 100 nm [206 ]. The passive fi lms can be divided into two groups determined by the structure and composition of the formed oxide fi lms. The two forms 9: Surface Composition and Morphology, Chabal et al. 589

Figure 9.3-33 Diagrams of network modifi er passivation structures (a) and network forming passivation structures (b) [206]. Reprinted from Corrosion Science Volume 31, Sato, N., "An overview on the passivity of metals", page 1. Copyright (1990), with permission from Elsevier.

are network forming oxides or network modifi ers [207, 208]. Examples of network modifi ers include Cu, Fe, and Pt, shown in Figure 9.3-33a , while Si, Al, and Ti are examples of network forming oxides as shown in Figure 9.3-33b. A Cu passive fi lm is composed of Cu/Cu2 O/CuO and, as with most net- work modifi ers; the lower valent oxide is less corrosion-resistant. Network modifi cation is characterized by the formation of a passive oxide fi lm of the highest oxidation state [209]. Fehlner– Mott [207] proposed that the networking forming oxides grow by inward O2 -diffusion forming a dehy- drated and compacted oxide fi lm containing no electrolyte anions. The networking modifi cations grow by outward metal ion diffusion forming an anion-inclusion, less protective fi lm. Transmission electron microscopy [210 ] has indicated that CuOx fi lms form fi rst by oxide islands formation, followed by coalescence. Many of the most effective corrosion inhibitors (surface passivators) are organic compounds containing N-, P- or S-groups in their molecu- lar structure [211 , 212 ]. These heteroatoms are capable of being passivat- ing compounds because they can form coordinate bonds with the metal surface. Such bonding is through the heteroatom’s free electron pair. A review of many of the common Cu inhibitors for acidic and neutral media has been compiled by Subraminia et al. [213 ]. Compounds with π -bonds also show good inhibitive effects because of the possible interaction of the π -orbital with the metal atom orbital [212 ]. 590 Analytical and Control Aspects

9.3.5 Chemical composition of metal-passivated surfaces

9.3.5.1 Copper surfaces

Copper metal has been used for over 8000 years. There has been considerable effort to control the corrosion of this metal ever since it became an important industrial material. The same holds true for corrosion in IC manufacturing since corrosion leads to detrimental effects. HF-post-CMP cleaning solutions have highlighted several possible corrosion effects with isolated µ m Cu lines. These include: photo-illumi- nation, galvanic affects between the Cu and the barrier material, incom- patible types of corrosion inhibitor, and the purity of the Cu metal. Elec- trodeposited Cu can contain residual plating solution additives that can facilitate corrosion processes. Plasma vapor deposited Cu is less prone to this problem. Another important effect is stress-enhanced corrosion due to the difference in thermal expansion coeffi cient between the Cu and the low- k materials [214 ]. There are several conditions that prevent Cu from forming the sim- plest CuO x fi lms. Under normal conditions with low O2 concentrations (<2 mg/L), the Cu/CuO x fi lms will not be corroded in air or H 2 O. In acidic H2 O with a pH of <6 and with O2 > 2 mg/L, the Cu/CuO x will be 2+ attacked forming the Cu ion. If the H 2O has a pH of 6– 8, with a high O 2 content, the Cu surface will accumulate a Cu2 O fi lm, which can give the metal base some protection, but over the long term the metal will be cor- roded. The fi nal condition is with H2 O at pH > 8, and a high O 2 content. Under these conditions the surface will form CuO [215 ]. Another theory [216] is that Cu(OH)2 is the initial fi lm formed in aerated H 2 O. This fi lm is then transformed in a relatively short period of time to the more insoluble Cu 2 O. With suffi cient oxygenated water at pH 4– 9, the CuO fi lm is also formed. Feng et al. have done extensive analytical work using alternating cur- rent (AC) impedance, XPS, SEM [217], weight loss, and potentiody- namic polarization (EIS) [217, 218] to clarify the role of Cu ion diffusion to form oxide fi lms under various pH conditions and their effect on the morphology of the fi nal fi lms. At low pH the Cu corrosion rate is con- trolled by the diffusion of Cu ions from the solution onto the fi lm. At pH > 6 the rate controlling step is the diffusion of the ions within the oxide fi lms. When at pH >12 the oxide fi lm forms a protective monoclinic CuO layer with little ion diffusion. The stability of the Cu(I) or Cu(II) oxide fi lms at pH ∼ 13 are explained by the Cu metal crystal structure 〈 001 〉 or 9: Surface Composition and Morphology, Chabal et al. 591

〈 〉 111 . The Cu 2 O fi lms appear to be more stable when formed on the Cu 〈 111 〉 surfaces [219 ]. Modeling experiments have been done with Cu pipe to understand the corrosion processes in low-fl ow or no-fl ow liquid conditions [220 ] with controlled O2 concentrations. Regardless of the O2 content, under static fl ow conditions, the solutions eventually reach an equilibrium state with little additional Cu corrosion. Carbon dioxide has been used in DI H2 O rinse baths to reduce Al corrosion during intercon- nect cleaning steps. Sobue et al. [221 ] used electrochemical impedance spectroscopy to show that the Cu, unlike Al, has a corrosion rate that is proportional to the concentration of free CO2 . The adsorption mechanisms of reactive species on to metal surfaces can be described by several different isotherms. The Langmuir isotherm adsorption assumes that all substrate sites are equal, a monolayer of adsor- bate completely covers the area, and there is no interaction between the adsorbate molecules. The Langmuir processes are usually used to describe surfactant interfaces. The Frumkin isotherm assume that there can be either attractive or repulse forces between the adsorbate species which will infl uence the degree of coverage. The Temkin isotherm assumes a heterogeneous surface with the chemisorption of the substances being unchanged with only low to medium coverage. This isotherm is usually used to describe adsorption processes on metal surfaces [222 ]. Another way to understand the inhibition process is to look at the pos- sible inhibitor mechanisms [223]. Some inhibitors retard corrosion by forming thin fi lms on the metal, others form bulky precipitates. Other mechanisms include a “ controlled ” corrosion with the adsorption of the corrosion products to form a passive layer. Magnussen [224] published STM data for Cu 〈 111 〉 and 〈 100 〉 crystals in acid solutions with and without BTA (1H-benzotriazole). Atomic-scale defects in the Cu crystals and the infl uence of the electrolyte anions were important in the corro- sion process. Cruikshank et al. [225 ] conducted STM and AFM experiments with polycrystalline Cu fi lm to study the morphology changes when in con- tact with sulfuric acid (H2 SO4 ). They noticed that in the early stages of the dissolution process, with a low potential, that many of the developing features were related to the mechanical or chemical method to prepare the Cu surfaces for the test. One area in the semiconductor industry that has received little inves- tigation is crevice corrosion, which is a localized form of corrosive attack. A concentration cell forms within the crevice area, which is being depleted of O 2 . This differential aeration between the crevice (microenvironment) and the external surface (bulk environment) gives 592 Analytical and Control Aspects the crevice an anodic character. This can contribute to a highly corro- sive condition in the crevice. Marichev [226 ] has noted the anomalous conductivity of aqueous solutions in µ m-size cracks and gaps. If there are voids or gaps between individual Cu grains and/or the Cu/barrier fi lm then corrosion effects are possible. It should be mentioned that many passivating agents are also Cu complexing agents and depending on the solution’s pH and concentration, certain passivating agents can become corrosion agents.

9.3.5.2 Copper oxide surface fi lms Copper is signifi cantly less stable towards corrosion than Al because of the porous nature of its oxide fi lm. The enthalpy for the Cu– O bond is 269 kJ/mol and the Al– O bond is 511 kJ/mol, which indicates the greater instability of Cu toward corrosion as compared to Al. The porous nature of CuO x allows corrosive species to diffuse to the metal surface. The pri- mary passivation fi lms for Cu are the Cu (I) and (II) oxides; Cu2 O, which is known as cuprous oxide, and CuO is called cupric oxide. Unless Cu metal is cleaned in a non-oxidizing acid media, dried and stored in an inert atmosphere, the metal rapidly forms metal oxide fi lms. The oxida- tion state, thickness and hardness are directly dependent on the immediate previous environment (liquid or gaseous) in which the metal resided. Zhou [227 ] studied the initial states of oxide formation on Cu 〈100〉 fi lms with UHV TEM methods at temperatures between 150 and 1000° C. Epitaxial Cu2 O islands were formed and the morphology had either triangular, rod, or pyramid structures depending on the reaction temperature. The results indicated that O2 surface diffusion is an important part of the nucleation of the Cu 2 O islands [228 , 229 ]. The poor passivation performance of Cu makes it more susceptible to corrosion as compared to Al. Copper has another unique problem, the “ photo-induced corrosion” seen on many wafers [230] during Cu CMP or after post-CMP cleaning [231 ]. This process occurs with Cu lines that are connected to the P+ region of a P/N junction. If there is a potential differ- ence of 0.2– 0.5 V with respect to the N+ region in the presence of light, Cu loses an electron forming a metal ion, and the metal line is corroded.

9.3.6 Copper-passivating agents

The morphology of the surface Cu/CuO x fi lm is dependent on the solu- tion pH and the extent the Cu ions diffuse into the oxide. This is important 9: Surface Composition and Morphology, Chabal et al. 593 when developing passivating agents for CMP and post-CMP cleaning chemistries. Currently, the most successful passivation agents involve compounds with N atoms, usually incorporated within a π -electron rich aromatic system. These systems can contain from one to four N atoms bonded through their π -bonds to the metal surface. Other surfactants and acidic compounds have met limited success.

9. 3.6.1 Tetrazole p -electron rich aromatics The tetrazole compound 1-phenyl-5-mercapto-tetrazole, which contains 4 N atoms, as shown in Figure 9.3-34a , has some interesting properties because of the nitrogen and sulfur atoms [232 ]. Several methods, including XPS, AES and high resolution STM were used to examine the inhibition effects of this tetrazole reagent. Compounds of the 3-arylazo 1,2,4-triazole family, also containing four N atoms, shown in Figure 9.3-34b , were found to be effective Cu inhibitors in 0.5 M HNO3 solutions [233 ].

9.3.6.2 Triazole p -electron-rich aromatics During the last 67 years there has been considerable interest in the tri- azole class of compounds, containing 3 N atoms. Molecules such as 1H-benzotriazole (BTAH, C6 H5 N3 ), as shown in Figure 9.3-35a , and toly- triazole (TTA, C7 H7 N3 ), shown in Figure 9.3-35b have received the most attention among these aromatic three-N passivating agents. Tolytriazone is actually a mixture of 4- and 5-methylbenzotriazole [234 ].

Figure 9.3-34 Chemical structures of Cu passivating agents: (a) 1-phenyl-5-mercapto-tetrazole and (b) 3-arylazo 1,2,4-triazole. 594 Analytical and Control Aspects

Figure 9.3-35 Chemical structures of Cu passivating agents: (a) 1H-benzotriazole and (b) 5-methylbenzotriazole.

The general inhibiting mechanism of the 1H-triazole compounds is that they polymerize as a Cu-triazole structure on an oxidized Cu surface. Effec- tive protective fi lms are usually thinner rather than thicker. Thicker protec- tive fi lms are more likely to be disturbed by physical processes, i.e.; high velocity fl uid movement and CMP polishing processes, thereby exposing the underlying surface to corrosion. Under certain conditions, the formation of a thick, multilayered coating has been confi rmed [235]. Other studies [236] indicate that BTA is fi rst adsorbed onto a Cu2 O fi lm followed by polymer- ization to the Cu(I) – BTA complex. Figure 9.3-36 shows the Pourbaix dia- –4 gram for the Cu-BTAH/H 2 O system at 25 ° C and 1 × 10 mol BTAH. BTAH is the protonated form of BTA. The diagram shows that BTAH forms a fi lm with the Cu(I) oxide. Depending on the Cu ion concentrations in solution (1 × 10 –2 to 1 × 10–4 mol), the passivation fi lm can be stable between pH ∼2 and 10. SEM and FTIR spectroscopy examined the morphology of these surfaces with and without BTA. Notoya and co-workers [237 ] have con- ducted SIMS analysis of Cu surfaces treated with BTA under various pH conditions. The data indicated that the positive fragments were composed of + + (Cu 2 (C6 H4 N 3 )) , (Cu3 (C6 H4 N3 )2 ) , etc. Tamilmani [238], determined com- positions with similar results to Notoya’s, using XPS data. Tamilmani believed that the Cu values were distorted by deeper pen- etration of the X-rays into the Cu substrate. His data did indicate that the bulk of the BTA was associated with the cuprous, Cu(I), state. Other stud- ies have used extended X-ray absorption fi ne structure (EXAFS) methods [240 ]. Xu et al. [241], using STM methods, observed that BTA polymer- ized in long, thin irregular rectangles. The morphology of the fi lm became fl atter and smoother, but there were “ groves ” between the BTA poly- meric fi lms, which could be sites of corrosion. Brusic et al. [242 ] have 9: Surface Composition and Morphology, Chabal et al. 595

–4 Figure 9.3-36 Pourbaix diagram for Cu-BTAH/H2O solutions at 1 × 10 mol and 25°C. Adapted from [239]. Reproduced by permission of ECS—The Electrochemical Society from Tromans, D., J. Electrochem. Soc.

done an extensive study of the Cu– BTA with electrochemical methods, in situ ellipsometry, TOF-SIMS and high-temperature mass spectrometry methods to characterize these fi lms. Marsh [234 ] has recently reviewed a number of fi lm characteristics for BTA, TTA, and mixtures of the two inhibitors. Other electrochemical studies have indicated that the TTA and BTA, besides having a dielectric nature [243, 244], also have a hydro- phobic characteristic, which enhance their inhibitor properties. Tamilmani [238] determined the contact angle on bare Cu and a Cu-BTA sample; the θ θ Cu-BTA was hydrophilic compared to the bare Cu: bare Cu = 45 ° , Cu-BTA = 74 ° . Ward et al. [245] compared the performance of benzotriazole and tolyltriazole under similarly controlled test conditions and concluded that tolyltriazole forms very strong, thin, hydrophobic fi lms on Cu. BTA fi lms are somewhat weaker, but are composed of many BTA molecule layers. As with most passivating agents, halide ions can destroy the triazole fi lm’s inhibiting power by penetrating the fi lm. The halide ion effect is inversely related to anion size: Cl– > Br– >> I– . The halide effect is not as pronounced with the thicker BTA fi lms, though the fi lm can eventually fail. Modestov et al. [246], using a variety of electrochemical techniques, showed that unless a proper Cu(I) – BTA fi lm thickness is achieved, Cl– will diffuse through the Cu(I)– BTA layer to form solid CuCl on top of the 596 Analytical and Control Aspects oxide fi lm which destroys the inhibitor properties. Huang [247 ] suggested that Cu metal in Cl– solutions can be better protected if the solution’s pH is ∼8; surfactants had little benefi cial effect for enhancing corrosion pro- tection. A synergistic effect was found when BTA and potassium ethylx- anthate (KEX) were used in a NaCl solution [248]. At a pH of 7– 11 (0.1 M NaCl solution) the BTA – KEX mixture showed good passivation, which was believed to be caused by a more compact passivation layer. Recent XPS studies of Cu – BTA fi lms after chemical mechanical polish- ing [249 ] and immersion for 2 hours at 23 ° C indicated that the fi lm thick- ness was between 25 and 75-Å thick. Marsh [234] using phase-modulated spectroscopic ellipsometer reported that BTA, TTA and a commercial mixture (Cobrate ® 939) of the two inhibitors prepared from 50 to 60 ° C NMP (N -methyl-2-pyrrolidone) solutions, had corresponding fi lm thick- ness of 27, 13 and 6.5 Å , respectively. A number of BTA-derivatives have been studied to understand how side chain groups can infl uence inhibitor performance. Derivatives with pri- mary alkyl side chain groups (C1 to C 12) [250], generally increased pro- tective performance due to the increased hydrophobic effect of the side chains on the metal surface. A variety of analytical methods including EIS, surface enhanced Raman scattering spectroscopy, cyclic voltammetry pho- tocurrent measurements, intensity modulated photocurrent spectrum anal- ysis and laser-scanning photoelectrochemical microscopic methods have been used to study the carboxyl ester side group’s destabilized passivation [251–254]. BTA-derivatives that contained short chain alkyl groups with amino groups [255, 256] had a wider pH range for corrosion inhibition. The amino group could interact with the oxide fi lm while the aliphatic side chains provided better solubility in the solution and hydrophobicity on the oxide layer. Electrochemical and XPS measurements have been used to study these interactions.

9.3.6.3 Imidazole p -electron-rich systems Imidazole, having two N atoms, has been examined as a passivat- ing agent under low pH conditions, with some apparent success [257 ]. Electrochemical methods including AC impedance spectra and XPS indi- cates that it forms a Cu-imidazole fi lm in a 1 M HNO3 solution, which is incorporated in the oxide fi lm. Longer immersion times allowed the N-rich outer layer to grow into the oxide fi lm. Stupnisek-Lisac et al. [258] have done several studies with imidazole derivatives [259 , 260 ] using Tafel plots and weight loss methods. Calculations from Freundlich isotherms indicated that the imidazole 9: Surface Composition and Morphology, Chabal et al. 597 derivatives were physisorbed on the Cu surface. Tolyl-based derivatives showed best passivation in NaCl solution. Other limited work has shown that uracil derivatives [261 ] and dithiouracil had a 98% passivation effi - ciency at 1.0 × 10–3 M concentrations in a 3 wt% NaCl solution. A spe- cial case of the two-N atom system are the short-life time diazonium salts (R-N = N+ ) which have received a limited study for their passiva- tion performance [262].

9.3.6.4 Carbazole p -electron-rich systems Carbazole for one N atom systems, [263 ] forms self-assembled fi lms on Cu in neutral solutions; the molecules are tilted at an angle toward the metal sur- face. The structures were studied by EIS and cyclic voltammetry. Quartone et al. [264] examined both indole-5-carboxylic acid [256] and isatin [259 , 265 , 266 ]. Both compounds form protective fi lms on Cu at pH 1, but there was no comparison of these fi lms with BTA for determining their effectiveness. Normal Tafel methods gave lower corrosion rates than traditional weight loss methods. Cicileo et al. [267] have used XPS, FTIR, and SEM-EDX (energy dispersive X-ray analysis) methods to characterize the polymeric Cu(II)-hyd- roquinoline fi lm. Carbozole, indole and thiazole have received limited exami- nation in the pH 1 – 4 range. The same is true for alkyl substituted thiazoles employing a variety of traditional electrochemical, STM, and AFM methods [268]. In acidic solution in a 0.1 M Na 2 SO4 solution the side chain alkyl groups acted as “ umbrellas ” to protect the oxide fi lm.

9.3.6.5 Other nitrogen systems Other N-based systems have also been examined in the develop- ment of Cu passivation agents. These systems include aromatic amines, hydroxamic acids, oximes, quaternary amines, crown ethers/cryptands and Schiff bases. Ortho-substituted aniline derivatives [269, 270] were evalu- ated with EIS measurements. These compounds did inhibit Cu corrosion in 0.5 M HCl. Their structure correlated with inhibitor effi ciency and could be explained by Temkin adsorption isotherms. Secondary and tertiary aromatic amines composed of N -(2-furfuryl)- p-toluidine in HCl and H 2 SO 4 solutions have also shown corrosion inhibition effects with secondary amines >> tertiary amines [236 , 271 ]. Substitu- tion of halogen-, nitro- or methyl-groups in the 5-position increased the inhibitor effect with Br – having the most positive effect. The Cl – in the HCl solution still had a detrimental effect on the inhibitor fi lm. 598 Analytical and Control Aspects

Alkyl hydroxamic acids, depending on the length of the alkyl chains, will either promote Cu dissolution or be inhibitors. Acetohydroxamic acid is the simplest alkyl hydroxamic acid and appears to solubilize Cu in solu- tions of pH 3 – 9. Octylhydroxamate (C8 ) is a strong Cu chelator and has been reported to be a good inhibitor in acidic solutions [236 ]. Tamilmani et al. have demonstrated that salicylhydroxamic acid (SHA) could infl u- ence the morphology of Cu during CMP at pH 3 – 5 [272 ] and O’Brien et al. [273] suggested that these complexes are often polymeric fi lms. XPS data [238 ] indicated that SHA forms a (Cu – C7 H7 NO3 ) complex, but unlike the Cu– BTA complex which uses the cuprous, Cu(I), state, the SHA com- plex appears to form with the cupric, Cu(II), state. Salicylhydroxamic acid appears to a very hydrophilic surface (qbare Cu = 45 ° , qCu-SHA = >5 ° ) [238 ]. The morphology of Cu surfaces after treatment with alkyl hydroxamic acids has been examined by surface visualization, contact angle measure- ments and electrochemical methods [274 ]. The morphology of aromatic oximes (salcilaldoxime and benzoinoxime) in neutral NaCl solutions was measured by SEM, FTIR and XPS methods. The Cu surface forms an oxide fi lm, which is then coated with a polymeric Cu(II) – inhibitor complex. The Cu dissolution mechanism depends on the chelating effect of Cu(II) ions close to the Cu surface and the blocking action of the polymeric surface fi lm [275 ]. Ma et al. [276 ] have studied the interactions of certain quaternary amines, cetyltrimethylammonium bromide and tetramethylammonium bromide, with Cu fi lms in dilute H2 SO4 solutions using EIS potentiodynamic polar- ization. Their data propose that the Cu surface is covered with adsorbed Br – with the quaternary amine electrostatically adsorbed on to the surface. + At low concentrations the C 16 H33 N(CH3 )3 ions are adsorbed thorough a horizontal bonding between the hydrophobic hydrocarbon chains, but at higher concentrations the molecules are “ stacked ” perpendicularly to the metal surface.

9. 3.6.6 Surfactants and acids as passivating agents Chloride ions readily attack and pit many metal surfaces and Cu is no exception. Protecting the Cu surface from chloride (Cl– ) attack requires adsorbed groups that can sterically hinder the approach of the halogen ion to the metal surface. Surfactants have been used in limited studies [277 ] and unlike the π -electron rich systems, the hydrophilic end of the surfactant molecule must chemically interact with the CuO x fi lm or at least have an electrostatic attraction to the metal oxide surface. Villami et al. [278] determined that sodium dodecylsulfate in a dilute H2 SO 4 9: Surface Composition and Morphology, Chabal et al. 599 solution promoted a synergistic effect with BTA on a stationary Cu surface. A reduced inhibition effect was detected under hydrodynamic conditions. Ma et al. [279] have compared several common surfactants (sodium dodecylsulfate, sodium oleate, and polyoxyethylene sorbitan mono- oleate) with cetyltrimethylammonium bromide. Although the surfac- tants did reduce Cu corrosion through electrostatic or chemisorption, the quaternary amine was the most effective. In situ STM and cyclic voltammetry methods showed that the crown ether diazo-15-crown-5 [280] adsorbed on Cu surfaces, but there was no comparison data with other inhibitors. Oxalic acid [281 ], phosphates [282 –284 ] (at pH values of 2.1, 7.2, and 12.3), chromates and silicate solutions have received some attention in addition to organic polymers acrylic, aspartic and acrylamides, etc. [204 ]. Many of these systems are Cu inhibitors because they form insoluble Cu salts on the metal surface. Other acidic compounds including mono- and di-carboxylic acids (decanoic, undecanoic, sorbacic acids, and n -lauroyl- sarcosine) at 1.0 × 10 –2 M concentrations had short-term inhibition effects in NaCl solutions [285 ]. The benzoate ion appears to interact with the metal surface through the carboxylic acid group with a Cu– O bond length of 1.91 Å [286 ]. Carter and Small [287 ] conducted galvanostatic measurements, using an electrochemical CMP tool, XPS, surface adsorption and four-point probes measurements, revealed surface passivation layers with benzo- triazole, ammonium salicylate, maleic acid, and malonic acid. Measure- ments conducted in hydroxylamine sulfate solutions containing 250 ppm of BTAH at pH = 3.5 exhibited bi-layer formation during polishing with associated passivation rates of 0.313 and 0.00427 second –1. Similar rates were measured for ammonium salicylate and maleic acid. At pH = 7.5 only one fi lm layer was detected for each of these compounds.

9.3.7 Contamination of copper-passivated surfaces The contamination of the Cu after CMP can be composed of three dif- ferent species, each can affect product yield and reliability. These resid- ual species include inhibitors (BTHA, triazols, etc.), surfactants from the CMP process [249] and slurry particles (silica or to a lesser extent, alumina particles). Residual chemicals (oxidizers, HF, etc.) can promote galvanic corrosion between the barrier layers and the Cu fi lms. Various adsorbed ions can affect clean Cu surfaces. A recent study by Hou- rani and Wedian [288] with 1 × 10–2 M solutions of Zn, Sn, S, I, F, Ta, Sb, Ti, 600 Analytical and Control Aspects

Bi, and Cr ions attempted to determine whether these adsorbed species will modify the electronic states of the Cu surface, thereby affecting the corrosion rates: All the elements, except Zn, increased Cu corrosion to some degree.

9.3.8 Aluminum-passivated surfaces Aluminum metal in the IC industry is used as an electrical intercon- nect and the features are formed through a subtractive (etching) process. The Al fi lms are usually formed by PVD methods such as sputtering, often with an underlying Ti/TiN diffusion barrier layer and sometimes with a top anti-refl ective layer of TiN. The fi lms have generally a good conformal coverage with low roughness. Deposited Al fi lms are prone to form hillocks, but including additives that have limited solubility in Al, or depositing capping layers of W, Ti, or TiN, can control these defects. Electromigration within the Al lines can be a problem; meth- ods to control electromigration include adding Cu (0.5 – 4 wt%) or Ti (0.1 – 0.5 wt%) to the Al fi lm. Plasma etching then forms the desired metal pattern followed by a cleaning step (liquid- or plasma-based) to remove post-etching residues. Once the clean Al surfaces are exposed to air, O2 , or aqueous media there is a rapid formation of an oxide fi lm that retards the corrosion. Aluminum that has been exposed to boiling H2 O forms a duplex layer, with an inner layer of Al2 O3 and outer layer of aluminum hydroxides, Al(OH) x . The hydroxide fi lm consists of a fi brous outer layer which is a poorly crystallized boehmite, Al 2 O3 · xH 2 O ( x = 2–2.7) [289 , 290 ]. The two-layer fi lm concept has also been pro- posed by Gnoinski et al. [291] based on quartz crystal microbalance, electrochemical and XPS, SIMS, and AES surface data. Their fi lms were formed at a pH 5.9 in buffered acetate solutions at room temperature. The AlO x fi lm is quite resistant to corrosion except under very acidic, caustic and other special conditions (Cl– corrodes the metal). Wafers that have signifi cant quantities of Cl– or F – and are exposed to humid air may experience metal corrosion. Microscopy examination (SEM and AFM) of Al surfaces in a 1 M HCl solution [292 , 293 ] reveals patches of active corrosion (1– 10 nm) and that the desorption of the Cl – controls the rate of passivation of the oxide/hydroxide-coated metal fi lms. Because of the AlO x passivation fi lms, little work has been done to develop an extensive list of corrosion inhibitors. Tested surfactant quaternary amines were not very effective at retarding Al corrosion in high pH solutions, but electrochemical data indicate that quaternary amines have inhibiting effects at or near the critical micelle concentration. Oxalate and phosphates can form insoluble Al salts. 9: Surface Composition and Morphology, Chabal et al. 601

Branzoi et al. [294] determined with SEM data that surfactant quaternary amines, such as trimethyl-(R-)ammonium bromide where R = dodecyl-, tetradecyl- and hexadecyl-, were not very effective in retarding Al corrosion in high pH solutions. Electrochemical data had implied that quaternary amines had inhibiting effects at or near the critical micelle concentration. These compounds did have some effect in controlling the diffusion of H + ions to the metal surface. Park et al. [295 ] showed that a 〈 002〉 Ti structure under-layer produced a stronger Al 〈111 〉 texture with a more uniform grain size distribution, bet- ter EM lifetime than Al fi lms deposited on collimated Ti under layers. Much of the information about the morphology of passivation fi lms on metals is determined by ex situ surface analysis methods. Landolt et al. [296 ] published a review of recent AES, XPS, and SIMS results for a num- ber of passivation fi lms on metals and alloys.

9. 3.9 Contamination of passivated aluminum surfaces A clean Al surface exposed to air or aqueous media rapidly forms oxide fi lms that retard possible corrosion. Aluminum forms duplex passivation layers with an inner layer of Al2 O3 and an outer layer of Al(OH) x (based on quartz crystal microbalance, electrochemistry, XPS, SIMS, and AES sur- face data). These AlO x fi lms are quite resistant to corrosion effects except under very acidic or caustic and other special conditions (Cl– ). Microscopy examination (SEM and AFM) of Al surfaces in acidic Cl– solutions show areas of active corrosion, the rate of Cl– desorption controlling the rate of forming any oxide/hydroxide passivation fi lms. In IC production Al metallization is usually capped with a TiN anti- refl ective layer. The pattern etching is typically formed with a Cl-based gas mixed with other gases in a plasma environment. At the conclusion of the etching process a F-based gas may be introduced to exchange a portion of the Cl– in the post-etching residue to reduce corrosive effects. The concentration of these halides ions within the residue fi lm can infl u- ence the stability of an Al metal line. Corrosion tunneling is the general mode of pit propagation of Al in Cl – solutions [297, 298]. At room temperature, pitting usually results in a roughened surface; only at very low Cl – concentrations or in the presence of inhibitors, gross pits may be formed. Similar growth forms are observed at room temperature in solutions saturated with respect to AlCl 3 . The tiny linear tunnels appear to move at a lower rate as compared to the rela- tively large and fi r-tree-shaped tunnels at lower Cl– concentrations. These 602 Analytical and Control Aspects

fi r-tree-shaped tunnels often grow with vaulted fronts, but adopt a tapered, crystallographic form before they stop. As previously noted, in the semiconductor industry various concentra- tion of Cu in the Al alloy has been added to alleviate electromigration, corrosion and hillock problems. Al-Kharafi et al. [298 ] have used XPS data to show that Cu in Al alloys tends to segregate at the surface. Scan- ning electron microscopy showed that the locations with high Cu initiate corrosion processes. The Al/Cu theta phase is responsible for localized galvanic corrosion. Vermilyea et al. [299, 300] examined the anion effect at 1.0 × 10 –3 M concentration by impedance measurements, and classifi ed anions into four groups depending on their ability to inhibit the formation of the hydrated layer:

– – – – – – 2– – 1. BO 2 , MnO 4 , ClO 4 , ClO 3 , BrO 3 , NO 3 , NO 2 , Cl , and 2– CO 3 cause no inhibition. – 2– 2– 2– 2– 2. IO3 , SO 4 , SeO 4 , GeO 4 , CrO 4 , citrate, and oxalate cause moderate inhibition. 4– 2– 2– 3. SiO 4 , WO4 , and IO4 cause strong inhibition. 3– 4. PO4 causes an extremely strong inhibition on the metal surface.

Schwabe et al. [301] have shown that anhydrous H 2 SO4 in dimethyl for- mamide (DMF) solvent can form a very strong inhibiting fi lm when com- pared to the standard Al2 O3 layer. In the DMF solution an insoluble fi lm of aluminum sulfate, Al2 (SO4 )3 , is formed which inhibits any corrosion processes.

9.4 Cleaning and Passivating Porous Surfaces (Low-k )

9.4.1 Overview of porous surfaces The need for optimized IC performance has accelerated the develop- ment of low-k materials for Cu interconnect technology. Low-dielectric constant (low- k) materials offer better inter-cell RC (resistive-capacitance) time delay and along with the Cu metal enables a higher speed circuitry. Silicon dioxide (SiO2 ) has a dielectric constant of about 4.0, whereas low- k materials have a dielectric constant of less then 4.0. The semiconductor ≈ industry has adopted fl uorinated SiO 2 (FSG, k 3.4) for the 130-nm node, carbon doped oxides (CDO, k ≈ 2.7 – 2.9) for the 90-nm node, and will 9: Surface Composition and Morphology, Chabal et al. 603 possibly adopt porous low- k materials (k ≈ 2.5 or below) for the 45-nm node and beyond. There are two generally accepted methods for depositing low- k materials: either using plasma-enhanced CVD (PECVD) or by spin-on deposition. The spin-on deposition method has the ability to introduce a high degree of uniform porosity, allowing the fi lms to reach a k value of ∼ 2.0, but adhesion problems at the various interfaces have delayed adoption of many spin-on materials. SiLKTM , manufactured by Dow is polyphenylene polymer and had some initial successes as a low-k spin-on fi lm. At the 90-nm node the current preferred method is PECVD for CDO fi lms, also referred to as SiCO fi lms due to the chemical composi- tion. These fi lms have a dielectric constant of ∼ 2.8 – 2.6 and contain a Si – O-backbone with organic side groups, usually methyl groups. The dielectric constant of the CDO fi lms can only be reduced to ∼ 2.5 with additional carbon-based groups. For the 45-nm technology node the k value is target to be 2.5 – 2.4, indicating that the fi lms must be porous. This is accomplished by incorporating nano-scale porosity [302 ]. Figure 9.4-37 is a diagram showing the effect of decreasing the low- k value by increasing the carbon content (for example, compare FSG versus SiLK TM or by increasing the porosity of the fi lm (for example, compare HSQ, hydrogen silsesquioxane, versus airbridges). The challenge of introducing low- k materials is not the lack of candidates, but the time to integrate them into current IC production.

SIO2 SOG Silicates SOG Siloxanes 4

SIOF Increasing porosity (FSG) Polymides

Hydrogen Fluoropolymers 3 silsesquioxanes Methyl (Ethoxy) Silsesquioxanes (Flare1, PAE) (FoX. HSSO) (8C1,2,3, Corel, Aurora HOSP) Porous polymers (SiLK, BCB, Flare2) 2

Dielectric constant Aerogels nanoporous silica Air bridges 1 (Nanoglass)

0 Si Rich Carbon rich

Figure 9.4-37 Diagram of the relationship between low-k values, chemical composition and porosity. Used with permission from Robert J. Small. 604 Analytical and Control Aspects

9.4.2 Chemical composition, structure, and morphology of porous surfaces The structures of low-k fi lms range from hydrophilic with a Si – O- based backbone to porous hydrophobic (void volume ∼ 1 – 10 nm) fi lms with a high percentage of C-containing groups with little Si. A structure in which air is the insulator to form an air space between the metal interconnects would yield a k value of 1.01. In general, PECVD CDO fi lms contain a Si– O-based backbone with organic side groups (methyl, ethyl, etc.) where the dielectric constant can only be reduced to ∼ 2.5 by addition of these organic groups. The CDO fi lm precursor used for TM forming AMAT’s Black Diamond is (CH3 )3 SiH, while Novellus’s TM TM Coral is formed using ( – SiH(CH3 )O – )4 . ASM’s Aurora is composed TM of (CH 3 )2 (CH3 O)2 Si and Air Products’ DEMS is (CH 3 CH2)2 (CH3 ) OSi [303 , 304 ]. The as-deposited CDO fi lms are hydrophobic; losing the hydrophobic nature indicates that the C-containing moieties are abstracted from the dielectric fi lm rendering it with a higher k value. Loss of methyl or ethyl groups from the Si – O-backbone makes the fi lms more hydrophilic, raising the dielectric value and promoting moisture contamination. Dielectric fi lms with a k value of <2.6 are made porous by incorporating nano-scale (<20 nm) voids of air (k = 1.01). Major problems of incorporat- ing voids into the low- k material are reducing Young’s modulus, hardness and thermal compatibility. These effects can weaken the fi lm’s adhesion and cause defects during CMP and wet cleaning [305 ]. Spin-on deposited fi lms can be fabricated from organic polymers or silsequioxanes and CVD fi lms which can be composed of C- or F-doped SiO x. There have been reports of template sol-gel silicas [306, 307]. Incor- porating H- or alkyl-containing groups and introducing chemical bonds with low dipolar moments that can lower the dielectric constants of these materials. Such methods normally yield fi lms with k values of ∼ 2.5 but, as with the PECVD CDO fi lms the mechanical strength is signifi cantly reduced. The Materials and Engineering section at NIST has used several com- plementary experimental methods to characterize low-k fi lm pore and matrix morphology with nonporous voids [302, 305]. Lee’s group stud- ied a variety of methods for preparing porous hydrogen silsesquioxane low- k fi lms [302 ]. Structural parameters were correlated with the chemi- cal bond structure using FTIR, X-ray refl ectivity and small-angle neutron scattering methods. 9: Surface Composition and Morphology, Chabal et al. 605

9.4.3 Contamination issues associated with porous surfaces There are numerous challenges when using low-k fi lms. Mechanical strength and thermal compatibility are major problems and the organic component may lead to contamination of the interconnects causing poor adhesion of the fi lms to the metal surface. During post-etch and CMP cleaning the CuO and any remaining Cu inhibitor material must be removed to ensure good adhesion. Also, any Cu on the surface of the low- k material must be removed to prevent formation of a leakage path. Residual contamination can also cause poor electromigration control and an increase in via resistance. It is a challenge to remove Cu species and remaining Cu inhibitor without affecting the dielectric material since wet chemicals can diffuse into the pores and cause subsequent damage due to incorporation of H2 O. Also, plasma stripping and cleaning pro- cess can cause abstraction of the C-containing moieties, increasing the dielectric constant. Integrated circuit manufacturers would prefer an all-dry process to strip the resist and clean post-etch residues. Current plasma stripping tools can remove much of the organics in the post-etch residue, but have only lim- ited success in removing inorganic contamination. One major challenge is the elimination of traces of remaining F, introduced by etching and wet cleaning, within porous low-k fi lms [308 ]. The adhesion promoters used with spin-on organic low-k fi lms are especially prone to attack by F – spe- cies which can lead to bubbling or delaminating of the fi lm [309 ]. Wet cleaning is still important for removing inorganic post-etch resi- dues, especially Cu species that have been sputtered on the via and trench sidewalls during etching. Porous low-k fi lms present a challenge during wet cleaning since wet chemicals and rinse water can enter the pores and cause subsequent damage. Such open-pore structures may require a dielec- tric barrier to seal the pores between the low-k fi lm and the barrier layer. A conformal CVD or ALD capping layer is formed or the plasma stripping may be modifi ed to seal the porous structure. The as-deposited CDO fi lms are hydrophobic and after etching, strip- ping, CMP and cleaning need to remain hydrophobic. If C-containing groups (methyl- or ethyl-) are removed, then the fi lm becomes hydrophilic through the formation of Si – OH groups, resulting in higher k values and moisture contamination. A possible secondary defect is via poisoning when silicate materials and residual wet cleaning outgas after depositing the barrier fi lm at high temperatures [310 ]. 606 Analytical and Control Aspects

The formation of “ watermark ” defects on low- k surfaces must be avoided because they affect adhesion and reliability. These are seen on CDO fi lms after the fi nal DI H 2 O rinse of post-CMP cleaning. During the polishing process some of the terminal alkyl groups of the low-k fi lm (Si– R) are converted to Si– OH groups. Water droplets react with them in the presence of O 2 during the fi nal rinse and drying to form silicic acid spe- cies (H x SiO 4 ). Subsequently, during the fi nal drying step, hydrated silica is precipitated on the low- k fi lm, thus forming the watermark [311 ]. Small et al. [312] showed that most of the water marks on CoralTM wafers are related to non-optimized rinsing and drying procedures. Rapid ramping-up (<2000 rpm) of the spin-drying for single wafer processor in a very short time period (5 – 10 seconds) does not allow all residual water to drain from the wafer. In the presence of air and heat lamps, silicic acid residues formed. Using buffered post-CMP chelating cleaning solutions and low wafer spin rates (20 up to 300 rpm) signifi cantly reduced water marks on CoralTM from 1072 to 283 LPD (light point defects) with only a buffered rinse at a pH 7.5 before the fi nal DI H2 O rinse. Ravkin et al. [313 ] studied the interactions of residual surfactants from CMP slurries on CVD low-k fi lms. If the surfactant was rinsed off before drying, then part of the fi lm would become hydrophobic and defects, pos- sibly watermarks, were quite evident. The use of brush cleaning during the fi nal rinse signifi cantly increased the number of defects. He suggested that using vapor dryers, such as the Rotagoni dryer, would be preferred to spin rinse dryers for the fi nal rinse/drying step. Chapter 4 discusses the various drying methods and their effect on defectivity. Tamboli et al. [314 ] came to similar conclusions concerning residual surfactants. Chen et al. [315], while studying the effect of oxidizing plasma treat- ments on organo-silicate glass (OSG) low-k fi lms, noted the formation of watermarks after rinsing and drying. They identifi ed that some defects were caused by the DI H 2O nozzle and also the high spin rates of the wafer during the drying step.

References

1. The International Technology Roadmap for Semiconductors, ITRS, Near- Term and Long-Term, Interconnect, Semiconductor Industry Association, Austin, TX (2006) 2. Gardner, D. S., Meindl, J. D., and Saraswat, K. C., IEEE Trans. Electron Device, 34:633 (1987). 3. Sze, S. M., Physics of Semiconductor Devices (2nd edition), Wiley, New York, New York (1987). 9: Surface Composition and Morphology, Chabal et al. 607

4. Kern, W., J. Electrochem. Soc., 137:1887 (1990). 5. Buck, T. M., and McKim, F. S., J. Electrochem. Soc., 105:709 (1958). 6. Ohmi, T., Miyashita, M., and Imaoka, T., Proc. of the Microcontamination Meeting, p. 491, San Jose, California (1991). 7. Hahn, P. O., and Henzler, M., J. Appl. Phys., 52:4122 (1981). 8. Heyns, M., Hasenack, C., De Keersmaecker, R., and Falster, R., Proc. of the 1st Int. Symp. on Cleaning Technology in Semiconductor Device Manufac- turing (Ruzyllo, J., and Novaks, R. E. eds.), 90-99:293, The Electrochemical Society, Pennington, NJ (1990). 9. Atalla, M. M., Tannenbaum, E., and Scheibner, E. J., Bell Syst. Tech. J., 38:749 (1959). 10. Pantelides, S. T., ed., The Physics of SiO2 and its Interfaces, Pergamon Press, New York, NY (1978). 11. Nicollian, E. H., and Brews, J. R., MOS (Metal Oxide Semiconductors) Physics and Technology, Wiley-lnterscience, New York, NY (1982). 12. Grunthaner, F. J., Maserjian, J., IEEE Trans. Nucl. Sci., 24:2108 (1977). 13. Ourmazd, A., and Bevk, J., The Physics and Chemistry of SiO2 and the Si- SiO2 Interface (Helms, C. R., and Deal, B. E., eds.), p. 189, Plenum Press, New York, NY (1988). 14. Kern, W., and Puotinen, D., RCA Rev., 31:187 (1970). 15. Deal, B. E., and Kao, D.-B., Proc. of the 1986 Tungsten and other Refractory Metals for VLSI Applications II (Broadbent, E. K., ed.), Materials Research Society, Pittsburgh, PA (1987). 16. Mikata, V., Inoue, T., Takasu, S., Usami, T., Ohta, T., and Hirano, H., Proc. of the 1st Symp. on Si Molecular Beam Epitaxy (Beans, J. C., ed.), p. 45, Pennington, NJ (1990). 17. Ogawa, H., Terada, N., Sugiyama, K., Moriki, K., Miyata, N., Aoyama, T., Sugino, R., Ito, T., and Hattori, T., Appl. Surf. Sci., 56-58:836 (1992). 18. Sugiyama, K., Igarashi, T., Moriki, K., Nagasawa, V., Aoyama, T., Sugino, R., Ito, T., and Hattori, T., Jpn. J. Appl. Phys., 29:L2401 (1990). 19. Yablonovitch, E., and Gmitter, T. J., Diagnostic Techniques for Semicon- ductor Materials and Devices (Shaffer, T. J., and Schroeder, D. K., eds.), 88-20:207, The Electrochemical Society, Pennington, NJ (1988). 20. Kiselev, A. V., and Lygin, V. I., Infrared Spectra of Adsorbed Apecies (Little, L. H., ed.), p. 213, Academic Press, New York, NY (1966). 21. Onsia, B., Caymax, M., Conard, T., DeGendt, S., DeSmedt, F., Dalabie, A., Gottschalk, C., Green, M., Heyns, M., Lin, S., Mertens, P., Tsai, W., and Vinckier, C., Solid State Phenomema, 103-104:19 (2004). 22. Jakob, P., and Chabal, Y. J., J. Chem. Phys., 95:2897 (1991). 23. Schaefer, J. A., Frankel, D. J., Stucki, F., Gopel, W., and Lapeyre, G. J., Surf. Sci., 139:L209 (1984). 24. Nagasawa, Y., Ishida, H., Takayagi, T., Ishitani, A., and Kuroda, H., Solid State Electron., 33:129 (1990). 25. Hahn, P. O., and Henzler, M. J., J. Vac. Sci. Technol., A2:574 (1984). 26. Hahn, P. O., Grundner, M., Schnegg, A., and Jacob, H., The Physics and Chemistry of SiO2 and the Si-SiO2 Interface (Helms, C. R., and Deal, B. E., eds.), p. 401, Plenum Press, New York, NY (1988). 27. Schnegg, A., Lampert, I., and Jacob, H., Electrochemical Society Extended 608 Analytical and Control Aspects

Abstracts, 85–91:394, The Electrochemical Society, Pennington, NJ (1985). 28. Ourmazd, A., Taylor, D. W., Rentschler, J. A., and Bevk, J., Phys. Rev. Lett., 59:213 (1987). 29. Green, M. P., Hanson, K., and Higashi, G. S. (unpublished). 30. Mishima, H., Yasui, T., Mizuniwa, T., Abe, M., and Ohmi, T., IEEE Trans. Semiconductor Manuf., 2:69 (1989). 31. Miyashita, M., Itano, M., Imaoka, T., Kawanabe, I., and Ohmi, T., Technical Digest of the 1991 Symp. on VLSI Technology, p. 45, Oiso, Japan (1991). 32. Ohmi, T., Kotani, K., Teramoto, A., and Miyashita, M., IEEE Electron Dev. Lett., 12:652 (1991). 33. Ohmi, T., Miyashita, M., Itano, M., Imaoka, T., and Kawanabe, I., IEEE Trans. Electron Dev., 39:537 (1992). 34. Verhaverbeke, S., Meuris, M., Mertens, P. W., Heyns, M. M., Philipossian, A., Graf, D., and Schnegg, A., Proc. Int. Electron Devices Meeting, p. 71 (1991). 35. Gibson, J. M., Lanzerotti, M. Y., and Elser, V., Appl. Phys. Lett., 55:1394 (1989). 36. Morita, M., Ohmi, T., Hasegawa, E., Kawakami, M., and Suma, K., Appl. Phys. Lett., 55:562 (1989). 37. Sunada, T., Yasaka, T., Takakura, M., Sugiyama, T., Miyazaki, S., and Hi- rose, M., Ext. Abstracts of the Conf On Solid State Devices and Materials, p. 1071, Sendai, Japan (1990). 38. Heyns, M., Hasenack, C., De Keersrnaeker, R., and Falster, R., Microelec- tron Eng., 10:235 (1991). 39. Ohsawa, A., Honda, K., Takizawa, R., Nakanishi, T., Aoki, M., and Toyoku- ra, N., Semiconductor Silicon (Huff, H. R., and Barraclough, K. G., eds.), 90-7:601, The Electrochemical Society, Pennington, NJ (1990). 40. Murrell, M., Sofi eld, C., Sugden, S., Verhaverbeke, S., Heyns, M. M., Welland, M., and Golen, B., Proc. Silicon Ultra-Clean Processing Work- shop, Oxford, England (1991). 41. Henderson, R. C., J. Electrochem. Soc., 119:772 (1972). 42. Ishizaka, A., Nakagawa, K., and Shiraki, Y., Second Int. Symp. on MBE and Clean Surface Related Techniques (Uedas, R., ed.), p. 183, Tokyo, Japan (1982). 43. Vig, J. R., J. Vac. Sci. Technol., A3:1027 (1985). 44. Kasi, S. R., Liehr, M., and Cohen, S., Appl. Phys. Lett., 58:2975 (1991). 45. Beckmann, K. H., Surf. Sci., 3:314 (1965). 46. Harrick, N. J., and Beckmann, K. H., Characterization of Solid Surfaces (Kane, P. F., and Larrabee, G. B., eds.), p. 243, Plenum Press, New York, NY (1974). 47. Ubara, H., Imura, T., and Hiraki, A., Solid State Comm., 50:673 (1984). 48. Imura, T., Mogi, K., Hiraki, A., Nakashima, S., and Mitsuishi, A., Solid State Comm., 40:161 (1981). 49. Miyasato, T., Abe, Y., Tokumura, M., Imura, T., and Hiraki, A., Jpn. J. Appl. Phys., 22:L580 (1983). 50. Trucks, G. W., Raghavachari, K., Higashi, G. S., and Chabal, Y. J., Phys. Rev. Lett., 65:504 (1990). 51. Raider, S. I., Flitsch, R., and Palmer, M. J., J. Electrochem. Soc., 122:413 (1975). 9: Surface Composition and Morphology, Chabal et al. 609

52. Licciardello, A., Puglisi, O., and Pignataro, S., Appl. Phys. Lett., 48:41 (1988). 53. Weinberger, B. R., Deckman, H. W., Yablonovitch, E., Gmitter, T., Kobasz, W., and Garoff, S., J. Vac. Sci. Technol., A3:887 (1985). 54. Shinn, N. D., Morar, J. F., and McFeely, F. R., J. Vac. Sci. Technol., A2:1593 (1984). 55. Weinberger, B. R., Peterson, G. G., Eschrich, T. C., and Krasinski, H. A., J. Appl. Phys., 60:3232 (1986). 56. Kern, W., RCA Rev., 31:207 (1970). 57. Yablonovitch, E., Allara, D. L., Chang, C. C., Gmitter, T., and Bright, T. B., Phys. Rev. Lett., 57:249 (1986). 58. Grunthaner, F. J., and Grunthaner, P. J., Mat. Sci. Rep., 1:65 (1986). 59. Grunthaner, P. J.; Grunthaner, F. J.; Fathauer, R. W.; Lin, T. L.; Hecht, M. H.; Bell, L. D.; Kaiser, W. J., Thin Solid Films, 183:197 (1989). 60. Grundner, M., and Jacob, H., Appl. Phys. A Solids Surf., 39:73 (1986). 61. Grundner, M., and Schulz, R., AIP Conf. Proc. No 167 (Rubloff, G. W., and Lucovskys, G., eds.), 167:329, New York, NY (1988). 62. Graf, D., Grundner, M., and Schulz, R., J. Vac. Sci. Technol., A7:808 (1989). 63. Takahagi, T., Nagai, I., Jshitani, A., Kuroda, H., and Nagasawa, Y., J. Appl. Phys., 64:3516 (1988). 64. Fenner, D. B., Biegelsen, D. K., and Bringans, R. D., J. Appl. Phys., 66:419 (1989). 65. Burrows, V. A., Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Christ- man, S. B., Appl. Phys. Lett., 53:998 (1988). 66. Chabal, Y. J., Higashi, G. S., Raghavachari, K., and Burrows, V. A., J. Vac. Sci. Technol. A, 7:2104 (1989). 67. Dumas, P., and Chabal, Y. J., Chem. Phys. Lett., 181:537 (1991). 68. Dumas, P., and Chabal, Y. J., J. Vac. Sci. Technol., A10:2160 (1992). 69. Judge, J. S., J. Electrochem. Soc., 118:1772 (1971). 70. Novak, R. E., Solid State Technology 31(3):39 (1988). 71. Higashi, G. S., Chabal, Y. J., Trucks, G. W., and Raghavachari, K., Appl. Phys. Lett., 56:656 (1990). 72. Watanabe, S., Nakayama, N., and Ito, T., Appl. Phys. Lett., 59:1458 (1991). 73. Hahn, P. O., Mat. Res. Soc. Symp. Proc., 54:645 (1986). 74. Jakob, P., Chabal, Y. J., and Raghavachari, K., Chem. Phys. Lett., 187:325 (1991). 75. Dumas, P., Chabal, Y. J., and Higashi, G. S., Phys. Rev. Lett., 65:1124 (1990). 76. Kobayashi, H., Edamoto, K., Onchi, M., and Nishijima, M., J. Chem. Phys., 78:7429 (1983). 77. Froitzheim, H., Kohler, U., and Lammering, H., Surf. Sci., 149:537 (1985). 78. Dumas, P., Chabal, Y. J., and Jakob, P., Surf. Sci., 269-270:867 (1992). 79. Jakob, P., Chabal, Y. J., and Dumas, P., Appl. Phys. Lett., 59:2968 (1991). 80. Chabal, Y. J., Mat. Res. Soc. Symp. Proc., 259:349 (1992). 81. Higashi, G. S., Becker, R. S., Chabal, Y. J., and Becker, A. J., Appl. Phys. Lett., 58:1656 (1991). 82. Hessel, H. E., Feltz, A., Reiter, M., Memmert, U., and Behm, R. J., Chem. Phys. Lett., 186:275 (1991). 610 Analytical and Control Aspects

83. Becker, R. S., Higashi, G. S., Chabal, Y. J., and Becker, A. J., Phys. Rev. Lett., 65:1917 (1990). 84. Kim, Y., and Lieber, C. M., J. Am. Chem. Soc., 113:1917 (1990). 85. Hines, M. A., Intl. Rev. Phys. Chem., 20:645 (2001). 86. Miglio, L., Ruggerone, P., Benedek, G., and Colombo, L., Phys. Scr., 37:768 (1988). 87. Doak, R. B., Chabal, Y. J., Higashi, G. S., and Dumas, P., J. Electron Spectr. Rel. Phenom., 54–55:291 (1990). 88. Stuhlmann, C., Bogdanyi, G., and Ibach, H., Phys. Rev., B45:6786 (1992). 89. Guyot-Sionnest, P., Dumas, P., Chabal, Y. J., and Higashi, G. S., Phys. Rev. Lett., 64:2156 (1990). 90. Becker, R. S., Swarzentruber, B. S., Vickers, J. S., and Klitsner, T., Phys. Rev., B39:1633 (1989). 91. Wade, C. P., and Chidsey, C. E. D., Appl. Phys. Lett., 71:1679 (1997). 92. Garcia, S. P., Bao, H., Manimaran, M., and Hines, M. A., J. Phys. Chem., B106:8258 (2002). 93. Ogura, A., J. Electrochem. Soc., 138:807 (1991). 94. Chabal, Y. J. (unpublished). 95. Holmes, P. J., The Electrochemistry of Semiconductors (Holmes, P. J., ed.), p. 329, Academic Press, London, England (1962). 96. Garcia, S. P., Bao, H., and Hines, M. A., Phys. Rev. Lett., 93:166102 (2004). 97. Seidel, H., Csepregi, L., Heuberger, A., and Baumgartel, H., J. Electrochem. Soc., 137:3626 (1990). 98. Jakob, P., Chabal, Y. J., Raghavachari, K., Becker, R. S., and Becker, A. J., Surf. Sci., 275:407 (1992). 99. Hines, M. A., Ann. Rev. Phys. Chem., 54:29 (2003). 100. Hines, M. A., Chabal, Y. J., Harris, T. D., and Harris, A. L., J. Chem. Phys., 101:8055 (1994). 101. Huang, Y.-C., Flidr, J., Newton, T. A., and Hines, M. A., Phys. Rev. Lett., 80:4462 (1998). 102. Frank, M. M., Chabal, Y. J., Materials Fundamentals of Gate Dielectrics (Demkov, A. A., and Navrotsky, A., eds.), p. 367, Springer, Berlin (2005). 103. Henderson, M. A., Surf. Sci. Rep., 46:308 (2002). 104. Watanabe, S., and Sugita, Y., Surf. Sci., 327:1 (1995). 105. Watanabe, S., and Sugita, Y., Appl. Surf. Sci., 107:90 (1996). 106. Garcia, S. P., Bao, H. L., and Hines, M. A., Surf. Sci., 541:252 (2003). 107. Tada, T., and Yoshimura, R., Phys. Lett., 220:224 (1996). 108. Imai, T., Kurioka, Y., Nagataki, N., Okuyama, M., and Hamakawa, Y., Appl. Surf. Sci., 113:398 (1997). 109. Morita, M., Ohmi, T., Hasegawa, E., Kawakami, M., and Ohwada, M., J. Appl. Phys., 68:1272 (1990). 110. Ogawa, H., Ishikawa, K., Inomata, C., and Fujimura, S., J. Appl. Phys., 79:472 (1996). 111. Vanderzwan, M. L. W., Bardwell, J. A., Sproule, G. I., and Graham, M. J., Appl. Phys. Lett., 64:446 (1994). 112. Cerofolini, G. F., and Meda, L., J. Non-Cryst. Solids, 216:140 (1997). 113. Hess, G., Russell, M., Gong, B., Parkinson, P., and Ekerdt, J. G., J. Vac. Sci. Technol., B15:1129 (1997). 114. Yamamoto, K., and Hasegawa, M., J. Vac. Sci. Technol., B12:2493 (1994). 9: Surface Composition and Morphology, Chabal et al. 611

115. Westermann, J., Nienhaus, H., and Mönch, W., Surf. Sci., 311:101 (1994). 116. Kawamura, K., Ishizuka, S., Sakaue, H., and Horiike, Y., Jpn. J. Appl. Phys., 30:3215 (1991). 117. Niwano, M., Kageyama, J., Kurita, K., Kinashi, K., Takahaski, I., and Miya- moto, N., Appl. Surf. Sci., 101:431 (1996). 118. Stewart, M. P., and Buriak, J. M., J. Am. Chem. Soc., 123:7821 (2001). 119. Mitchell, S. A., J. Phys. Chem., B107:9388 (2003). 120. Ye, S., Saito, T., Nihonyanagi, S., Uosaki, K., Miranda, P. B., Kim, D., and Shen, Y.-R., Surf. Sci., 476:121 (2001). 121. Hattori, T., Aiba, T., Iijima, E., Okube, Y., Nohira, H., Tate, N., and Kataya- ma, M., Appl. Surf. Sci., 104/105:323 (1996). 122. Hattori, T., and Nohira, H., Fundamental Aspects of Silicon Oxidation (Cha- bal, Y. J., ed.), p. 61, Springer, Berlin (2001). 123. Kato, K., Kajiyama, H., Heike, S., and Hashizume, T., Uda, T., Phys. Rev. Lett., 86:2842 (2001). 124. Esteve, A., Djafari Rouhani, M., Faurous, P. and Esteve, D., MRS Sympo- sium Proceedings Ultrathin SiO2 and High K Materials for ULSI Gate Dielectrics, (Huff, H.R., Green, M.L., Hattori, T., Lucovsky, G., and Richter, C.A., eds.), 567:213, Material Research Society, Pittsburg, PA (1999). 125. Sakata, K., Tachibana, A., Zaima, S., and Yasuda, Y., Jpn. J. Appl. Phys., 37:4962 (1998). 126. Niwano, M., Kageyama, J., Kurita, K., Kinashi, K., Takahashi, I., and Miya- moto, N., J. Appl. Phys., 76:2157 (1994). 127. Miura, T., Niwano, M., Shoji, D., and Miyamoto, N., Appl. Surf. Sci., 101:454 (1996). 128. Miura, T., Niwano, M., Shoji, D., and Miyamoto, N., J. Appl. Phys., 79:4373 (1996). 129. Henrion, W., Rebien, M., Angermann, H., and Röseler, A., Appl. Surf. Sci., 202:199 (2002). 130. Hersam, M. C., Guisinger, N. P., Lyding, J. W., Thompson, D. S., and Moore, J. S., Appl. Phys. Lett., 78:886 (2001). 131. Liu, Y. J., Waugh, D. M., and Yu, H. Z., Appl. Phys. Lett., 81:4967 (2002). 132. Zhang, X., Garfunkel, E., Chabal, Y. J., Christman, S. B., and Chaban, E. E., Appl. Phys. Lett., 79:4051 (2001). 133. Chabal, Y. J., and Raghavachari, K., Phys. Rev. Lett., 54:1055 (1985). 134. Chabal, Y. J., Weldon, M. K., Caudano, Y., Stefanov, B. B., and Raghavachari, K., Physica, B274:152 (1999). 135. Raghavachari, K., Jakob, P., amd Chabal, Y., J. Chem. Phys. Lett., 206:156 (1993). 136. Zhang, X., A Study of Silicon Oxidation and Nitridation using Surface Infra- red Spectroscopy, Rutgers University, New Brunswick, NJ (2002). 137. Zhang, X., Chabal, Y. J., Christman, S. B., Chaban, E. E., and Garfunkel, E., J. Vac. Sci. Technol., A19:1725 (2001). 138. Sanderson, R. T., Name of Book, Academic Press, New York, NY (1976). 139. Yagi, Y., Imaoka, T., Ksama, Y., Ohmi, T., IEEE Trans. Semiconductor Manuf., 5:121 (1992). 140. Takagi, N., Minami, T., Furukawa, T., and Nishijima, M., Surf. Sci., 297:L43 (1993). 141. Takami, S., Egashira, Y., and Komiyama, H., Jpn. J. Appl. Phys., 36:2288 (1997). 612 Analytical and Control Aspects

142. Kim, Y. B., Tuominen, M., Raaijmakers, I., de Blank, R., Wilhelm, R., and Haukka, S., Electrochem. Sol. State Lett., 3:346 (2000). 143. Zaïbi, M. A., Sébenne, C. A., and Lacharme, J. P., Surf. Rev. Lett., 8:25 (2001). 144. Akagi, K., and Tsukada, M., Thin Solid Films, 344:397 (1999). 145. Picaud, S., and Girardet, C., Surf. Sci., 258:210 (1991). 146. Halls, M. D., and Raghavachari, K., J. Chem. Phys., 118:10221 (2003). 147. Zhang, R. Q., Lu, W. C., and Lee, S. T., Appl. Phys. Lett., 80:4223 (2002). 148. Frank, M. M., Chabal, Y. J., and Wilk, G. D., Appl. Phys. Lett., 82:4758 (2003). 149. Queeney, K. T., Weldon, M. K., Chang, J. P., Chabal, Y. J., Gurevich, A. B., Sapjeta, J., and Opila, R. L., J. Appl. Phys., 87:1322 (2000). 150. Frank, M. M., Chabal, Y. J., and Wilk, G. D., Mat. Res. Soc. Symp. Proc., 745:41 (2003). 151. Weldon, M. K., Stefanov, B. B., Raghavachari, K., and Chabal, Y. J., Phys. Rev. Lett., 79:2851 (1997). 152. Yu, B.-G., Arai, E., Nishioka, Y., Ohji, Y., Iwata, S.,and Ma, T. P., Appl. Phys. Lett., 56:1430 (1990). 153. Yota, J., and Burrows, V. A., Mat. Res. Soc. Symp. Proc., 204:7369 (1991). 154. Graf, D., Grundner, M., Schulz, R., and Muhlhoff, L., J. Appl. Phys., 68:5155 (1990). 155. Graf, D., Grundner, M., Muhlhoff, L., and Dellith, M., J. Appl. Phys., 69:7620 (1991). 156. Morita, Y., Miki, K., and Tokumoto, H., Appl. Phys. Lett., 59:1347 (1991). 157. Wolf, S., Silicon Processing for the VLSI Era, p. 674, Lattice Press, Sunset Beach, California (2004). 158. Thiery, F., Pauleau, Y., and Ortega, L., J. Vac. Sci. Technol., A22:30 (2004). 159. Huang, H. C., Wei, H. L., Woo, C. H., and Zhang, X. X., Appl. Phys. Lett., 82:4265 (2003). 160. Bae, J. W., Lim, J. W., Mimura, K., and Isshiki, M., Mater. Trans., 45:877 (2004). 161. Andricacos, P. C., Electrochem. Soc. Interface, 7:23 (1998). 162. Kruglikov, S. S., Am. Electroplate Sur. Finishes, 56:46 (1994). 163. Sek, M., and Trzaska, M., E-Mat. Res. Soc., Symposium I, Material Re- search Society (2004). 164. Grujicic, D., and Pesic, B., Electrochim. Acta, 47:2901 (2002). 165. Das, I., Mishra, S. S., Agrawal, N. R., and Gupta, S. K., J. Indian Chem. Soc., 80:351 (2003). 166. Latt, K. M., Lee, K., Osipowicz, T., and Lee, Y. K., Mat. Sci. Eng., B83:1 (2001). 167. Vas’ko, V. A., Tabakovic, I., and Riemer, S. C., Electrochem. Sol. State Lett., 6:C100 (2003). 168. Lingk, C., Gross, M. E., Brown, W. L., Siegrist, T., Coleman, E., Lai, W. Y. C., Miner, J. F., Ritzdorf, T., Turner, J., Gibbons, J., Klawuhn, E., Wu, G., and Zhang, F., Proc. Advanced Metallization Conference, 514:293 (1998). 169. Lingk, C., Gross, M. E., and Brown, W. L., Appl. Phys. Lett., 74:5 (1999). 170. Vanasupa, L., Joo, Y. C., Besser, P. R., and Pramanick, S., J. Appl. Phys., 85:2583 (1999). 9: Surface Composition and Morphology, Chabal et al. 613

171. Zienert, I., Besser, P., Blum, W., and Zschech, E., Proc. Mat. Res. Soc. Symp., p. 672 (2001). 172. Kang, S. Y., and Lee, D. N., Materials Science Forum, Textures of Materials, Parts 1 & 2, 408:895 (2002). 173. Lee, H., Chakrabarti, K., and Lee, C., Jpn. J. Appl. Phys., 41:7476 (2002). 174. Kondo, K., and Murakami, H., J. Electrochem. Soc., 151:C514 (2004). 175. Graham, L., Steinbruchel, C., and Duquette, D. J., J. Electochem. Soc., 149:C390 (2002). 176. Read, D. T., Cheng, Y. W., and Geiss, R., Microelectron Eng., 75:63 (2004). 177. Hu, M. H., Noda, S., Okubo, T., Yamaguchi, Y., and Komiyama, H., J. Appl. Phys., 94:3492 (2003). 178. Kondo, K., Matsumoto, T., and Watanabe, K., J. Electrochem. Soc., 151:C250 (2004). 179. Cerisier, M., Van Haesendonck, C., and Celis, J.-P., J. Electrochem. Soc., 146:1829 (1999). 180. Fricoteaux, P., and Douglade, J., J. Mater. Sci. Lett., 21:1485 (2002). 181. Park, B. N., and Choi, S. Y., Inter. J. Mod. Phys., B17:1261 (2003). 182. Cho, J. Y., and Szpunar, J. A., Materials Science Forum, Textures of Materi- als, Parts 1 & 2, 408:1609 (2002). 183. Ernur, D., Iacopi, F., Caronell, L., Struyf, H., and Maex, K., Microelectron Eng., 70:285 (2003). 184. Balakumar, S., Wong, G., Tsang, C. F., Hara, T., and Yoo, W. J., Microelec- tronic Eng., 75:183 (2004). 185. Joo, Y. C., Hwang, S. J., and Park, H., Materials Science Forum, Thermec 2003, Parts 1–5, 426:3481 (2003). 186. Peng, B., Cai, M., Li, G., and Wu, X. J., Nanostructur. Mater., 4:475 (1994). 187. Joulaud, M., Omnes, L., Mourier, T., Mayer, D., and Doppelt, P., Extended Abstracts of the 203rd Electrochemical Society Meeting, The Electrochemi- cal Society, Pennington, NJ (2003). 188. Maverick, A. W., James, A. M.; Fan, H.; Isovitsch, R. A.; Stewart, M. P., Azene, E., and Cygan, Z., T. Source: ACS Symposium Series, 727:100 (1999). 189. Ecke, R., Riedel, S., Schultz, S. E., Gessner, T., Lipp, E., and Eizenburg, M., Extended Abstracts of the 203rd Electrochemical Society Meeting, The Electrochemical Society, Pennington, NJ (2003). 190. Kang, S.-W., Yum, J.-Y., and Rhee, S.-W., J. Electrochem. Soc., 149:C33 (2002). 191. Alver, U., J. Mat. Eng. Perform., 11:622 (2002). 192. Choi, K. K., Yun, J. H., and Rhee, S. W., Thin Solid Films, 429:225 (2003). 193. Vazquez, L., Albella, J. M., Salvarezza, R. C., Arvia, A. J., Levy, R. A., and Perese, D., Appl. Phys. Lett., 68:1285 (2001). 194. Zhang, D. H., Loh, S. W., Li, C. Y., Foo, P. D., and Xie, J., Surf. Rev. Lett., 8:533 (2001). 195. Huo, J. S., Solanki, R., and McAndrew, J., J. Mater. Res., 17:2394 (2002). 196. Shivashankar, S., Mane, A., and Dagur, P., ISTC 2004 Meeting (Shivashan- kar, S., Mane, A., and Dagurs, P., eds.), Shanghai, China (2004). 197. Van Zant, P., Microchip Fabrication (Van Zant, P., ed.), p. 407, McGraw Hill, New York, NY (2000). 198. Wolf, S., Silicon Processing of the VLSI Era, 2:264, Sunset Beach, Califor- nia (1990). 614 Analytical and Control Aspects

199. Wolf, S., Silicon Processing of the VLSI Era, 2:270, Sunset Beach, Califor- nia (1990). 200. Pilippenko, V. A., Malofeev, V. M., Ponomar, V. N., Mikhnyuk, A. N., and Borzdov, V. M., J. Eng. Phys. Thermophys, 76:858 (2003). 201. Levy, R. A., and Green, M. L., J. Electrochem. Soc., 134:37 (1987). 202. Van Vechten, J. A., Corrosion Sci., 31:39 (1990). 203. Frankenthal, R., Corrosion Sci., 31:59 (1990). 204. Griffi n, G. L., J. Electrochem. Soc., 131:18 (1984). 205. Magnussen, O., Mat. Res. Soc. Spring Meeting, H6/M6, Material Research Society, (2000). 206. Sato, N., Corrosion Sci., 31:1 (1990). 207. Fehlner, F. P., and Mott, N. F., Oxidation Met., 2:59 (1970). 208. Barr, T. L., J. Phys. Chem., 82:1801 (1978). 209. Ohtsuka, T., Masuda, M., and Sato, N., J. Electrochem. Soc., 132:787 (1985). 210. Yang, J. C., Kolasa, B., and Gibson, J. M., Appl. Phys. Lett., 73:2841 (1998). 211. Clubby, B. G., Chemical Inhibitors for Corrosion Control (Clubbys, B. G. ed.), p. 141, Cambridge (1990). 212. Sputnik, E., and Ademovic, Z., 8th European Symposium on Corrosion In- hibitors (Ferrara, N. S., and Sezs, V., eds.), p. 257 (1995). 213. Subramania, A., Kalyana, N. T., Sundaram, S., Priya, R., Muralidharn, V. S., and Vasudevan, T., Bull. Electrochem., 20:49 (2004). 214. Fyen, W., Teerlink, I., and Logrange, S., Solid State Phenomena, 76:195 (2001). 215. Olipant, R. J., Foundation for Water Research, p. 10, Bucks, UK (2003). 216. Olipant, R. J., Foundation for Water Research, p. 9, Bucks, UK (2003). 217. Feng, Y., Teo, W. K., Siow, K. S., Tan, K. L., and Hsieh, A. K., Corrosion Sci., 38:387 (1996). 218. Feng, Y., Siow, K. S., Teo, W. K., Tan, K. L., and Hsieh, A. K., Corrosion, 53:389 (1997). 219. Kunze, J., Maurice, V., Klein Strehblow, H. H., and Marcus, P., Corrosion Sci., 46:245 (2004). 220. Merkel, T. H., Water Sci. Technol., 49:63 (2004). 221. Sobue, K., Sugahara, A., Nakata, T., Imai, H., and Magaino, S., Surf. Coat- ings Tech., 169:662 (2003). 222. Yeung, K. L., Dept. of Chem. Eng. (website) (Yeung, K. L., ed.) Hong Kong University (2002). 223. Lichtenstein, J., Mater. Performance, 42:62 (2003). 224. Magnussen, O. M., and Behm, R. J., EUROCORR (European Corrosion Congress), 227:339 (1999). 225. Cruikshank, B. J., Gewirth, A. A., and Rynders, R. C., J. Electrochem. Soc., 139:2829 (1993). 226. Marichev, V. A., Prot. Met., 39:106 (2003). 227. Zhou, G. W., and Yang, J. C., High Temp. Corrosion Prot. Mater., 461:183 (2004). 228. Zhou, G. W., and Yang, J. C., Surf. Sci., 559:100 (2004). 229. Zhou, G. W., and Yang, J. C., Appl. Surf. Sci., 222:357 (2004). 230. Homma, Y., Kondo, S., Sakuma, N., Hinode, K., Noguchi, N., Ohashi, H., Yamaguchi, H., and Owada, N., J. Electrochem. Soc., 147:1193 (2000). 9: Surface Composition and Morphology, Chabal et al. 615

231. Beverina, A., Bernard, H., Palleau, J., Torres, J., and Tardif, F., Electrochem. Sol. State Lett., 3:156 (2000). 232. Szocs, E., Bako, I., Kosztolanyi, T., Bertoti, I., and Kalman, E., Electrochim. Acta, 49:1371 (2004). 233. Madkour, L. H., A., E. M., and Ghoneim, M. M., Monatsh. Chem., 126:1087 (1995). 234. Marsh, J., Pearson, R., Strickland, B., Moore, J., and Raghavan, S., Semiconductor Pure Water and Chemicals Conference (Balazs, M. K., ed.), p. 155 (2005). 235. Poling, G. W., Corrosion Sci., 12:359 (1970). 236. Ling, Y., Guan, Y., and Han, K. N., Corrosion, 51:367 (1995). 237. Notoya, T., Satake, M., Ohtsuka, T., Sato, M., and Schweinsberg, D. P., J. Corrosion Sci. Eng., 6:C076 (2003). 238. Tamilmani, S., Dept. Materials Science and Eng., University of Arizona (2005). 239. Tromans, D., J. Electrochem. Soc., 145(3):L42 (1998). 240. Pizzini, S., Roberts, K. J., Dring, I., Oldman, R. J., and Greaves, G. N., 2nd European Conf. on Progress in X-Ray Synchrotron Radiation Research (Bal- erna, A., Bernieri, E., and Mobilios, S., eds.), 25:525 (1990). 241. Xu, C. C., He, Z. H., and Ng, W. Y., Chin. J. Chem. Eng., 10:450 (2002). 242. Brusic, V., Frisch, M. A., Eldridge, B. N., Noval, F. P., Kaufman, F. B., Rush, B. M., and Frankel, G. S., J. Electrochem. Soc., 138:2253 (1991). 243. Metikos-Hukovic, M., Babic, R., and Paic, I., J. Appl. Electrochem., 30:617 (2000). 244. Yu, P., Liao, D. M., Luo, Y. B., and Chen, Z. G., Corrosion, 59:314 (2003). 245. Ward, E. C., Foster, A. L., Weidner, I. C., and Glaser, D. E., Corrosion, 04079:1 (2004). 246. Modestov, A. D., Zhou, G. D., Wu, Y. P., Notoya, T., and Schweinsberg, D. P., Corrosion Sci., 36:1931 (1994). 247. Huang, C. H., Plating Surf. Finishing, 73:96 (1986). 248. Gonzalez, S., Laz, M. M., Salvarezza, R. C., and Arvia, A. J., Corrosion, 49:450 (1993). 249. Peters, D. W., Bartosh, K., Naghshshineh, S., and Walker, E., Solid State Technol., 47:47 (2004). 250. Tommesani, L., Brunoro, G., Frignani, A., Monticelli, C., and Dal Colle, M., Corrosion Sci., 39:1221 (1997). 251. Huynh, N., Bottle, S. E., Notoya, T., Trueman, A., Hinton, B., and Sch- weinsberg, D. P., Corrosion Sci., 44:1257 (2002). 252. Bartley, J., Huynh, N., Bottle, S. E., Flitt, H., Notoya, T., and Schweinsberg, D. P., Corrosion Sci., 45:81 (2003). 253. Xu, Q. J., and Zhou, G. D., Trans. Nonferrous Met. China, 13:1226 (2003). 254. Wang, B., Zhou, G. D., Zhang, W. Y., Satake, M., Notoya, T., and Schweins- berg, D. P., J. Electrochem. Soc., 70:166 (2002). 255. Penninger, J., Wippermann, K., and Schultze, J. W., Werkst. Korros., 38:649 (1987). 256. Qafsaoui, W., Blanc, C., Pebere, N., Srhiri, A., and Mankowski, G., J. Appl. Electrochem., 30:959 (2000). 257. Lee, W., Mat. Sci. Eng., A348:217 (2003). 616 Analytical and Control Aspects

258. Stupnisek-Lisac, E., Lisica, M., and Reichenbach, D., Plating Surf. Finish- ing, 88:90 (2001). 259. Otmacic, H., and Stupnisek-Lisac, E., EUROCORR 2001 (European Corro- sion Congress), p. 7 (2001). 260. Stupnisek-Lisac, E., Zivkovic, S., and Gasparac, R., 9th European Sympo- sium on Corrosion Inhibitors, 2:567 (2000). 261. Hammouti, B., and Kertit, S., Corrosion Sci., 45:1619 (2003). 262. Hurley, B. L., and McCreely, R. L., J. Electrochem. Soc., 151:B252 (2004). 263. Wang, C. T., Chen, S. H., Ma, H. Y., and Qi, C. S., J. Appl. Electrochem., 33:179 (2003). 264. Quartarone, G., Zingales, A., Bellomi, T., Bortolato, D., and Capobianco, G., 9th European Symposium on Corrosion Inhibitors, 2:673 (2000). 265. Quartarone, G., Zingales, A., and Bellomi, T., Convegno 5th Symposium on Electrochemical Impedance Spectroscopy, 17-22:311 (2001). 266. Quartarone, G., Zingales, A., and Bellomi, D., Corrosion Sci., 45:715 (2003). 267. Cicileo, G. P., Rosales, B. M., Varela, F. E., and Vilche, J. T., Corrosion Sci., 40:1915 (1998). 268. Vastag, G., Szocs, E., Shaban, A., and Kalman, E., EUROCORR 2001 (European Corrosion Congress), p. 9 (2001). 269. Khaled, K. F., and Hackerman, N., Electrochim. Acta, 49:485 (2004). 270. Stupnisek-Lisac, E., Kopjar, D., and Mance, A. D., Bull. Electrochem., 14:10 (1998). 271. Stupnisek-Lisac, E., Brnada, A., and Mance, A. D., Corrosion Sci., 42:243 (2000). 272. Tamilmani, S., Huang, W., Ragahvan, S., and Small, R., Diffusion and De- fect Data Part B, 92:271 (2003). 273. O’Brien, E. C., Le Roy, S., Levaillian, J., Fitzgerald, D. J., and Nolan, K. B., Inorg. Chim. Acta, 266:117 (1997). 274. Telegdi, J., Rigo, T., and Kalman, E., Corr. Eng. Sci. Tech., 39:65 (2004). 275. Rosales, B. M., Cicileo, G. P., Varela, F. E., and Vilche, J. R., Corrosion Sci., 41:1359 (1999). 276. Ma, H., Chen, S., Zhao, S., Liu, X., and Li, D., J. Electrochem. Soc., 148:B482 (2001). 277. Wei, Z., Somasundaran P., and Duby, P., J. Electrochem. Soc., 151:B304 (2004). 278. Villami, R. F. V., Cordeiro, G. G. O., Matos, J., D’Elia, E., and Agostinho, S. M. L., Mat. Chem. Phys., 78:448 (2002). 279. Ma, H., Chen, S., Yin, B., Zhao, S., and Liu, X., Corrosion Sci., 45:867 (2003). 280. Wang, D., Xu, Q. M., Wan, L. J., Wang, C., and Bai, C. L., Surf. Sci., 489:L568 (2001). 281. Baah, C. A., and Baah, J. I., Anticorrosion Methods Mater., 47:105 (2000). 282. Kilincceker, G., Yazici, B., Yilmaz, A. B., and Erbil, M., Br. Corrosion J., 37:23 (2002). 283. Drogowska, M., Menard, H., and Brossard, L., J. Electrochem. Soc., 139:2787 (1992). 284. Edwards, M., Hidmi, L., and Gladwell, D., Corrosion Sci., 44:1057 (2002). 9: Surface Composition and Morphology, Chabal et al. 617

285. Zucchi, F., Trabanelli, G., and Grassi, V., 9th European Symposium on Cor- rosion Inhibitors, 2:591 (2000). 286. Pascal, M., Lamont, C. L. A., Kittel, M., Hoeft, J. T., Terbog, R., Polcik, M., Kang, J. H., Toomes, R., and Woodruff, D. F., Surf. Sci., 492:285 (2001). 287. Carter, M. K., and Small, R., J. Electrochem. Soc., 151:B563 (2004). 288. Hourani, M., and Wedian, F., Corrosion Sci., 42:2131 (2000). 289. Takahashi, H., Yamaki, M., and Furuichi, R., Corrosion Sci., 31:243 (1990). 290. Burleigh, T. D., Mater. Sci. Forum, 185:447 (1995). 291. Gnoinski, J., Crundwell, F. K., and Orchard, S. W., Mater. Sci. Forum, 185:667 (1995). 292. Tak, Y., Hebert, K. H., and Henderson, E. R., Symp. Oxide Films Met. Alloys, 92:127 (1992). 293. Tak, Y., and Hebert, K. H., Symp. Oxide Films Met. Alloys, 92:251 (1992). 294. Branzoi, V., Branzoi, F., Pilan, L., and Baibarac, M., EUROCORR (Euro- pean Corrosion Congress), 227:28 (1999). 295. Park, Y. B., Lee, D. W., Ryu, H. H., and Lee, W., J. Electron. Mater., 30:1569 (2001). 296. Landolt, D., Schmultz, P., and Mathieu, H. J., Mater. Sci. Forum, 185:313 (1995). 297. Baumgartner, M., Kaesche, H., Corrosion Sci., 31:231 (1990). 298. Al-Kharafi , F. M., Badawy, W. A., El-Azab, A. S., Industrial Corrosion and Corrosion Control Technology (Shalaby, H. M., ed.), p. 417, Kuwait Instit. of Sci. Research, Kuwait (1996). 299. Vedder, W., and Vermilyea, W. D. A., Trans. Faraday Soc., 64:561 (1968). 300. Vermilyea, D. A., and Vedder, W., Trans. Faraday Soc., 66:2644 (1970). 301. Schwabe, K., Hekrmann, S., and Berthold, F., Corrosion Sci., 23:261 (1983). 302. Lee, H., Lin, E. K., Wang, H., Wu, W., Chen, W., and Moyer, E. S., Chem. Mater., 14:1845 (2002). 303. McCoy, M., Chemical & Eng. News, p. 18 (June 28, 2004). 304. Lin, E. K., Lee, H. J., Wu, W. L., and O’Neill, M. L., Appl. Phys. Lett., 81:607 (2002). 305. Lee, H. J., Lin, E. K., Wu, W. L., Fanconi, B. F., Liou, H. C., Lan, J. K., Cheng, Y. L., Feng, M. S., Wang, Y. L., and Chao, C. G., J. Electrochem. Soc., 148:F195 (2001). 306. Mansur, H. S., Vasconcelos, W. L., Lenza, R. S., Orefi ce, R. L., Reis, E. F., and Lobato, Z. P., J. Non-Cryst. Solids, 273:109 (2000). 307. Thim, G. P., Oliviera, M. A. S., Oliviera, E. D. A., and Melo, F. C. L., J. Non- Cryst. Solids, 273:124 (2000). 308. Peters, L., Semiconductor Int., 25:23 (2002). 309. Archer, L., Henry, S.-A., and Hatcher, Z., 204th Electrochemical Society Meeting (2003) 310. Peters, L., Semiconductor International, 22(11):20 (1999). 311. Watanabe, M., Hamano, M., and Harazono, M., Mater. Sci. Eng. B, 4:401 (1989). 312. Small, B., Shang, C., and Scott, B., 2nd Inter. Surface Cleaning Workshop, Boston, MA (2003). 618 Analytical and Control Aspects

313. Ravkin, M., 8th International CMP-MIC Conference, (Chens, I., ed.), p. 246, Marina Del Rey, California (2003). 314. Tamboli, D., Extended Abstracts of the 204th Electrochemical Society Meet- ing, The Electrochemical Society, Pennington, NJ (2003). 315. Chen, I., 8th International CMP-MIC Conference (Chens, I., ed.), p. 36, Marina Del Rey, California (2003). 10 Ultratrace Impurity Analysis of Wafer Surfaces

Steven M. Hues Freescale Semiconductor, Inc., Austin, TX, USA

Luke Lovejoy Freescale Semiconductor, Inc., Austin, TX, USA

10.1 Introduction

10.1.1 Analytical measurement of silicon surfaces Production of semiconductor devices involves exposing wafers to a wide range of environments during a multitude of production steps. Each of these steps may deposit unintentional contamination upon on the wafer surface, detrimentally impacting device performance. As revenue is only generated from devices operating within relatively narrow performance windows, any such impact of contamination on performance must be minimized. With shrinking device dimensions, contamination-monitoring programs are becoming an increasingly critical requirement of any Si processing facility. Effective contamination monitoring programs have several metrol- ogy requirements that must be met in order to adequately support state- of-the-art device fabrication. The metrology must be able to quantify or qualitatively identify the contamination, that is, to determine the chemical identity of the contaminant. It must be able to quantitate the contaminant, either in an absolute (the exact number of atoms or molecules present) or in a relative sense (relative to some accepted “ standard ” value). For the majority of process control applications, a relative measurement is completely adequate and is much easier to obtain. Absolute quantitation is generally required only when comparisons to other facilities employ- ing different metrology techniques or to contamination level guidelines,

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 619–657 © 2008 William Andrew Inc.

619 620 Analytical and Control Aspects such as those defi ned in the International Technology Roadmap for Semi- conductors (ITRS) [1 ]. The metrology used for a particular analysis must be sensitive enough to detect contamination below the levels that impact device performance.

10.1.2 Common properties of contamination in the semiconductor environment Contamination of interest to Si processing may be divided into several broad categories. The broadest classifi cation is inorganic and organic con- taminants. Measurement of inorganic contamination is further subdivided. Elemental analysis, by far the most common, is when the concentration of an element is measured, regardless of its chemical state; e.g., the concen- tration of Fe is quantifi ed, in units of atoms/cm2 , whether it is present as 0 Fe , FeO, Fe 2 O3 , or Fe(OH) 2. The second broad classifi cation of inorganic analysis is the measurement of distinct chemical compounds. An example is the measurement of nitride as ammonia, NH3 , as distinct from other N-containing species, such as Si3 N4 . Because organic compounds possess both a diverse chemical nature and a limited diversity of atoms, metrology is focused on specifi c chemi- cal compounds or a limited class of compounds (such as amines, or alkyl hydrocarbons). Exceptions to this might include the measurement of total organic carbon on pre-gate oxide wafers, where a broad range of organic compounds may pyrolyze in the gate furnace and react with the Si sub- strate to form detrimental SiC islands [ 2 ]. Additionally, metrology may determine if the contamination is present as a relatively homogenous fi lm or as discrete islands or particles, as such information may be useful in identifying and eliminating the source of the contamination. Contamination may be transferred from various sources to a wafer through a wide range of modes as discussed in Chapter 2. Any variation in contamination levels must be detected and examined, as even an inad- vertent reduction in existing levels may not necessarily be benefi cial. An example of this would be constant boron contamination from degrada- tion of borosilicate particle fi lters. This may result in a background doping level in the device active region that was compensated for by counter- doping during production recipe optimization. If the B-doping levels were substantially reduced, for example by replacement of the fi lter with fl uo- ropolymer-based media, the counter-doping would now be excessive and result in yield loss. Therefore, it is imperative that the baseline contamina- tion levels for all species of concern be known and constantly monitored. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 621

10.1.3 General selection criteria for ultratrace metrology The selection of the analytical technique to apply to a given situation is greatly dependent upon the information required. A valuable approach to take is to defi ne the question that needs to be answered. If that question to be considered is “ What is the level of elemental contamination on a daily monitor wafer out of a set of several nominally clean diffusion furnaces?” The appropriate metrology would be vapor phase decomposition/induc- tively coupled plasma– mass spectroscopy (VPD/ICP– MS) with its ability to quickly analyze the entire wafer surface with extremely low detection limits for a wide range of elements. If the need were to examine the edge (within 200 µ m) of a wafer surface with 10 9 atoms/cm 2 detection limits for Li, Al, P, and Cr, then time of fl ight– secondary ion mass spectroscopy (TOF–SIMS) with its high lateral resolution and low detection limits over the majority of the periodic table would be the most suitable choice. Ele- mental identifi cation of 0.5-µ m diameter particles distributed over a wafer would be the forte of Auger electron spectroscopy (AES) with its ability to perform survey image scans using secondary electron emission followed by ultrahigh lateral resolution elemental analysis. Some general guidelines on the selection of which technique to use are given below:

• For fast and simple elemental analysis of transition ele- ments with detection limits on the order of 10 10 atoms/cm2 , perform a 3– 5-spot analysis using total refl ection X-ray fl uorescence (TXRF). A caveat is that inhomogeneous con- tamination may be missed when it does not lie within one of those analyzed areas, as shown in Figure 10.1-1. If full wafer analysis is needed for these elements, use VPD sam- ple preparation with subsequent TXRF of the resulting spot. The detection limits will also be lower, due to the concen- trating effect of the droplet scanning. • If full wafer analysis with 108 atoms/cm 2 detection limits is needed, perform VPD/ICP-MS. • If elemental particle analysis is required, use AES or TOF– SIMS. EDS (energy dispersive X-ray analysis) may be used, but be aware that for small particles (<5 µ m diameter), the majority of the analytical signal is coming from outside of the particle itself. • If chemical state information is needed, e.g., to determine if

the contamination is Fe, FeO, Fe2 O3 , or Fe(OH) 2, X-ray pho- toelectron spectroscopy (XPS) is the technique of choice. It has lower spatial resolution and is not as rapid as AES, but 622 Analytical and Control Aspects

Figure 10.1-1 Spot analyses techniques may miss inhomogenous contamination.

provides chemical state information and is less destructive than AES when analyzing polymers. • If there is organic contamination, TOF–SIMS is the tech- nique of choice. Attenuated transmitted refl ectance – Fourier transfer infrared spectroscopy (ATR–FTIR) may also be helpful if a suffi cient amount of the material is present. • To determine the nature and amount of volatile contamination deposited on the entire wafer surface from the ambient, use thermal desorbtion followed by gas chromatography– mass spectrometry (GC – MS) analysis of the desorbed species.

There are several stages in the selection and performance of wafer surface analysis:

1. Pre-Planning: Planning should be based on discussions with the requestor as to the scope of their analytical needs and the detection limits needed to address their contamination issue. Purity of required chemicals and materials required for the analysis must also be considered at this stage. Availability of certifi ed standard materials, if required, must be addressed. 2. Sample Collection and Storage: Appropriate sampling times and methods of collection must be determined. Collection protocols and sample storage issues must be considered. 3. Sample Preparation: This stage must be considered care- fully with respect to the purity levels and cleanliness required to address the customer’s needs. Chemical purity and preparation techniques must be adequate to ensure no contamination is added during sample preparation. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 623

4. Sample Measurement: Stable, reliable and accurate meth- ods must be employed. Any spectral or other matrix inter- ferences must be determined and re-mediated. 5. Calculation and Reporting of Data: The error limitations of the chosen analytical technique need to be considered, relative to the customer’s error tolerances.

10.1.4 Advantages and limitations of surface contamination measurement techniques The limitations inherent in ultratrace metrology techniques must be considered when selecting an appropriate analytical technique and may be considered along two broad categories, sensitivity and spatial resolu- tion. The sensitivity of the technique to the analyte of interest determines if the technique may detect the particular species at all and, if so, whether it can be detected with a suffi cient level of sensitivity. Spatial resolution requirements determine whether the technique can measure the analyte with the required lateral and depth resolution. When analyzing a single 0.1- µ m diameter particle using a whole wafer method, such as full wafer VPD, the analysis may not assure that any detected contamination arises solely from that particle, but may be caused by contamination elsewhere on the wafer. Table 10.1-1 summarizes the key advantages and limitations of each analytical technique.

10.2 Inorganic Contamination Analysis Techniques

10.2.1 Vapor phase decomposition Vapor phase decomposition (VPD) is a technique that provides high sen- sitivity, full wafer analysis. The VPD process is accomplished by exposing an unpatterned wafer (containing a native or other oxide layer) to HF vapor in a closed, chemically inert container, resulting in the decomposition of the oxide into HF-containing H2 O droplets that trap the elemental con- taminants present on the wafer surface. A small amount of ultrahigh-purity scanning collection solution (SCS) is then translated across the wafer sur- face to concentrate all the microscopic droplets into a single sample with volume ranging from 100 to 1000 µ L. Once prepared, the liquid sample is analyzed by instrumental techniques for qualitative identifi cation and quantitative analysis of contaminant originally present on the wafer sur- face. VPD sample preparation has been coupled with several different trace 624 Analytical and Control Aspects for 2 2 2 9 –10 Limit 10 8 7 ciency Higher detection 10 Low limited analysis area lms analyzed Al, analyze Na and fi nitride analysis Oxides and elements methods Cannot Z same as bare Si ( Z >Si)

Elemental detection limits, Low analysis Destructive 10 Low Decomposition/ analysis low analyze to ability atoms/cm Total Refl ection Refl Total Elemental Highly automated, for VPD/TXRF) droplet Advantages Detection Limitations Application Technique effi Fluorescence X-ray analysis (TXRF) rapid non-destructive, VPD for than limits Scanning Coupled Inductively atoms/cm collection Plasma Mass Spectroscopy (VPD/TXRF), VPD/Atomic Absorption (AAS) Spectroscopy (partial Time-of-Flight surface wafer TXRF Secondary Ion than Analysis of entire analyzed) sections may also be complex Organic, high lateral Very ion, and More labor intensive Å) and depth (1000 Small analysis areas 10 Low requires a high analysis atoms/cm required (lowest level Higher analyst skill chemistry may impact

Vapor Phase Vapor Mass elemental Å) (<10 give resolution to density elemental spot General Characteristics of Various Analytical Techniques Used to Analyze Contamination on the Surface of Used to Techniques 10.1-1 Analytical Table Various General Characteristics of Si Wafers 10: Ultratrace Impurity Analysis, Hues and Lovejoy 625 ( Continued ) 0.1–1atomic % 0.1–100 ppm cation may cation SIMS or thermal results representative representative results species surface of non-trivial be desorbtion Well suited Well desorbtion (>10 µm) for large particle analysis High detection limits 0.1–1 atomic % volume allows easy analytical Large allows volume ling modes ling ling modes, excellent detection Quantifi detection profi profi city may be used in specifi imaging, and depth survey, unsuitable for for particle analysis ultratrace analysis Rapid analysis easily electron microscopy microscopy electron misinterpretation data limits Measurement of multiple species in a single analysis may be used in survey, imaging, and depth

ection species organic Dispersive Energy Elemental Spectrometry Spectrometry analysis Transform Fourier Analysis of information Provides Spectroscopy (AES) high Relatively analysis surface and resolution ( Z > Li) AES makes Low Low (TOF–SIMS) Attenuated species Infrared Spectrometry typically organic (FTIR), Refl species, Total FTIR active TOF- to compared on chemical nature of detection limits Analysis X-ray (EDS) analysis with combined FTIR (ATR-FTIR) (ATR-FTIR) FTIR Auger Electron Elemental high lateral Very 626 Analytical and Control Aspects 2 C Limit 11 0.1–1 atomic % not resolution as low as AES as as low distribution of distribution contamination Signifi cantly higher Signifi to high detection limits ultratrace analysis due XPS unsuitable for EDS is unsuitable for Provides chemical Provides on polymer particles to high detection limits

Chemical state analysis of elemental species state information on contamination, due non-destructive analysis relatively ultratrace AES analysis times than

Thermal Desorbtion Analysis of Analysis of entire no Provides 5 × 10 X-ray Lateral Spectroscopy Photoelectron (XPS) Analysis Gas adsorbed surface wafer information on areal atoms/cm Technique Application Advantages Advantages Detection Application Technique Limitations Chromatography Spectrometry Mass species (TDA–MS) organic General Characteristics of Various Analytical Techniques Used to Analyze Contamination on the Surface of Used to Techniques Analytical Various General Characteristics of Table10.1-1 (cont’d) Si Wafers. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 627 elemental analysis techniques, such as atomic absorption spectrometry (VPD/AAS), total refl ection X-ray fl uorescence (VPD/TXRF), and induc- tively coupled plasma mass spectrometry (VPD/ICP– MS) [3]. Manual col- lection of the droplets from the wafer’s hydrophobic surface is one of the problems with traditional VPD sample preparation, but recent advances in robotics and automation have allowed several vendors to create fully auto- mated and semi-automated VPD systems [4]. In manual collection, the wafer surface is decomposed and a vacuum pencil holds the wafer while wrist motions scan the SCS droplet across the wafer surface. The objec- tive of the manual scanning process is a uniform, systematic movement of the SCS droplet across the entire wafer surface. A high level of skill and experience is required to achieve this goal with consistency. Inher- ent problems include sample preparation precision, total surface coverage, wafer-edge contamination, and sample loss during the scanning process. With the advent of 300 mm wafers, manual scanning of the wafer surface has become prohibitively expensive. Automated robotic scanners are now capable of highly reproducible and controlled movement of the SCS drop- let across the entire wafer surface [5 ]. End-users must balance the degree of automation required with the costs that are incurred. Manual VPD sys- tems are the least expensive, but suffer greatly from reproducibility and throughput issues. Regardless of the scanner’s confi guration, there must be a mechanism for the quantitative and contamination-free transfer of the SCS droplet into a sample vial for subsequent instrumental analysis.

10.2.1.1 Hydrofl uoric acid decomposition stage During the VPD process, the surface oxide layer on a wafer (native or deposited, typically less than 200 Å thick, although thicker fi lms may be analyzed under more aggressive conditions) is decomposed by exposure to HF gas according to the following equation [6 ]:

→ 4HF + SiO2 SiF 4 + 2 H2 O Eq. (10.2-1)

The vapor HF is produced on-demand by bubbling ultrapure N2 or another inert gas through a liquid reservoir containing concentrated aqueous HF solution. The inert gas displaces HF molecules in solution releasing them into the fl owstream. The decomposition rate of the SiO2 is dependent on the HF concentration in the solution and the resulting gas phase concentration of the HF inside the fuming apparatus [9]. This reaction of HF with SiO2 on the surface of the wafer chemically release impurities originally present on the surface into a H 2 O layer formed by decomposition of the oxide. 628 Analytical and Control Aspects

Some manufacturers use various confi gurations of a Peltier device to cool the wafer, thus allowing better condensation and collection of the gaseous

HF on the SiO2 surface. Finally, the type of oxide being decomposed also plays an important role in the decomposition rate; native oxides and steam oxides decompose faster than thermal or high-temperature annealed SiO2 . Etching or decomposition occurs only if a condensed HF-containing liquid layer is present on the surface of the wafer. Therefore, an important part of the VPD process is optimizing conditions of gaseous HF concentration, temperature, and H2 O partial pressures that lead to the highest amount of condensation on the surface of the wafers. Initially, a SiO2 surface is exposed to a vapor phase mixture of H2 O and HF. If the partial pressures are suffi - ciently high, then a condensed fi lm of HF and H 2O forms and continue to grow on the SiO 2 surface. Etching proceeds with the formation of H 2 SiF6 and additional H2 O. Although the partial pressure of SiF2 over dilute H2 SiF6 / HF/H2 O is relatively low, some SiF4 does evolve during etching. When the etching is complete and the HF fl ow stopped, the liquid H 2 SiF6 /HF/H2 O fi lm can be evaporated or collected off the surface of the wafer [7] for subsequent analysis.

10.2.1.2 Wafer scanning process Once the surface oxide has been decomposed, a scanning technique is employed wherein a solution of HF and H 2 O2 or HNO3 in ultrapure H2 O (UPW) is pipetted onto the center of the wafer and the droplet is translated across the wafer surface to collect and concentrate the impurities liberated during the decomposition. For maximum extraction effi ciency, the scan may be performed multiple times, from the center to the edge and a return pass from the edge to the center. In manual scanning, the analysts must be extremely detailed in their manipulation of the SCS droplet across the surface of the wafer using only a wafer wand and small wrist movements. In automated systems, if a wafer scribe is present on the wafer surface, an edge exclusion is typically employed to prevent the droplet from being trapped by the scribe. Once the droplet has returned to the center, it is col- lected and transferred to an ultraclean sample vial, which may be capped to prevent volume loss by evaporation. The instrument must be located in a cleanroom area where the background contamination of the solution droplets by laboratory ambient is lower than the required detection limits for the technique. Additionally, full cleanroom gowning and protocols are recommended to prevent adventitious contamination from collecting on the wafer surfaces. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 629

10.2.1.3 Collection effi ciency of the scanning process After VPD, the elemental contamination can be solvated in the VPD solution or redeposited onto the wafer surface by one of several mechanisms. First, the metal ions can physically adsorb to the wafer surface following Langmuir equilibrium [7]. This process is not preferred due to the lim- ited number of negatively charged sites present on the hydrogenated wafer surface after the VPD process. The precipitation of metal ions through condensation with SiF6 is a possible concern, but has not been demon- strated [8 ]. Another issue arises with metals more noble than Si, such as Cu, Ag, Au, and Pt. These elements can easily be electrolytically reduced into their metallic form by oxidizing the denuded Si. Therefore, the collec- tion effi ciency of the VPD process depends on the ability of the scanning droplet to remove all the contamination by trapping the small droplets and by dissolving all the re-adsorbed contamination from the wafer surface. Ideally, the collection solution should be suffi ciently acidic and com- plexing to maintain the metallic cations in the ionic form is desired. The oxidizing potential of the scanning solution presents a high redox/oxi- dation potential to reoxidize the noble metals previously mentioned. A variety of collection solutions may be used for this purpose. The most common is UPW, diluted HF, or diluted HNO3 , with or without H2 O2 . The use of scanning solutions containing HNO3 can add N-mass interfer- ence to any quadrapole-based ICP – MS systems; thus, it generally requires application of dilute HF with H2 O2 instead of HNO 3 as the oxidant. Recov- eries are generally the lowest with UPW, alone which is acidifi ed by the residual HF remaining on the wafer after decomposition. Nitric acid is a strong acid and a good oxidizing agent, but as noted, mass spectrometers with unit mass resolution suffer from N-based mass spectral interferences. Hydrofl uoric acid is a weak acid, but has good complexing properties. The acidifi cation by HF of the UPW is quite low and provides little complex- ing or oxidizing power to remove metals with higher potentials than the corrosion potential of Si (–0.16 V).

The addition of a strong oxidant such as HNO 3 or H2 O2 is necessary to oxidize and dissolve metals that are nobler than Si. The kinetics of noble metal dissolution are the result of the competition between deposition due to Si oxidation and removal via metal re-oxidation. Copper is a good example of a metal whose collection effi ciency is dependent on the oxida- tion power of the VPD scanning solution to keep it in solution. For other metals, high collection effi ciency may be obtained with diluted scanning chemistries which offer good compatibility with the analytical techniques used for analysis of the SCS solutions. If the acid concentration in the 630 Analytical and Control Aspects scanning solutions is above 15 vol% then further dilution may be needed to prevent damage to analytical instrumentation. For high levels of con- tamination, the collection effi ciency may begin to decrease for some met- als due to the saturation of the collection solution.

10.2.1.4 Possible contamination sources during the VPD process Ultrapure Water: Contamination in the UPW used to prepare calibra- tion standards and SCS solutions may present a signifi cant contribution to instrumental background levelss and impact the detection limits of the VPD technique. For routine low 108 atoms/cm 2 detection limits, the

UPW must be 18 MΩ or better. Point-of-use H 2O purifying systems are also needed to further purify UPW and facilitate the removal of B and organic contamination. Typical background levels in the UPW must be in the parts-per-trillion (ppt)-range if low 108 atoms/cm2 detection limits are to be achieved. Chemical Vessels: All vessels used for the VPD process must be cleaned in concentrated and diluted acid solutions. Most polymer must be leached of metallic contaminants prior to use. Quartz or borosilicate glass vessels cannot be used due to the high background contamination. Most vessels should consist of some type of fl uropolymer, or a polymer of ethylene or propylene. The VPD Chamber: Another critical design feature is the use of chemi- cally inert, high-purity polymer construction materials for the VPD cham- ber to prevent sample contamination and to withstand the harsh chemical environments of the VPD process. The chamber must be constructed of fl uoropolymer material of the highest purity with low metallic contami- nation present in the melt. To aid in maintaining an ultraclean environ- ment inside the VPD chamber periodic cleaning and an initial exposure to gaseous HF for long periods (as a passivation step) facilitates a break-in period to ensure low background levels from the high-purity polymer materials [9 ]. Chemical Purity: The purity of all solutions used for scanning, clean- ing, and calibration impacts directly on the background noise of the measurement and fi nally on the ultimate detection limits. The chemicals have to be of high and consistent purity. Metallic contamination levels in the acids and peroxide used to prepare the SCS solutions should be in the 10 pg/ µ L range in order to achieve and maintain low 10 8 atoms/cm 2 detection limits. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 631

10.2.1.5 Instrumental analysis of the VPD droplet ICP–MS: For ICP– MS analysis, the SCS solutions collected from the VPD process are nebulized into an Ar plasma. The contaminants that are dissolved into the scanning solutions are vaporized, ionized, and extracted into a mass spectrometer for analysis. One of the advantages of ICP – MS is the wide range of elements from Li to U that can be analyzed at a time. This sensitive, rapid, multi-element mass spectroscopic technique offers ultralow detection capability, high sample throughput, wide dynamic range, wide elemental coverage, rapid quantitative analysis, and the ability to provide isotopic information. With its relatively simple spectrum, free of organic interferences, the spectrometer is rugged, reliable, stable and can be used to run a wide variety of sample matrices. One shortcoming of the Ar-plasma ICP – MS is instrument background interferences in the analysis of 40 Ca, 39 K, and 56 Fe caused by the formation of 40 Ar+ , 38 Ar1 H+ , 40 Ar16 O+ , and other plas- ma-derived interferences that result in elevated background levels for these critical elements. However, it has been shown that the Ar and Ar-polyatomic levels may be signifi cantly reduced by operating under cold plasma condi- tions [10 ] (i.e., low ICP power and high nebulizer gas-fl ow rate) or the use of collision or reaction cell technologies. Cold plasma conditions lower the plasma temperature, which drastically reduces the formation of Ar-based molecular species and allows the determination of elements that otherwise could not be determined at ultratrace levels with ICP – MS. The ICP – MS may be confi gured to analyze both cold plasma elements (e.g., K, Ca, and Fe) and normal plasma elements (e.g., W, Mo, and Cu) in the same analysis, using a low fl ow rate nebulizer optimized for low sample volumes. However, with the very small volumes associated with a VPD extract, there is a fi ne balance between elemental coverage and detection capabil- ity. Additionally, because the available ionization energy is much lower in cold plasma, matrix interferences and polyatomic spectral interferences from the Si matrix of the VPD sample may also present a potential prob- lem. For this reason, a combination of cold and normal plasma, even with a nebulizer fl ow rate optimized for small samples, may not be the optimal approach for VPD extracts. Limited sampling analysis of such extracts requires minimum matrix/polyatomic interferences, sub-parts-per-billion quantifi cation, and expanded elemental coverage. When using an ICP – MS, the analyst must determine the starting sample volume of the scan- ning droplet, and then choose between the number of elements quantifi ed and the respective detection sensitivities. Due to the low sample volume of the scanning solution (100 – 1000 µ L), low fl ow rate nebulizers should be used to allow analysis of a wide range of elements with suffi cient 632 Analytical and Control Aspects data acquisition time. The calibration of the ICP– MS should involve the analysis of 3– 4 multi-element calibration standards in the concentration range of interest. The correlation coeffi cients of each individual calibra- tion curve, the instrument blank concentration, and the concentration of elements in the quality control (QC) sample are then compared. If all parameters are within the acceptable limits, the analytical sample droplets are then analyzed. Additional instrumental blanks and multi-element QC samples are analyzed at the beginning, middle, and end of each analysis set to verify that no calibration anomalies or instrument contamination has occurred during the analysis. VPD/AAS: Atomic absorption spectrometry (AAS) is another elemental analysis technique for analyzing SCS solutions obtained during VPD. It is a simpler and more cost-effective technique than ICP– MS when analyzing a large number of samples for a very small number of elements. It is also applicable for fewer elements than ICP– MS analysis and some of these elements require special preparation to minimize chemical and physical interferences. The theory behind AAS is that elements in their elemental form absorb ultraviolet light (UV) when they are excited by heat. Each metal has a characteristic absorption wavelength that may be used to iden- tify it. The AAS instrument looks for a particular element by focusing a beam of UV at a specifi c wavelength through the sample volatilized in a fl ame or heated graphite tube into a detector. If a metal is present in the sample, it will absorb some of the light and thus reduce its intensity. The instrument measures the change in intensity which is then converted into a concentration by comparison with known standard solutions. Some of the diffi culties in the variation of fl ame or heater conditions may be circum- vented by inclusion of a known concentration of a similarly emitting ele- ment and relating the concentration to the ratios of the emission intensities of the unknown and the standard.

10.2.2 Total refl ection X-ray fl uorescence spectrometry X-ray fl uorescence [11] is a phenomenon in which a primary X-ray pho- ton of suffi cient energy is absorbed by an atom, which reacts by ejecting a core level electron as shown in Figure 10.2-2. This is termed the “ pho- toelectric effect” and the ejected electron is called a “ photoelectron. ” The vacancy created by the ejection of the photoelectron leaves the atom in an energetically unstable state. The atom would prefer to have as low a total energy as possible and achieves this when a higher energy level electron falls down to the fi ll the lower energy position previously occupied by the ejected photoelectron. When this occurs, the atom has an excess of energy 10: Ultratrace Impurity Analysis, Hues and Lovejoy 633

Figure 10.2-2 Schematic of X-ray fl uorescence process: (a) an incident primary X-ray photon is absorbed by the target atom, (b) the atom responds by ejecting a core electron termed the “photoelectron” leaving a vacancy in the lower core level, (c) an electron from a higher energy level falls to fi ll the created vacancy leaving the atom in an unstable state, (d) the atom relaxes by emitting the excess energy as the kinetic energy of an ejected Auger electron or the energy of a fl uorescent X-ray photon.

Figure 10.2-3 Auger and X-ray fl uorescence yields as a function of atomic number [12]. Used with permission from Handbook of Auger Electron Spectroscopy, Third Edition. equal to the difference of the energy level previously occupied by the elec- tron and the lower level, originally occupied by the photoelectron. This excess energy may be relieved in one of two ways (a) by the ejection of a second outer shell electron (the Auger electron), where the kinetic energy of the Auger electron is estimated as the energy difference of the two levels or (b) by the production of an X-ray photon (the X-ray fl uorescence pho- ton), whose energy (h ν) is estimated as the energy difference. These two effects are competitive, with AES more probable for lower atomic number elements and X-ray fl uorescence (XRF) for higher atomic number ele- ments [12 ], as shown in Figure 10.2-3 . 634 Analytical and Control Aspects

As each element has distinct energy levels for its electrons, by measuring the energy of the Auger electron or X-ray photon, the atom that gave rise to the particular energy may be identifi ed. As there are a variety of levels in the atom of each element that may be involved in these transitions, each element produces not one, but a series of Auger electrons or X-ray photon energies that are characteristic of that element. In order for the core vacancy to be generated, the incident primary X-ray photon must have a higher energy than the binding energy of the photoelectron; this energy is commonly termed the absorption edge for that element. For TXRF analysis, a primary X-ray source that produces an X-ray photon energy above the absorption edge for that element must be used. It may be argued that it would be wise to simply use a very high-energy X-ray source for all analyses. The concern is that the fraction of the primary X-rays absorbed by the wafer, and hence converted ultimately into fl uorescent photons, is inversely related to the photon energy, and the higher the energy, the lower the amount absorbed. Therefore, to maximize the sensitivity of, the optimum process is to exceed the highest absorption edge expected to be encountered, but to exceed it by as little as possible. In order to accommodate a variety of analytical conditions, most modern TXRF instruments allow for a variety of different source targets to be used for analysis. Tungsten is a common target material because its L series fl uorescence lines lay in the 10 keV range directly above the K series lines for transition elements of interest. Additionally, the primary X-rays are generated by bombarding the target metal with a high-energy electron beam, which impacts a great deal of energy to the target, heating it signifi cantly. As W has a very high melting point, it may be subjected to a higher current electron beam that many other metals without melting. The higher electron current generates a higher primary X-ray fl ux and more rapid analysis times and lower detection limits. If the primary X-ray beam strikes the sample above the critical angle (the angle below which total refl ection occurs) the X-rays penetrate through the Si wafer, giving rise to a signifi cant background due to the fl uorescence of the Si and Bragg scattering of the primary X-rays from the crystal lat- tice of the Si atoms, as shown in Figure 10.2-4. If the beam is directed to impact the sample below the critical angle, total refl ection [13] occurs and the X-rays do not penetrate into the sample more than a few tens of Ang- stroms resulting in a signifi cantly reduced background signal. This reduction of the background signal allows the fl uorescent peaks of contaminant atoms to be resolved at much lower concentrations than would otherwise be possible. One signifi cant advantage of TXRF is that the components of the two most common Si-containing fi lms in semicon- ductor manufacturing, O and N (in SiO2 and Si 3 N4 ) are of very low atomic 10: Ultratrace Impurity Analysis, Hues and Lovejoy 635

Figure 10.2-4 Impact of primary X-ray incidence angle on TXRF analysis of an Si wafer having 1013 atoms/cm2 Ni contamination; note the difference in intensities between the two scans. Used with permission of Material Science from the authors.

Figure 10.2-5 Schematic diagram of TXRF instrumentation. number and, consequently, are essentially invisible to the XRF process.

This allows SiO 2 and Si 3 N4 fi lms of any thickness to be analyzed in exactly the same manner as bulk Si. Wafers containing a high level of metalliza- tion (e.g., blanket metal fi lms, patterned wafers, etc. ) are not suitable for analysis by TXRF, due to the high peak signal levels and the resulting high dead time (the recovery time between photon arrival events over which the detector is unable to detect the arrival of a photon) of the detector. A typical TXRF instrument is shown in Figure 10.2-5 . The source of primary radiation may either be a simple sealed tube, a rotating anode, or a synchrotron. In a sealed tube source, a beam of ener- getic (tens of keV) electrons generated from a heated W wire fi lament is directed onto a H2 O-cooled metal target. These electrons strike the metal atoms composing the target, creating core holes and generating secondary 636 Analytical and Control Aspects

X-rays at the characteristic energy of the metal. The higher the electron current striking the target, the higher the primary X-ray fl ux and the higher the sensitivity, limited by the melting point of the metal. A commonly used method to allow higher incident electron currents without melting is the use of a rotating anode. In this type of source, the metal is in the shape of a rotating cup with the electron beam striking only a small area at any one time. Once a given area of the target has been impacted, and heated, it has the full rotation of the cup to cool before being heated again [11 ]. A synchrotron is a highly specialized circular particle accelerator that pro- duces an extremely bright X-ray beam of tunable energy. The use of synchro- tron radiation greatly extends the utility of TXRF for Si wafer contamination analysis [14 ], however, due to the limited availability, large size, and expense, it is not suitable for high volume routine contamination monitoring. However generated, the primary X-ray beam is defi ned by one or more beam-defi ning slits and passed through a crystal monochromator to remove X-rays, except those of the desired target fl uorescence energy, greatly reducing the background signal resulting from scattering of Bremsstrahl- ung radiation. The latter is a continuum X-ray background generated in the X-ray source by the abrupt kinetic energy loss of the electron decelerating in the metal target, off the sample and defl ected into the detector. The pri- mary X-rays then strike the Si wafer below the critical angle, exciting any contaminant atoms on its surface to produce characteristic X-rays fl uores- cence. Detection of the fl uorescent X-rays is generally accomplished with a lithium drifted silicon (SiLi) detector [15 ], where the incoming photons strike an Si crystal that has been doped with Li ions. The interaction gen- erates electron-hole pairs, whose population is proportional to the energy of the incoming photon. The intensity of the incoming photons and the frequency at which the electron– hole pairs are swept out of the crystal and counted is maintained such that only one photon enters the crystal dur- ing each counting cycle. If two photons enter during a given cycle this is termed a “ coincidence event ” ; the energy is measured at twice the incom- ing photon energy and gives rise to an artifact peak in the TXRF spectrum, referred to as a “ sum peak. ” TXRF is a very rapid technique, well suited for high throughput analyses, where detection limits below 10 10 atoms/cm 2 or of low atomic number ele- ments (

solutions containing the analytes of interest [16] and concentration verifi - cation of like-prepared wafers using a technique, such as VPD/ICP– MS, which may be referenced back to a primary standard reference materials.

10.2.3 VPD/TXRF

TXRF analysis alone without coupling to VPD is a surface-sensitive technique capable of detecting medium and high-Z elements (sulfur to ura- nium) at very low levels; detection limits generally range in the 1010 atoms/ cm2 range using a tungsten rotating anode X-ray source. More detail on the TXRF technique was provided in Section 2.2. Although this technique alone has proven successful in determining of surface metallic contamina- tion on the wafer surface, the combination of VPD with TXRF has been shown to improve the detection limit of TXRF by pre-concentration of all the surface contaminants using VPD as a sample preparation technique. The detection limit improvement can be estimated from the ratio of the total wafer area to the analysis area; improvement of the detection limit two orders of magnitude may be achieved for a 200-mm wafer. There are some disadvantages to using VPD with TXRF. VPD alone is a destruc- tive technique. TXRF without VPD pre-concentration is a non-destructive technique where the wafers are only exposed to soft X-rays that do not dis- turb the wafer surface, whereas the VPD pre-concentration prior to TXRF completely decomposes the surface oxide and collects all the contami- nants into one small droplet. Another disadvantage of using VPD prior to TXRF is that the VPD may add contamination to the wafer and thus pro- duce data that is not representative of the actual contamination. Further, using VPD assumes the contamination on the wafer surface is distributed homogeneously on the wafer. In reality this distribution can greatly. In TXRF analysis, the chemical and physical state of the wafer surface impacts the intensity of the X-rays fl uoresced from the surface of the wafer. Wafer roughness and the physical structural make-up of the metallic con- tamination on the wafer surface is the main factor contributing to the inten- sity of the X-rays. A classic technique used in TXRF analysis is termed a rocking scan which is obtained by measuring the fl uorescent X-ray intensity as a function of primary X-ray incident angle. This measurement can elu- cidate whether the contamination on the wafer surface is refl ective of bulk, fi lm, or particle contamination. With bulk contamination, the signal inten- sity increases constantly with the sharpest increases at angles greater than the angle needed for total refl ection of the primary X-ray off the surface of the wafer. With a contaminating fi lm, the signal intensity increases as the 638 Analytical and Control Aspects angle is increased but fi nds a sharp drop in signal intensity at angles higher than the angle need for total refl ection of the primary X-ray off the surface. Finally, particle contamination exhibits the same behavior as uniform fi lm contamination, except it shows higher intensity at the refl ection angle and continues to fall after the refl ection angle is passed. When a wafer is pre- pared by the VPD technique and the sample droplet is dried on the wafer surface, TXRF analysis is affected by the physical state of the dried droplet on the wafers surface and the glancing angle of the incident X-rays. Experi- ments [17 ] have shown that the dried SCS residue is indeed particle-like, thus lower glancing incident X-ray angles can be utilized to produce higher intensity fl uorescence from the contaminant atoms on the wafer surface.

10.2.4 Secondary ion mass spectrometry Secondary ion mass spectrometry (SIMS) (for an excellent review of this useful technique, please see reference [18]) is a surface analytical technique in which a sample is placed within an ultrahigh vacuum cham- ber and bombarded with energetic primary ions with energies of typically 0.5– 25 keV. These primary ions strike and penetrate the surface setting the atoms into motion, similar to the cue ball striking the racked balls on a bil- liard table, as shown in Figure 10.2-6 .

Figure 10.2-6 Schematic diagram of the collision cascade initiated by the primary ion impact in secondary ion mass spectrometry. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 639

Some of the atoms set into motion may strike nearby particles located in the outermost 1– 2 monolayers (ML), ejecting them off the surface and into the vacuum. A portion of these atoms or molecules have a net electrical charge, either positive or negative, hence the term “ secondary ion. ” The secondary ions are then accelerated in an electrostatic fi eld to several keV in energy and separated on the basis of their mass. SIMS analyses are divided in two modes, dynamic and static. Dynamic SIMS involves bombarding the surface continuously with an ion beam and monitoring elemental concentrations as a function of depth. SIMS depth profi ling has become a well-established technique within the semiconductor industry for characterizing dopant (e.g. B, P, and As) profi les and for calibrating ion implanters [19 ]. It is the method of choice for contamination analyses where high sensitivity coupled with high lateral or depth resolution is required. As the secondary particles of concern are ions, by defi nition having a net electric charge, the collection optics and mass analyzers use electrostatic and magnetic fi elds to manipulate the charge ions. Neutral particles, hav- ing no net charge, are unaffected by these fi elds and do not reach the detec- tor. The fraction of the total number of sputtered particles that are ionized, termed the ion yield, is an extremely critical parameter in determining the actual concentration of an impurity of the sample surface. The major issue concerning the application of SIMS lies with this parameter [20 ]. The ion yield for a given species may vary over several orders of magni- tude depending upon the chemical makeup of the surface from which it is sputtered. Because of this extreme variation in measured ion intensity from a different surface composition or sputtering matrix, hence the term “ matrix effect ” [22 ]. Great care must be taken when determining analyte concentrations from measured ion intensities. Typically, the analyte signal is measured relative to that for a presum- ably unchanging matrix signal, e.g. 28 Si + when analyzing a Si wafer, and an intensity to concentration conversion factor, termed a “ relative sensitivity factor (RSF)” [21] for that analyte is applied. By determining the con- centration relative to an unchanging matrix species many potential vari- ables, such as small changes in primary ion intensities or instrument drift, are removed from the calculation. RSF values must be used with care as they are specifi c for each analyte and at higher concentrations (above ∼ 1 atomic % ) may actually be affected by the changing concentration of the analyte itself. This matrix effect may be exploited to the analyst’s advan- tage by providing a sputtering environment that intentionally provides a matrix that promotes a very high ion yield and, therefore, high analytical sensitivity. Oxide surfaces typically provide a signifi cantly higher positive ion yield [22], while cesium enhances negative ion yields. For this reason, 640 Analytical and Control Aspects

O and Cs are used as primary ions when analyzing positive and negative secondary ions, respectively. Another variation is to increase the partial pressure of O2 [22 ] in the analytical chamber by directing a jet of O2 gas onto the sample surface during analysis. Static SIMS [23] involves bombarding a surface with a lower dose, such that each site where a primary ion impacts has not been previously impacted. In the static mode each primary ion impact produces secondary ions that are characteristic of the original surface. In static SIMS molecular information of organic molecules is preserved, whereas in dynamic SIMS the molecules are so damaged by the continuous ion beam that molecular information is lost. SIMS instrumentation may be divided into two categories of compo- nents. The fi rst are those concerned with the production of primary ions and the second are those portions of the instrument concerned with the collection and distribution of the sputtered secondary ions. Primary ions are generated in ion sources; these are typical plasma discharge sources for gas phase source materials, such as O2 or Ar, and thermionic emitters for Cs. Where very high spatial resolution is required, fi eld emitter tips using a liquid metal, such as Ga or In, may be used to provide a very bright ion source that may be focused to diameters of 800– 1000 Å [24]. The remain- der of the primary column involves a series of electrostatic lens, defl ectors, and apertures that serve to defi ne the primary ion beam and direct it onto the sample in the desired manner. Once the primary ions impact the surface the secondary ions generated by the collision cascade are collected, separated on the basis of their mass, and detected by the secondary optical column. There are several ways in which this may be accomplished. Magnetic sector instruments [25] mass separate the secondary ions by directing the ions through a magnetic fi eld, which serves as a momentum fi lter, shown in Figure 10.2-7. Only ions with a specifi c mass/charge (m / z ) ratio have a trajectory, which allows them to pass through the exit slit of the spectrometer and strike the detec- tor. Occasionally, the analyte of concern has an isobaric (same mass) inter- ference at the same nominal mass, e.g., 30 SiH– from 31 P – at 31 atomic mass units (amu) that would present a background signal that would limit the detection limit of the analyte. When this occurs, the interference may be separated from the analyte on the basis of their respective mass defects. In order to do this the mass spectrometer must have a very high mass resolu- tion (the ability to separate out two ions of very similar mass). To achieve this high resolution, the ions entering the magnetic sector must have a very narrow distribution of energy; this is accomplished by having both energy and a mass fi lter in the beam line, hence, the term “ double focusing.” Both 10: Ultratrace Impurity Analysis, Hues and Lovejoy 641

Figure 10.2-7 Schematic representation of a double focusing SIMS instrument.

Figure 10.2-8 Schematic representation of a quadrapole-based SIMS instrument. energy and momentum (mass) are focused to ensure that the ions of the same mass have the same trajectory in the magnetic sector. The exit slit is then made correspondingly narrow in order to allow ions of that small trajectory, and hence mass, to pass through and be detected. A second mass-fi ltering device for secondary ions is the quadrapole mass fi lter [18 ], shown in Figure 10.2-8 . In this device the secondary ions are passed into a region surrounded by four metal rods, or “ poles. ” A direct current (DC) voltage is applied to two of these rods and a radio frequency voltage is applied to the other two. The secondary ions are sent into oscil- lation by the interaction of the DC and RF (radio frequency) voltages and only one mass/charge ratio has a stable trajectory and passes through the exit aperture and strikes the detector. By varying either the DC voltage or RF frequency, ions of different masses may be selected for analysis. Quadrapole mass analyzers are not capable of the high mass resolution of magnetic sector instruments, but are quite robust and inexpensive. 642 Analytical and Control Aspects

10.2.5 Time-of-fl ight-secondary ion mass spectrometry Time-of-fl ight mass spectrometers [18], Figure 10.2-9, use a pulsed rather than continuous primary ion beam. Because of the pulsed nature of the primary ion beam, the total number of primary ions striking the surface during the course of an analysis is much lower than when using the magnetic sector or quadrapole-based mass analyzers. The low primary ion dose, because of the pulsed nature of the primary ion beam, makes TOF – SIMS ideally suited for the identifi cation of organic contamination [25 ]. All secondary ions are accelerated to the same kinetic energy. Sec- ondary ions of different mass have different velocities (v ) proportional to the square root of their mass ( m ):

1 2 kinetic energy = mv Eq. (10.2-2) 2

By measuring the time (t ), hence “ time-of-fl ight,” required for the secondary ions to travel over the fi xed distance (d ) from the sample surface to the detec- tor, the mass/charge ratio can be determined from the measured velocity:

vdt= Eq. (10.2-3) TOF– SIMS data may be presented in several forms: mass spectra which are plots of detected intensity versus mass, depth profi les plotting the intensity of one or more secondary ions as a function of depth into the

Figure 10.2-9 Schematic diagram of time-of-fl ight secondary ion mass spectrometry. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 643 sample; or as plots of an ion image which show the intensity of a specifi c secondary ion across the sample surface. Because TOF– SIMS requires that the transit time of discrete secondary ion pulses be measured, the primary ion beam must also be pulsed. Additionally, unlike the mass fi ltering double-focusing or quadrapole instruments, in which all ions having a mass/charge ratio other than the desired m / z are fi ltered out and discarded, the TOF employs parallel detection, in which all generated secondary ions are transmitted and eventually reach the detector. The lateral spatial resolution of TOF– SIMS is determined by the spot size of the ion beam used to bombard the sample surface. When performing a depth profi le the distance the primary ion penetrates into the surface before stop- ping, the so-called “ atomic mixing zone, ” principally determines the depth resolution. With low-energy primary ions this distance can be kept as low as 1 nm. An advantage of TOF– SIMS is that two ion guns may be used for depth profi ling; one for analysis and one for eroding the sample surface. The analysis ion gun can be optimized for spatial resolution while the sputter ion gun is optimized for depth resolution. In this way, 3-dimensional analysis can be done with both extremely high lateral and depth resolution. TOF – SIMS offers several advantages over TXRF and VPD. Because of the decreased fl uorescence yield for low atomic number elements, shown in Table 10.2-2 , conventional TXRF cannot readily analyze many species

Table 10.2-2 Detection Limits Achievable by TOF–SIMS of Various Elements on Si [31]. Used with permission from Surface Interface Analysis.

Element TOF–SIMS Detection Limit (atoms/cm2)

Li 1 × 107 B 2 × 107 Na 1 × 107 Mg 3 × 107 Al 2 × 107 K 1 × 107 Ca 1 × 108 Cr 1 × 108 Mn 5 × 108 Fe 5 × 108 Ni 1 × 109 Cu 1 × 109 644 Analytical and Control Aspects of critical interest such as Na, Li, Al, and F. Also, the detection limits of the transition elements measured by TXRF are typically in the low 1010 atoms/ cm2 range. Above this level, the two techniques give comparable results [26 , 27 ]. Additionally, the large analysis area (1 cm diameter) required for TXRF precludes small area analysis that is essential in many equipment trouble-shooting or device failure analysis applications. VPD also holds the potential for higher sensitivity analysis; however, it has limitations as well. Contamination below the Si/SiO2 interface may not be detected, as the HF vapor does not dissolve the Si substrate. In addi- tion the collection effi ciency of metals into the scanning droplet have vary- ing effi ciencies [28 ]. As with TXRF, application of VPD to non-Si surfaces and to small area analysis cannot be performed. TOF – SIMS has the advantages of lower detection limits, capability of analyzing all elements, polyatomic, and organic compounds with very high spatial resolution. One diffi culty is, as TOF– SIMS is a mass spec- trometric technique, isobaric (same mass) interferences exist in which several species reside at the same nominal mass with only small differ- ences in their exact mass. However, recent generation TOF– SIMS instru- ments are capable of fully resolving all the major interferences without any loss in sensitivity. Furthermore, TOF– SIMS has impressive surface contamination detection limits for those elements that are of particular importance to semiconductor processing. Douglas and Chen [29 ] have summarized the detection limits for a wide range of analytes achievable using state-of-the-art TOF– SIMS instrumentation, which are shown in Table 10.2-2 . An advantage of current TOF – SIMS technology is that the routine analyses of Si wafers has been automated to the point where multiple samples can be analyzed with sample positioning, data col- lection and reduction and report preparation being performed in a fully unattended mode. In addition to quantitative elemental contamination, work by Chartogne and Saldi [30] has shown promise for determining the chemical state (e.g., whether Fe is present as FeO or Fe2 O3 ) based on a measurement of the relative ratios of various molecular fragment ions – – – (FeO , FeO2 , and FeO3 ). The accuracy of the analysis is determined by the accuracy of the stan- dards used to calibrate the SIMS instrument. The development of SIMS standards is currently an area of active research [31]. A comparative study of TOF– SIMS results of Na contamination on bare Si wafers using sur- face contamination standards was performed versus a double-focusing dynamic SIMS analysis of the same wafers and ion implanted reference samples. The results are shown in Figure 10.2-10 with excellent correla- tion observed over several orders of magnitude. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 645

1E+11

1E+10

1E+09 ) by Dynamic SIMS 2

1E+08

Na (atoms/cm 1E+07 1E+07 1E+08 1E+09 1E+10 1E+11 Na (atoms/cm2) by TOF–SIMS

Figure 10.2-10 Comparison of surface Na surface contamination levels as determined by TOF–SIMS and dynamic SIMS [24]. Used with permission from Future Fab International.

10.2.6 Energy dispersive X-ray spectroscopy Energy dispersive X-ray analysis [32] (EDS or EDX) is an X-ray fl uo- rescence technique in which the excitation source used to generate the core vacancy, see Figure 10.1-2, is typically a beam of energetic electrons, commonly from a scanning electron microscope (SEM). The energies of the resulting X-ray fl uorescence photons is determined in the same manner as in TXRF, typically with an SiLi detector and detection limits are on the order of 0.1 – 1 atomic % . It is a fast, non-destructive analytical technique that is relatively inexpensive and readily adapted to most SEM instru- ments. EDS is quite useful in the analysis of particulate and other localized forms of contamination. Images of the contamination distribution may be obtained by monitoring the detected X-ray intensity at an energy characteristic of a particular element, as a function of the scanned electron beam position. However, it must be remembered that the high-energy electrons generates X-ray at a considerable depth into the sample [33 ] (up to 3 – 5 µ m) and the X-rays have an escape depth well beyond this thickness. This is particu- larly true if a normal (perpendicular to the surface) electron beam is used. Therefore, when analyzing small particles, e.g. ∼ 0.2 µ m in diameter or less on a SiO 2 fi lm, the majority of the analytical signal is generated from the underlying fi lm and the particle may be misidentifi ed as a silica particle.

10.2.7 Auger electron spectroscopy Auger electron spectroscopy (AES) (for an excellent review of AES, please see reference [34]) is a technique in which a primary energetic 646 Analytical and Control Aspects electron beam is directed onto a sample and interacts with atoms pres- ent on the sample surface. The primary electron interacts with a surface atom and causes the ejection of a core photoelectron, see Figure 10.2-2 . As in the case of TXRF, an outer shell electron fi lls the vacancy created by the ejected core electron, leaving the atom in an excited energy state. For lower atomic number atoms, this energy is relieved by the ejection of an Auger electron, whose kinetic energy may be estimated from the differences in binding energies of the energy levels involved. Auger transi- tions are commonly named by the electron levels that are involved in the transition. As an example, a KLL transition involves an initial K level hole being created, with an L shell electron falling to fi ll the K shell hole, and another L shell electron being ejected as the Auger electron. Similar to TXRF, the energy of the Auger electron reveals the identity of the atom giving rise to it and the population, and the detected intensity provides concentration information, as shown in Figure 10.2-11 . Because of the low intensities of the Auger maxima, the data is typically plotted as the fi rst derivative of the intensity versus energy, to enhance the detectability of the signal. One of the main strengths of AES is that the primary electron beam may be focused to very tight diameters and is very well suited to the analysis of particle contamination on Si wafers. As with TOF– SIMS, AES may be used in a spectral survey mode to qualitatively determine what elements are present in the area of interest, and in a depth profi le mode interleaved with an ion sputtering beam to determine the depth distribution of elemental contaminants, or in a elemental mapping mode to produce maps in which the image contrast is related to the lateral distribution of the element.

10.2.8 X-ray photoelectron spectroscopy X-ray photoelectron spectroscopy (XPS) (for an comprehensive review of XPS, see reference [35 ]) also known as electron spectroscopy for chemical analysis (ESCA), is an elemental analysis technique in which core electron vacancies are created by primary X-ray radiation and the kinetic energy of the resultant core photoelectron ejected from this ini- tial interaction is measured. For each element there is a characteristic binding energy associated with each core electron level. The measured kinetic energy (KE) of the photoelectron is determined by the energy of the incident X-ray photon, h ν (typically Mg or Al K α radiation are used), and the binding energy (BE) of the photoelectron is calculated by the relationship: 10: Ultratrace Impurity Analysis, Hues and Lovejoy 647

Figure 10.2-11 The 70-eV LMM Auger transition signature of Al shown as (a) intensity and (b) as the fi rst derivative of intensity vs. kinetic energy [12]. Used with permission from Handbook of Auger Electron Spectroscopy, Third Edition.

KE = h ν – B E Eq. (10.2-4)

BE is dependent on the chemical environment of the atom, e.g. carbon in

C2 H6 has a different binding energy than carbon in CH2 F2 . This effect, com- monly termed the chemical shift, gives rise to the greatest forte of XPS, the ability to provide information about the chemical bonding environment of the analyzed element. In order to measure these chemical shifts; the use of a higher energy resolution hemispherical energy analyzer is required for XPS. Detection limits are similar to those of AES (0.1 – 1 atomic % ) and signifi cant chemical state information can be obtained. XPS may also be 648 Analytical and Control Aspects used in survey, depth profi ling (when used with sequential ion sputtering), and imaging modes. However, because of the diffi culties inherent in focus- ing a primary X-ray beam, the lateral resolution of XPS is considerably less than that of AES.

10.3 Organic Surface Contamination

10.3.1 Introduction to organic contamination analysis The analysis of organic (carbon-containing) contamination presents a number of special challenges, the fi rst being that it is not only the iden- tifi cation of the elements present, but also their specifi c arrangement to each other. Organic molecules contain predominately C, O, N, and H, but may contain other elements, such as Si. However, molecules with different atomic arrangements of this limited variety of elements may have pro- foundly different properties.

10.3.2 Time-of-fl ight-secondary ion mass spectrometry Providing information of the arrangement of elements within an organic molecule is a particular strength of TOF–SIMS. When a primary ion impacts the surface, molecules within the area immediately sur- rounding the impact site are completely shattered into their compos- ite atoms. A little further away the collision cascade has lost suffi cient energy such that the molecules undergo slightly less energetic collisions and small fragments of several atoms survive. Much farther away, larger fragments survive, until at some distance the intact molecule, referred to as the parent molecule is ejected off the surface with interactions that are insuffi cient to disrupt atomic bonds within the molecule and the resulting ion mass is refl ective of the original intact molecule. The mass of each of the generated fragments and their relative intensities are determined by the arrangement of the atoms in the molecule. By analogy with a metal chain, the strength of the bonds determines the distribution of weak links and the size of each fragmented section of the chain when it is stretched to failure. Comparing the mass of each frag- ment and its relative intensity with that of known substances, the identi- fi cation of an unknown material may be determined. Even if a reference mass spectrum of the material is not available the information about its nature based upon knowledge of how similar materials fragment under bombardment may be deduced. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 649

Figure 10.3-12 Analysis of particle contamination using TOF–SIMS. Ion images of the particles are shown in the upper portion. A comparison of the mass spectrum of the particles obtained with a region-of-interest (ROI) analysis using a reference spectrum obtained from a suspected source of the particle is shown in the lower portion [24]. Used with permission from Future Fab International.

In the spectrum of the unknown particle in Figure 10.3-12 , the analysis would deduce that from the main intensity maxima the material is some form of a fl uorocarbon. TOF–SIMS operating in the static mode may acquire a mass spectrum of the sample surface where each ion impact, and resulting mass spectrum, is refl ective of the undamaged surface. In dynamic SIMS, the ion fl ux is such that the static limit is quickly exceeded and the acquired mass spectra begin to show the sputtered damage surface, and is considerably different then the undamaged surface (e.g., all poly- mers begin to show a mass spectrum refl ective of a graphite surface). The impact of organic molecular contamination on process yield and device reliability is rapidly becoming a major concern for advanced semiconductor device manufacturing. Of concern is not only the level of these contaminants in the manufacturing ambient (commonly termed atmospheric molecular contamination), but more importantly that fraction which adheres to the wafer surface. TOF–SIMS is one of the techniques, along with thermal desorbtion, that allows analysis of low-level organic contamination deposited on wafer surfaces. TOF–SIMS has the unique advantage that it also provides high lateral resolution, permitting the 650 Analytical and Control Aspects

determination of relative quantities on different areas of patterned wafers. This is especially useful in the analysis of organic particle contamination. An example is shown in Figure 10.3-12 . The total ion image is the sum of all secondary ions generated at each pixel and provides topography infor- mation similar to that seen in a secondary electron micrograph. The C and F images refl ect the intensity of these ions at each pixel and reveal that the particles are rich in these two elements, relative to the surrounding area. A unique strength of TOF–SIMS is the ability to analyze polyatomic and organic compounds simultaneously with elemental contamination analysis. Organic contamination is rapidly becoming a critical, but uncon- trolled aspect of the semiconductor-processing environment, as described in a Sematech report 95052812A-TR [35], particularly in areas such as gate oxide performance. One issue that may be argued concerning TOF–SIMS is that, as with any technique performed in vacuum, volatile contaminants may be desorbed from the wafer surface during the evacuation of the sample introduction chamber and may not be detected. This problem may be addressed by the use of a cold stage, in which the sample is brought to near liquid N2 tem- perature prior to evacuation, so that its vapor pressure is greatly reduced, limiting the loss under vacuum conditions.

10.3.3 Fourier transform infrared spectroscopy Fourier transform infrared spectroscopy (FTIR) is a spectroscopic method in which light from the infrared (IR) portion of the electromagnetic spectrum is allowed to interact with molecules on the surface of a wafer. The atoms composing the molecules are not static, but can move relative to other atoms in the molecule similar to the way billiard balls might move if fastened to each other by springs. In molecules, the movement of the atoms involved in molecular bonds occurs at specifi c frequencies. When IR radiation at the specifi c vibration frequencies of the chemical bonds is directed at the molecule, the bond absorbs the light and thus does not pass into the IR detector. By scanning the IR radiation at each frequency and then plotting the intensity detected (the transmitted light), or its inverse (the absorbed light), the IR spectrum for a specifi c material is generated, as shown in Figure 10.3-13 . From the frequencies of the absorption maximum, the type of chemical bond present in the molecule may be deduced. The pattern of peaks in the spectrum is characteristic for a particular molecule. From the intensity of the characteristic peaks, the concentration of the molecule within the ana- 10: Ultratrace Impurity Analysis, Hues and Lovejoy 651

Figure 10.3-13 FTIR absorption spectrum of polystyrene. Used with permission from the authors.

lyzed volume by reference to standard samples of known concentration can be determined. For the analysis of contaminant fi lms on wafer surfaces, a special type of FTIR analysis is commonly performed [36 ]. Attenuated total refl ection (ATR) FTIR analysis involves directing an IR beam onto a crystal sample holder, so that the beam strikes the crystal surface below the critical angle and undergoes total refl ection, analogous to TXRF. The beam is totally refl ected off the crystal surface and creates a standing wave that penetrates beyond the crystal surface on the order of 100 Å . When a sample, such as a section of an Si wafer, is placed in direct contact with the crystal surface the standing wave is absorbed by molecules on the wafer surface and the absorption may be detected as a reduced intensity at the detector for a specifi c set of wavelengths. The FTIR may also be employed in a microscopy mode, in which the absorption of IR radiation is localized to a specifi c region of the sample, such as the area occupied by a particle or other contaminant. FTIR images may also be obtained in which the contrast of the image is modulated by the absorption of one or more frequencies in the IR spectrum. FTIR may be applied to study adsorbed organic contaminant fi lms on Si surfaces [37 ]. When using an ATR – FTIR instrument with a very high number of internal refl ection passes ( ∼300) detection limits on the order of 1012 C atoms/cm2 have been reported [38 ]. However, while it is not as sensi- tive as other surface techniques, such as TOF–SIMS, it is particularly useful in the case of larger (>10 µ m) particle contamination, especially when employed in a microscopy mode. FTIR provides useful information 652 Analytical and Control Aspects on chemical bonding in the contaminant particle and is very useful as a front-line qualitative technique to provide information on the identity of unknown contaminating species [39]. FTIR may also be used to mea- sure characteristics of inorganic fi lms on Si wafers; such as ultrathin SiO 2 layers [40 ]. Another IR technique is infrared refl ection absorption spectroscopy (IRRAS) (an overview of this technique is provided in reference [41]), which may be used to identify the type of functional groups on the sur- face, as well as their orientation on the surface. In this technique the incident infrared radiation is refl ected off of a highly refl ective (typically a metal) surface at grazing incidence angles. Unfortunately, this tech- nique is more suited for use on highly refl ective metal surfaces, rather than semiconductors on which it demonstrates reduce sensitivity. How- ever, methods those provide a means around this limitation by using a semiconductor layer deposited on a highly refl ective metal surface have proven to be useful [42 ].

10.3.4 Thermal desorbtion gas chromatography mass spectrometry

An alternate means for the analysis of organic species on wafer sur- faces involves heating the wafer in a sealed chamber and collecting the resulting gas-phase organic contaminants in a tube containing an adsor- bent, such as activated C or Tenax™ [43], are then analyzed using thermal desorbtion gas chromatography mass spectrometry (TDA-MS). The tube is rapidly heated and the desorbed contaminants are introduced onto a GC column where the individual contaminants are separated based upon their relative affi nities to the stationary phase material coating the inside of the chromatographic column. Those having a higher affi nity are retained in the stationary phase to a higher degree and, consequently, spend a shorter percentage of time in the mobile phase moving towards the end of the col- umn. Contaminants exit, or elute, from the column at different times and are directed into the entrance of a MS where they are fragmented, typically by the impact of energetic electrons, and then analyzed. The resulting mass spectrum is analogous to that produced by TOF–SIMS. Detection limits on the order of 5 × 1011 C atoms/cm 2 have been reported [43]. This method has advantages over TOF–SIMS because the entire wafer surface is sam- pled and that any potential loss of contaminants in the sample introduction system is eliminated. It suffers from the disadvantage that information is lost about the lateral distribution of the contaminant. 10: Ultratrace Impurity Analysis, Hues and Lovejoy 653

10.4 Surface Morphology Analysis Techniques

10.4.1 Atomic force microscopy A critical concern regarding Si wafer surfaces, apart from chemical contamination, is roughness. With gate dimensions approaching 20 Å , measurement of roughness on a nanometer-scale is imperative for device yield and performance. This roughness may be preexisting on incom- ing wafers or develop as a result of processing, such as a post-etch wet cleaning. Chapter 9 thoroughly outlines the surface conditioning require- ments. Atomic force microscopy (AFM) [44] is a technique that is capable of measuring the 3-dimensional surface roughness on such a level. It oper- ates by mechanically scanning a sharpened probe tip attached to the end of a spring cantilever, using piezoelectric actuators. As the tip scans laterally over the wafer surface it interacts with the attractive (non-contact mode) or repulsive (contact mode) forces between the tip and surface and moves up and down following the contours of the surface roughness. The size of the AFM tip has a signifi cant impact on the measured roughness [45 ]. The up and down displacement of the tip is measured by the displacement of a laser beam refl ected off the tip onto a quadrant photodetector array. A 3- dimensional image, see Figure 10.4-14 , is then constructed from

Figure 10.4-14 AFM measured roughness of a wafer surface; total height range shown is 3 nm. Used with permission from the authors. 654 Analytical and Control Aspects

knowledge of the x– y position of the tip (determined from the known volt- ages applied to the positional actuators) and the vertical displacement of the tip (determined from the output of the photodiode array). The x – y dis- placement is displayed in 2-dimensions of the image and the height is shown as the color contrast in the image. Roughness is typically presented by a mathematical relationship known as root-mean-square (RMS). This value describes the variation of the surface height about an average surface height. A limitation of AFM is that the analyzed area is quite small with respect to the entire wafer sur- face. Unless the wafer roughness is known to be highly homogenous, the nalysis of a small area of the wafer may not be representative of the entire wafer surface. However, this small analysis area is an advantage when a measurement of the roughness across a small feature is desired with high lateral resolution. For generalized roughness measurement across the entire wafer surface, metrologies such as light scattering measurements, in which the roughness is inferred from the degree of light scattered from the surface, are also available.

10.5 Future Contamination Analysis Techniques and Trends

The advancement of IC manufacturing technology requires a commen- surate increase in the sensitivity for contamination analysis and hence new and optimized analytical methodologies. New metrology development must be considered from two perspectives, (a) effective measurement of the total contamination on the surface a wafer and (b) the need to dif- ferentiate the background contamination from the sample contamination. To effectively measure the contamination across the entire wafer surface requires the use of preconcentration methods such as VPD that assist the detection limit requirements of the analytical metrology, as all the con- tamination may be collected into a concentrated, smaller volume. This, by its nature, results in loss of lateral distribution information, which may be extremely useful in determining the source of the contamination. For other analytical techniques that do not allow preconcentration of the con- taminant, the impurity level must be great enough for detection. If the analysis must be performed on a wafer with device features, the analysis may quickly become volume-limited, for example if the analysis volume is 100 nm3 and the contamination is present at a level less than 1 atomic % , then the number of atoms available for analysis quickly becomes undetect- able. This is particularly true for destructive metrologies, such as SIMS, as 10: Ultratrace Impurity Analysis, Hues and Lovejoy 655 opposed to methods such as AES, where the same analytical volume may be sampled many times. Additionally, the purity of chemicals, vessels, and the laboratory ambient must be concurrently increased to provide low lev- els of background contamination. Because of the impact of airborne basic species on acid catalyzed photo- resist, condensed dopant atoms on the active regions of devices with ever shrinking physical dimensions, and the impact of condensed molecules that may form compounds, such as nitrides and oxides, in the gate layer requires an increased emphasis on the analysis of organic contamination in advanced semiconductor device manufacturing [ 46 , 47 ]. The sensitivity of mass spectrometric techniques, combined with their superb specifi city for qualitative analysis for organics, makes them well suited for this applica- tion. Combined with sample preconcentration similar to VPD, TOF–SIMS with a sample cold stage should make an excellent technique, especially when combined with sensitivity enhancement with the use of novel cluster primary ions [48 ].

10.6 Summary

Measurement of ultratrace contamination is critical for optimal revenue generation in semiconductor fabrication. Routine measurement of base- line levels must be performed so that contamination trends are noted and addressed prior to yield impact. Qualitative determination may provide valuable insight regarding contamination sources and mechanisms. A wide variety of metrology techniques is available to measure wafer surface con- tamination, each having unique strengths and weaknesses. Consideration of the information required for contamination remediation will determine the most appropriate technique. With decreasing device dimensions, the need for both higher spatial and detection sensitivity will certainly follow the same trend.

References

1. Semiconductor Industry Association, The National Technology Roadmap for Semiconductors, San Jose, 2004 2006 Edition. 2. Tamaoki, M., Nishiki, K., Shimazaki, A., and Sachiko, Y., Proceedings of the 1995 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 322 (1995). 3. Meyer, F., and White, J. B., Semiconductor International, 22:137 (June 1999). 656 Analytical and Control Aspects

4. Burns, R., Zuck, D., and Warner, W., Micro MICRO, 20(5):31 (2002). 5. De Gendt, S., Huber, A., Onsia, B., Arnauts, S., Kenis, K., Knotter, D. M., Mertens, P. W., and Heyns, M. M., Solid State Phenomena, 65–66:93 (1999). 6. De Gendt, S., Arnauts, S., Witters, T., Boehme, W., Gonchond, J. P., Huber, A., Lerche, J., Lowewenstein, L., Rink, I., Wortelboer, R., Meuris, M., Heyns, M. M., Cleaning Technology in Semiconductor Device Manufactu- ring VI (T. Hattori, R. E. Novak, and J. Ruzyllo, eds.), 99-36:593, Electro- chemical Society, Pennington, NJ, USA (1999). 7. Kang, J. K., and Musgrave, C. B., J. of Chem. Phys., 116(1):275 (2002). 8. Danel, A., Lardin, T., Giroud, C., and Tardif, F., Material Science and Engi- neering, B102 1–3:213 (2003). 9. Lovejoy, M. L., and Hues, S., Future Fab International, 13:140 (2002). 10. Radle, M., Lian, H., Nicoley, B., and Howard, A. J., Semiconductor Interna- tional, 24:35 (July 2001). 11. Cullity, B. D., Elements of X-ray Diffraction, 2nd Edition, p. 421, Addison– Wesley, Boston, MA (1978). 12. Childs, K., Carlson, B., LaVanier, L., Moulder, J., Paul, D., Stickle, W., and Watson, D., Handbook of Auger Electron Spectroscopy, 3rd Edition, p. 4, Physical Electronics, Eden Prairie, MN, USA (1995). 13. Tiwari, M. K., Gowrishankar, B., Raghuvanshi, V. K., Nandedkar, R. V., and Sawhney, K. J. S., Bull. Mater. Sci., 25:435 (2002). 14. Pianetta, P., Baur, K., Singh, A., Brennan, S., Kerner, J., Werho, D., and Wang, J., Thin Solid Films, 373:222 (2000). 15. Skoog, D. A., and Leary, J. J., Principles of Instrumental Analysis, 4th Edition , p. 370, Saunders College Publishing, Philadelphia, PA, (1992). 16. Mori, Y., and Uemura, K., X-ray Spectrometry, 28:421 (1999). 17. Yamagami, M., Nonoguchi, M., Yamada, T., Shoji, T., Utaka, T., Nomura, N., Taniguchi, K., Wakita, H., and Ikeda, S., X-ray Spectrometry, 28:451 (1999). 18. Benninghoven, A., Rüdenauer, F. G., and Werner, H. W., Secondary Ion Mass Spectrometry: Basic Concepts, Instrumental Aspects, Applications, and Trends, John Wiley and Sons, New York, USA (1987). 19. Frost, M., Harrington, W. L., Downey, D. F., and Walther, S. R., J. Vac. Sci. Technol., B14:329 (1996). 20. Wilson, R. G., Stevie, F. A., and Magee, C. W., Secondary Ion Mass Spec- trometry: A Practical Handbook, p. 3.1, John Wiley and Sons, New York, USA (1989). 21. Wilson, R. G., Int. J. Mass Spectrometry Ion Proc., 143:43 (1995). 22. Wilson, R. G., Stevie, F. A., and Magee, C. W., Secondary Ion Mass Spec- trometry: A Practical Handbook, p. 1.1, John Wiley and Sons, New York, USA (1989). 23. Smith, S. P., and Chu, P. K., Proceedings of the 11th International Conference on Secondary Ion Mass Spectrometry (SIMS XI) (Gillen, G., Lareau, R., Ben- nett, J., and Stevie, F., eds.), p. 233, John Wiley and Sons, New York, (1998). 24. Hues, S. M., and Bryan, S. R., Future FAB International, 9:239 (2000). 25. Lu, D., Trigg, A., Xing, Z., Kumar, R., and Chang, C. K., Proceedings from the 29th International Symposium for Testing and Failure Analysis, Santa Clara, CA, p. 215 (2003). 10: Ultratrace Impurity Analysis, Hues and Lovejoy 657

26. Mowat, I., Lindley, P., and McCaig, L., Appl. Surf. Sci., 203:495 (2003). 27. Zanderigo, F., Ferrari, S., Queirolo, G., Pello, C., and Borgini, M., Mat. Sci. Eng. B, B73:173 (2000). 28. De Gendt, S., Huber, A., Onsia, B., Arnauts, S., Kenis, K., Knotter, D. M., Mertens, P. W., and Heyns, M. M., Solid State Phenomena, 65-66:93 (1999). 29. Douglas, M. A., and Chen, P. J., Surf. Interface Anal., 26:984 (1998). 30. Chartogne, A., and Saldi, F., Proceedings of the 11th International Confer- ence on Secondary Ion Mass Spectrometry (SIMS XI), (Gillen, G., Lareau, R., Bennett, J., and Stevie, F., eds.), p. 943, John Wiley and Sons, New York, (1998). 31. Lazzeri, P., Lui, A., Moro, L., and Vanzetti, L., Surf. Interface Anal., 29:789 (2000). 32. Rakovan, J., Rocks and Minerals, 79:195 (2004). 33. Childs, K., Carlson, B., LaVanier, L., Moulder, J., Paul, D., Stickle, W., and Watson, D., Handbook of Auger Electron Spectroscopy, 3rd Edition, p. 7, Physical Electronics, Eden Prairie, MN, USA (1995). 34. Briggs, D., and Seah, M. P., eds., Practical Surface Analysis: by Auger and X-ray Photoelectron Spectroscopy, John Wiley and Sons, New York, USA (1983). 35. Kinkead, D., Joffe, M., Higley, J., and Kishkrovich, O., Technology Transfer #95052812A-TR, Sematech, Austin, TX, USA (1995). 36. Griffi ths, P. R. and de Haseth, J. A., Fourier Transform Infrared Spectrom- etry, p. 553, John Wiley and Sons, New York, USA (1986). 37. Ye, S. Icihara, T., and Uosaki, K., App. Phys. Lett., 75:1562 (1999). 38. Roche, A., Wyon, C., Marthon, S., Ple, J. F., Olivier, M., Rochat, N., Chabli, A., Danel, A., Juhel, M., and Tardif, F., Characterization and Metrology for ULSI Technology: 2000 International Conference (Seiler, D. G., Diebold, A. C., Shaffner, T. J., McDonald, R., Bullis, W. M., Smith, P. J., and Secula E. M., eds.), p. 297, American Institute of Physics, Melville, NY, (2001). 39. Madden, K., Bergin, B., Klymko, N., and Ramsey, J. N., Infrared Micros- copy: Theory and Applications (Messerschmidt, R. G., and Harthcock, M. A., eds.), p. 129, Marcel Dekker, New York, (1998). 40. Nagai, N., and Hashimoto, H., Appl. Surf. Sci., 172:307 (2001). 41. Y. J. Chabal, Internal Refl ection Spectroscopy: Theory and Applications, edited by F. M. Mirabella, Jr., Marcel Dekker, New York, USA (1992). 42. V. M. Bermudez, Appl. Phys. Lett., 62:3297 (1993). 43. Sun, P., Ayre, C., and Wallace, M., Characterization and Metrology for ULSI Technology: 2003 International Conference (Seiler, D. G., Diebold, A. C., Shaffner, T. J., McDonald, R., Zollner, S., Khosla, R.P., and Secula, E. M., eds.), p. 245, American Institute of Physics, Melville, NY, (2003). 44. Teichert, C., MacKay, J. F., Savage, D. E., Lagally, M. G., Brohl, M., and Wagner, P., Appl. Phys. Lett., 66:2346 (1995). 45. Sedin, D. L., and Rowlen, K. L., Appl. Surf. Sci., 182:40 (2001). 46. Iwamoto T. and Ohmi T., Appl. Surf. Sci., 117:237 (1997). 47. D. Kinkead, Microlithography World, 8:22 (1999). 48. Gillen, G., and Fahey, A., Surf. Sci., 203:209 (2003). 11 New Cleaning and Surface Conditioning Techniques and Technologies

Karen A. Reinhardt Cameo Consulting, San Jose, CA, USA

11.1 Introduction

Cleaning and conditioning of the substrate Si wafers and the active device’s surface have been needed ever since the integrated circuit (IC) was invented. Prior to Si, Ge was used; wafers measured tens of mm and 300 mm wafers were unimaginable. The equipment for wet cleaning was a Pyrex beaker and automatic equipment with robotics was science fi ction. The evolution in the last 30 years from simple transistors to mega-fabs producing 30,000 wafers per month has happened because of the demand for advanced communica- tion, entertainment, and transportation. Although many processes contribute to manufacturing of an IC, cleaning technology was often neglected in the early days. However, sophisticated requirements for the devices have brought a new outlook to Si wafer cleaning and surface conditioning. Surface conditioning requirements go beyond just cleaning. Front end of line (FEOL) and back end of line (BEOL) surfaces have unique chal- lenges. An outline of the cleaning and surface conditioning drivers is shown in Table 11.1-1 . These drivers are further elaborated with respect to the critical layers. FEOL surface conditioning drivers for logic post-gate delineation are shown in Figure 11.1-1. The most urgent challenges currently facing aque- ous post-cleaning for FEOL logic are outlined below:

1. Removal of small particles (< 45 nm). 2. Minimal damage to narrow (<1 nm) gate lines. µ 3. Minimal Si/SiO2 consumption (<0.4 m). 4. Watermark-free drying for hydrophobic/hydrophilic combination surfaces.

K. A. Reinhardt and W. Kern (eds.), Handbook of Silicon Wafer Cleaning Technology, 2nd Edition, 661–688 © 2008 William Andrew Inc.

661 662 Directions for the Near Future

Table 11.1-1 An Outline of the Cleaning and Surface Conditioning Drivers

Technology Related Process Related Factory Related

New materials Particle removal Cost reduction Small geometries Metallic contamination removal Yield High aspect ratios Organic contamination removal Factory integration Shallow junctions Minimal surface roughness Process simplicity New IC architectures Minimal fi lm loss Environmental Conditioned surface Reliability Damage-free cleaning

Figure 11.1-1 Summary of critical components for logic cleaning post-gate features. The gray boxes represent cleaning and surface conditioning objectives and the white boxes represent the challenges for cleaning.

The key to developing a robust process is minimized damage to the small features, no Si loss to the substrate and no SiO2 loss, the ability to clean the new materials being introduced in the production, while retaining low contamination and particle levels. The IC manufacturing engineers are chal- lenged when cleaning the ultra-shallow junctions without removing the highly doped implanted top surface, as the fi lm erosion would cause roughening of the Si surface, thus affecting the electrical properties of the devices. Another challenge of cleaning the fi ne features found at the gate level is damage-free particle removal. Traditional methods such as sonic cleaning 11: New Surface Conditioning Technologies, Reinhardt 663 have shown various degrees of feature damage from the high energy, typi- cally megasonic-range frequencies, used to remove particles with aqueous solutions. Pattern collapse and gouging of the features due to the high- energy implosions are the two examples of the type of damage seen using the megasonic cleaning. Figure 4.2-5 in Chapter 4 shows the results of a megasonic cleaning process that has caused extensive damage to occur to gate features. Post-implant photoresist stripping poses unique concerns. The plasma strip process may oxidize the Si surface, thus consuming some of the Si or

SiO2 surface, along with the highly doped implanted Si. Carbonaceous crust caused by high-dose implantation must be removed without causing additional particle formation. An example of popping of the carbonaceous crust during the plasma strip process is shown in Figure 6.2-8 of Chapter 6. The “critical cleaning” step, the cleaning step performed prior to high- temperature processing, has the most stringent contamination level require- ment. This cleaning step must remove organic and metallic contamination as well as particles without roughening the Si surface. New materials, for example, Hf-based high-k dielectrics, introduced at the 45-nm node, cause additional cleaning concerns. Special plasma, aqueous, and solvent clean- ing chemistries are required to prevent etching of the high-k dielectric materials [1 ]. Figure 11.1-2 outlines the BEOL dual damascene surface conditioning drivers. For the 45-nm node, there are eight or more dual damascene metal layers commonly used for logic devices. The cleaning of these structures

Figure 11.1-2 Summary of critical components for cleaning of post-damascene features. The gray boxes represent cleaning and surface conditioning objectives and the white boxes represent the challenges for cleaning. 664 Directions for the Near Future is driven by the need to minimize the damage to the low-k material, to effectively remove the polymer and the sputtered metal on the sidewall and bottom to leave the Cu surface oxide-free, again while retaining low particle levels. The removal of post-etch residue from the high-aspect ratio features without augmenting the feature’s profi le is critical. Plasma etch- ing can lead to unique polymer formation that is a challenge to remove, as outlined in Chapter 6. The fl uorocarbon polymer may have embedded Si and metallic impurities from sputtering of the underlying fi lms during the etch process. Subsequent oxidation of these impurities increases the diffi culty of removing them. Materials, such as C-rich porous low-k dielectrics and Cu conductors cause additional concerns for wafer cleaning and drying. The most critical challenges for BEOL logic devices are similar to those for FEOL:

1. Damage-free cleaning to the low- k material. 2. Watermark-free drying for hydrophobic/hydrophilic com- bination surfaces. 3. Removal of small particles (<45 nm).

The use of conducting materials such as Cu, barrier metals (Ti, Ta, and W), and CMP slurry materials containing Ce, used for oxide polishing, requires advanced cleaning techniques. Excessively eroded or oxidized Cu would increase via resistance and metal sputtered on the sidewall would cause dielectric leakage and any metals if not effectively removed. Additional cleaning and surface conditioning techniques exist, including; cleaning the bevel edge of the wafer after the chemical mechanical polishing (CMP),

CuO x removal before the barrier metal deposition step, watermark-free and stiction-free drying for both FEOL and BEOL structures, cleaning high-aspect ratio trench structures from in dynamic random access mem- ory (DRAM) IC and the removal of chemicals, and residues out of these narrow features. Cost reduction is always a driving force for any high-volume manu- facturing industry. Reduction of the amount of chemicals being used and their disposal costs and the drive toward “green” chemicals are the important objectives. Purity of the incoming chemicals and their moni- toring during wet cleaning is a critical factor for device performance. Equipment for cleaning is constantly evolving. Higher throughput per footprint for the equipment is the goal of every fab manager. Single- wafer modules are being developed for wet cleaning processors featur- ing optimizing wafer-to-wafer control. The challenge is for the equipment companies to meet these new requirements and stay profi table. 11: New Surface Conditioning Technologies, Reinhardt 665

The development of new technologies and techniques and new chemical formulations for accomplishing these cleaning and productivity objectives is in progress. There are many new aqueous cleaning, plasma, and dry cleaning and surface conditioning techniques being investigated at various universities, consortiums, start-up companies, also IC manufacturing and equipment company’s development centers, and of course, in the fabs. Besides these developments, current processes are being optimized and extendable for future generations of IC manufacturing with the goal of meeting the requirements as stated in the International Technology Road- map for Semiconductors (ITRS) [2 ]. These and other new cleaning and surface conditioning processes will be discussed in this chapter.

11.2 Emerging Cleaning and Surface Conditioning Techniques

Wet and plasma cleaning techniques are, at present, the methods of choice for cleaning IC device wafers in manufacturing fabrication areas (fabs) and can adequately address many of the current and future technical challenges. Examples of techniques adopted include the surface tension gradient dryer, single-tank and dilute chemistry for critical cleaning, ozone, and single- wafer wet cleaning equipment and processes. Even if a technique proves to be cost effective and solves technology-based issues, changing over to a new cleaning techniques may take a few device generations to be adopted. However, there are manufacturing issues for future generation of devices, where new technologies and techniques will be required.

11.2.1 Non-damaging particle removal Integrated circuit manufacturing has always made a concerted effort to reduce the number of particles in air, H2 O and chemicals, and those generated in the process equipment. Since it is impossible to prevent par- ticles from depositing on the wafers surface, cleaning processes have been developed to effectively remove smaller and smaller particles with each passing device generation. Cleaning techniques remove adhering particles by overcoming the force of adhesion to the surface. As the force of adhe- sion increases, the energy required to remove particles must be increased as discussed in Section 4.2.2.4 of Chapter 4. Care must be taken to use just enough energy to remove the particles, but not more than that, which would cause damage to delicate device features. 666 Directions for the Near Future

11.2.1.1 Aqueous-based particle removal As discussed in Chapter 3, particles can be removed in an aqueous envi- ronment by undercutting the fi lm where the particle is resting on, or using megasonic frequencies to impart energy to the solution by creating cavitation or acoustical streaming, thus removing the particle by momentum transfer. Traditional and improved cleaning methods, where the particle is wiped off the surface, are described in Chapter 4. Particles are kept free from re-depositing by changing their Zeta potential or by addition of surfac- tants. Dry methods for particle removal include physical bombardment by cryoaerosol using momentum transfer to shock the particle off the sub- strate (Chapter 7). Some of the emerging aqueous-based particle removal techniques are listed in Table 11.2-2 . Many of these techniques have been derived from other industrial needs for cleaning and then were applied to the IC industry.

Table 11.2-2 Emerging Aqueous-based Particle Removal Techniques

Cleaning Technique Description References

Foam/bubble A foaming mixture is used for [3, 4] cleaning removing particles. One method

of forming foam is by mixing CO2 and H2O under pressure and then releasing the pressure. This process can also strip photoresist and residue, depending on chemistry used. Immersion pressure A liquid solution, with or without [5] pulsation cleaning gas addition, is subjected to pressure pulses. These pressure changes produce bubbles on the defect, such as a particle. The bubble implodes and allows selective removal of the particle. Spray pressure A nozzle in which liquid is [6, 11, 12] pulsation cleaning injected by uses a pressure differential and pulsing the liquid fl ow to dislodge the particle. 11: New Surface Conditioning Technologies, Reinhardt 667

These techniques extend the aqueous cleaning technology beyond its current capability. For example, foam cleaning uses CO2 gas addition to H 2O to lower the surface tension to provide better wetting of hydrophobic surfaces with and without a surfactant added [3, 4]. The foam bubble wets the surface, providing the cleaning effect, while the gas is regenerated and creates a continuous foaming action. The bubble moves across the surface, detaching and carrying away the particle. Chemicals other than H2 O can be used to clean the wafer, such as removing photoresist and post-etch residues [4 ]. The basis of the immersion pressure pulsation cleaning [5 ] is growing the bubble directly on the particles and then collapsing the bubbles in a cyclical manner, thus releasing their implosive energy directly onto the surface with forces suffi cient to cause detachment. HyperFlo has demon- strated alternating vacuum and pressure to produce a pulsing action that generates the bubble [5 ]. A slight vacuum above the fl uid in the reaction vessel produces the vapor bubbles at the surface, which are collapsed when pressure is re-applied. The adhesive forces are overcome as the boundary layer is disturbed by the growth of vapor bubbles that are produced during the decompression/compression cycle. The foam and the immersion pressure pulsation methods were fi rst demonstrated for batch processing and can be implemented for single-wafer cleaning. Nozzle-based cleaning was introduced specifi cally for single-wafer cleaning, both horizontally and vertically. Nozzle-based cleaning has been commercially available on CMP tools for some time [13]. The use of spe- cialized nozzles, orientations, and confi gurations used for removal of small particles is proliferating with the introduction of 45-nm node processes, especially for low-cost devices such as DRAM and Flash IC devices. An overview of some of the nozzle technologies for cleaning is presented in Table 11.2-3, as can be seen from this table, many researchers are investigating the nozzle particle removal and extending the technology to other single-wafer processing such as resist stripping, as discussed in

Section 11.2.1.2 . Variations in the application of the H2 O stream to the wafer can be accomplished by any of these nozzle types and wafer orien- tations. What differentiate these methods are their cleaning effi ciency and possible damage imparted to the IC devices. The nozzle used for pressurized spray is shown in Figure 11.2-3

The ejector nozzle uses a pressurized gas, typically N2 and sometimes clean dry air, which is mixed with DI H 2O, thus the name mixed fl uid jet, forming droplets of the liquid and sprayed on the wafer surface.

The supply pressure of the liquid controls the size of the ejected H 2 O droplet, while the pressure and fl ow rate of the gas controls the droplet 668 Directions for the Near Future

Table 11.2-3 Overview of Aqueous-based Nozzle Technology for Particle Removal

Cleaning Technique Description References

Sonic-pulsed spray A piezoelectric crystal is used to [14]

apply sonic energy to the H2O stream at the point of use.

Mixed fl uid A gas, typically N2, is added to [7, 10] jet spray H2O near the point of use. The N2 gas nucleates the H2O into droplets that impact the surface causing particle removal.

Pulsed liquid H2O is passed through a pump, [6] jet spray which delivers a pulsed spray onto the wafer. Pulsing allows particle removal at lower energy.

Figure 11.2-3 Adaption of various confi gurations of nozzle-based cleaning technologies based on: (A) pressurized gas spray [7], and (B) pulsed liquid jet spray [6, 17]. velocity [7 ] and to some extent the droplet size [15 ]. Figure 11.2-4 shows the size range of particles from a nozzle and their respective velocity [10 ] typically, the particle size is less than 60 µ m. Chemicals other than H2 O have been used for cleaning effi ciency improvement and to reduce the amount of damage to sensitive features [16 ]. The use of HF is proposed to undercut particles and remove them by lift-off, in 11: New Surface Conditioning Technologies, Reinhardt 669

Figure 11.2-4 Distribution of particle sizes with mixed fl uid jet cleaning [10]. Note that the damage occurred at velocities greater than 30 m/second and particle sizes greater than 150 µm. Used with permission from Solid State Technology.

Figure 11.2-5 Graph showing particle removal effi ciency for HF-last Si surfaces using mixed fl uid jet spray with a variety of cleaning chemicals [16]. The N2 fl o w rate is 80 L/minute, APM is ammonium hydroxide and hydrogen peroxide mixture

(SC-1 at 1:1:100 NH4OH:H2O2:H2O). Reproduced by permission of ECS—The Electrochemical Society from Hirano, H., Sato, K., Osaka, T., Kuniyasu, H., Hattori, T., Electrochem. Solid State Lett. addition to the physical effect imparted by the pressurized spray [16]. Figure 11.2-5 shows the particle removal effi ciency using the mixed

fl uid jet spray with various chemicals, including H2 O, APM (SC-1, standard clean), and HF. 670 Directions for the Near Future

11.2.1.2 Chemicals for particle removal

Liquid jet spraying is not limited to H2 O. Other chemicals such as SC-1 or ozone (O3 ) can be used for residue and photoresist removal. Ozone alone, using immersion tank or spin spray, has not been successful for removing photoresist at a fast stripping rate due to the concentration of

O3 gas that can dissolve in H2 O that must be achieved to have high strip- ping rates. At the temperatures needed for stripping photoresist at a rapid rate, the O3 concentration is limited, and at low temperatures, where the O3 concentration is higher, there is not enough thermal effect to have a high strip rate. Thus, adding physical effects, such as nozzle spray velocity, to the chemical effects may allow an increase in stripping rates that have not been achieved with chemistry only. + Conditioned H 2 O, such as the complexed and clustering of NH4 ions in H 2O, developed by NanoGreen Technology, is used to remove particles in megaonics tanks similar to SC-1 [18]. The complexed ions are clustered together with H2 O molecules [19] that capture the particles and hold them in the solution allowing fl ushing of the particles when the liquid is drained + [18]. Due to the dilute chemistry <5 ppm (parts per million) NH4 , less Si and SiO 2 is lost during the cleaning process. Particle removal effi ciency is equal to that of by using very dilute chemistries [18 ].

11.2.1.3 Dry particle removal techniques Dry cleaning particle removal is attractive because of their minimal effect on the fi lms being cleaned; they are lower in cost and more environ- mentally friendly than aqueous-based cleaning. Additionally, high-aspect ratios and small critical dimensions of IC devices can be cleaned success- fully [20 , 21 ]. New dry cleaning techniques that address particle removal are listed in Table 11.2-4 .

Supercritical CO 2 (scCO2 ) has low surface tension and can remove par- ticles and residues from surfaces and high aspect ratio trenches, as dis- cussed in Chapter 7. This technique is not used in production; however, it may have potential to perform well with porous low-k materials and very high aspect ratio feature that are still generations away. Photo-induced chemistries with ultraviolet (UV) lasers can be used for photoresist stripping, surface cleaning, and particle removal [ 24 – 30 ]. The laser imparts energy in the form of heat, which physically shocks the par- ticles off the wafer’s surface. Gases that are introduced into the processing chamber react with the particles to form volatile products. This technology is restricted if the particles have metallic components because there are 11: New Surface Conditioning Technologies, Reinhardt 671

Table 11.2-4 Emerging Aqueous-based Particle Removal Techniques

Cleaning Technique Description References

Supercritical fl uids Supercritical fl uid, near-supercritical, [22–23] or densifi ed fl uid are capable of cleaning resist, residue, and particles off the surface of a

wafer. Supercritical CO2 is typically used for cleaning, and can also be used for particle removal with surfactant additional and drying because of the low surface tension of

the CO2. Laser cleaning Pulsed laser along with reactive [24–30] gases or an aqueous bath for resist, residue, and particle removal. The particle is removed by thermal shock. Liquid clusters Groups of molecules form small [31–35] clusters, similar to aerosols, but smaller in diameter (<20 nm). These clusters bombard the particle. Momentum transfer is used for removing small particles. Nanoprobe A nanoprobe, much like the tip of [36] cleaning an atomic force microscope probe, is used to physically dislodge the particle off the surface. only a few volatile metallic compounds that must be augmented by post- laser aqueous cleaning process [24]. Methods of using laser cleaning also include wet cleaning, where the laser beam activates H2 O or other chemi- cals at the submerged wafer surface. The activated chemical cleans the surface, protecting wafer from direct contact with the laser beam [29 ]. Another method of particle removal is using small clusters of molecules, composed of H 2 O or other liquids, similar to aerosols. The clusters, approx- imately 50 –1500 nm in diameters, are formed directly from the liquid state and then accelerated through and electric fi eld to supersonic veloci- ties at process conditions can range from high vacuum (1 × 10–5 Torr) to 672 Directions for the Near Future atmospheric pressure [32 , 34 ]. These clusters bombard the particles and momentum transfer is used for removing them. Because of the small size of the clusters, smaller particle can be removed. Hydrogen peroxide, O3 , hydroxylamine, or similar can be incorporated [35 ], however, organics many cause SiC formation on the Si surface. Post-etch residues can also be removed using this technique. Spot cleaning of particulate contamination [37] of a wafer surface can be performed with cleaning technologies that specifi cally target the particles to be removed without affecting the surrounding non-contaminated areas. This technique can be successful because of the small beam (cryoaero- sol and laser) or probe tip (nanoprobe), and the sophisticated metrology equipment now commercially available that accurately maps the location of the particulate contamination on the wafer surface.

11. 2.2 Drying technology Drying high-aspect ratio features without watermarks for FEOL and BEOL are critical for manufacturing high-yielding IC devices. Hydro- phobic Si and the hydrophobic nature of low-k dielectrics add additional challenges. Ultralow-k (UL k ) materials have small pores, only 2 nm in diameter, must be effectively dried of any liquid captured during the clean- ing process. The surface tension gradient dryer, also called the Marangoni dryer, effectively dries wafers independently of the fi lms and nature of the Si substrate, as described in Chapter 4. However, the vertical confi guration of the dryer limits its use to batch drying due to the long process times. With the proliferation of horizontal single wafer equipment for cleaning, a variation of this dryer have been introduced, such as the Rotogoni [38 ] along with other horizontal single-wafer dryers [39 ]. One system uses a showerhead design [40] to create a moving meniscus of isopropyl alco- hol (IPA) and H 2O to dry the wafer from the center to the edge. Another dryer implements the same moving meniscus in a smaller area, moving the cleaning and drying surface around the wafer in a controlled manner by a moving arm [41 , 42 ]. Isopropyl alcohol has been the long-term standard for surface tension drying. As early as 1998, other alternatives to fl ammable IPA were being investigated. 3M Corporation introduced hydrofl uoroether (HFE) com- pounds to the semiconductor industry as an alternative to chlorofl uorocar- bon (CFC) compounds [43] and perfl uorocarbon (PFC) [44]. Other uses include drying based on the surface tension gradient effect [45 ] in the disk 11: New Surface Conditioning Technologies, Reinhardt 673 drive industry and the replacement of IPA for Si wafer drying [46] due to the low surface tension of HFE compounds. HFE can be combined with IPA and used in small quantities to dry wafers [45 ].

11.2.3 Surface conditioning technology: silicon surface smoothing Smoothing of the Si surface after SC-1 processing or other processes that make the surface rough have been investigated [47]. Kyoto University researchers developed liquid [48] and gas cluster ion beams (GCIB) [47] for producing atomic-scale surface smoothing. Smoothing has been dem- onstrated on many kinds of materials including Si, SiO2 , and thin high- k dielectrics such as Al2 O3 and Ta2 O5 . The liquid, organic compounds such as ethanol, are vaporized and ejected through a nozzle into a high-vacuum region where the clusters were produced by an adiabatic expansion, then ionized by an electron bombardment. The gas used for GCIB is Ar, which is accelerated to an energy between 1 and 20 keV. At these high energies, damage is possible and has been characterized to minimal when less than 2 keV [49]. The small, 1 × 10 1 –1 × 105 µm [50], gas or liquid clusters physically sputter the material with a high yield to achieve atomic level surfaces, which are claimed to be much better than that obtained with a conventional wet process.

11.2.4 Photoresist stripping and cleaning technologies Plasma photoresist stripping coupled with wet cleaning has been the staple in the IC manufacturing industry for assuring pristine surfaces of the wafer prior to the next processing step. The replacement of either the plasma step (mostly advanced by the wet cleaning equipment manufac- turers) or the wet cleaning step (mostly driven by the plasma processing equipment manufactures) has been a goal of each faction for a long time. Chapter 4 discusses aqueous cleaning procedures that are being investi- gated to replace plasma-processing step. New implant technology using plasma-doping methods create the same type of crusts as the standard ion implant, the high-dose, >1 × 1015 atoms/cm2 . High-dose implant stripping (HDIS) is typically the most challenging of the FEOL photoresist removal steps due to the need to retain the highly doped surface of the Si layers. Besides effectively removing the photoresist and residue, the doped fi lm must be retained. 674 Directions for the Near Future

One such technique is the use of CO 2 cryoaerosol after wet processing [51 – 53 ] for post-etch and post-implant resist stripping. The plasma pro- cessing conditions such as the photoresist, forming small discreet agglom- erates of residue, and making it susceptible to removal by the cryoaerosol pellets are shown in Figure 11.2-6 and described in Chapter 7. This new technology is remarkable because the plasma stripping is shortened and aggressive wet cleaning is not needed, both of which may remove excess amount of Si or SiO 2 . Technologists at Eco-Snow have developed another cryoaerosol clean- ing method to remove high-dose implanted photoresist [54]. This method uses the cryoaerosols to break-up the implanted, highly carbonized pho- toresist crusts, followed by a fi nal wet processing step to remove any remaining photoresist and residues. The process has demonstrated the removal of the crusts with an implant dose up to 1 × 1016 atom/cm 2 of As at 80 keV [54]. Either sulfuric acid/hydrogen peroxide mixture (SPM) or a commercially formulated liquid stripper has been used to clean up the remaining photoresist more effectively that using a wet stripping process only [55]. Laser ablation is another method under investigation for removing photo- resist, similar to that used for particle removal, discussed in Section 11.2.1.3 . Laser processing has been shown to remove photoresist by vaporizing the organic material and then reacting the vapor with an oxidizing gas without affecting the underlying fi lm [25].

Figure 11.2-6 High-dose implant samples (A) after plasma stripping, at 90 k × magnifi cation, showing the agglomerated residue and (B) after plasma stripping × and CO2 cryoaerosol processing at 100 k magnifi cation, showing the clean surface [51]. Used with permission form NanoClean Technologies. 11: New Surface Conditioning Technologies, Reinhardt 675

11.2.5 New plasma sources Plasma source technology is driven by the goal of achieving higher and higher stripping rates. Photoresist stripping rates of greater than 12 µ m/ minute are now being achieved with numerous downstream remote plasma sources. A source combination of downstream microwave (MW) and a second- ary radiofrequency (RF) capacitive-coupled electrode has been introduced by Axcelis, which combines fast stripping rates with high selectivity to Si and SiO2 [56]. The plasma reactor chamber is shown in Figure 11.2-7, it uses a secondary plasma discharge through micro-jets from the RF coupled energy, causing current crowding at the showerhead that ejects the charged species uniformly onto the wafer surface to prevent charge damage [56 ]. The secondary electrode provides ion assisted etching and faster stripping rates of the photoresist. Fluorine addition, such as provided by CF 4 can be used without increasing the substrate loss signifi cantly. Figure 11.2-8 depicts the SiO 2 loss with various concentrations of CF4 in forming gas (H2 /N2 ). The SiO 2 loss is minimized when large amounts of H2 are added, even with high concentrations of 15% CF4 . Other new plasma sources have been recently introduced. The MKS R*evolution  uses a low-fi eld toroidal RF plasma generator to increase etching rates compared to the conventional MW or inductively coupled plasma (ICP) sources with no increase in plasma damage [57 , 58 ]; 12 µ m/ minute photoresist stripping rates were demonstrated in O2 . The unique- ness of this source technology is based on the principle on an electrical transformer, where the output RF power connects directly to the primary

Figure 11.2-7 Schematic of new plasma source for rapid photoresist stripping. A combination of downstream MW source (not shown) combined with a secondary RF capacitive-coupled source [56]. Used with permission of Axcelis Technologies. 676 Directions for the Near Future

Figure 11.2-8 Graph of the SiO2 loss with various fl ows of CF4 in forming gas

(FG: H2/N2 at various H2 ratios) using a MW downstream source in combination with the secondary RF capacitive-coupled source [56]. Oxygen is the other gas used in this three-gas mixture. Used with permission of Axcelis Technologies.

coil and the current fl owing in the toroidal plasma becomes the secondary plasma [57 ]. This design is such that the RF power is inductively coupled through the ferrite core confi ne, which is confi ned within a toroidal quartz chamber, resulting in an effi cient method for sustaining the plasma while maintaining very low electric fi elds of 5–10 V/cm2 [57 ].

11.2.6 Surface conditioning technology: silicon surface bonding Silicon on insulator (SOI) wafers are manufactured by bonding one Si wafer to the other by activating the surface of both wafers and then placing them together so that a strong bond occurs fi rst through the van der Waals attraction and then by forming a covalent bond [59]. Activation of the superclean Si surface is the key to accomplish this bonding, typically by a remote plasma process. Belford and Sood have shown that the remote O2 - based plasma processing yields the best bond between Si and Si wafers, also is applicable to Si – quartz bonding [59 ]. There are other important conditions that affect the quality of wafer bonding and ongoing research is in place to optimize them [60]. The surface must be made hydrophilic with just enough activation to prevent dehydration of the surface, allowing the silanol groups (Si-OH) on both wafers to react and polymerize [61 ].

Plasma processing allows these groups to exist, without H2 O on the sur- face, as previously experienced with RCA cleaning preventing void for- mation in the fi nal annealing [60 , 61 ]. Figure 11.2-9 shows the different bonding energies for van der Waals and covalent bonds for Si– Si wafers with various surface conditioning treatments prior to bonding. 11: New Surface Conditioning Technologies, Reinhardt 677

Figure 11.2-9 Graph showing various surface treatment techniques prior to bonding Si–Si to create a SOI wafers. Both plasma and wet treatment results are shown, with the highest activation energy being the most desirable [59]. Reproduced by permission of ECS—The Electrochemical Society from Belford, R. E., and Sood, S., Electrochem. Solid State Lett.

11.2.7 Back surface and edge cleaning The front surface of the wafer has been the focus of most cleaning tech- nologies and until recently the back surface and bevel edge had little atten- tion. However, particles, residue, and other contamination resides on these surfaces and can be transferred to the device active areas on the front sur- face [62]. Defects and especially Cu contamination [63] on the back sur- face and edge have been addressed by liquid chemical cleaning methods [64 , 65 ], edge bead removal at the photolithography resist coat step [66 ], and clamping of the edge even when using an electrostatic chuck at etching and metal deposition steps [67 ]. Cleaning the bevel is becoming increas- ingly critical, as studies have pinpointed edge defects as signifi cant yield degraders. A method for cleaning the edge has been introduced by Accretech using a combustion fl ame, with H2 as fuel and O2 and NF3 as oxidizers, to remove organic residue, such as bottom anti-refl ective coating (BARC) and post- etch residue, on the bevel [68]. The fl ame is directed toward the bevel edge and covers 0.3– 3.0 mm from the wafers edge and fully combusts the residue, either directed toward the top bevel or the bottom, without affect- ing the adjacent area. 678 Directions for the Near Future

11.2.8 Emerging supporting technologies 11.2.8.1 Monitoring of chemical quality Processing chemicals are an important part of the total cleaning process. Therefore, the IC manufacturing industry has placed increasing emphasis on monitoring both the incoming chemicals and the state of the chemi- cals during the processing. As the wafers are being processed in chemical solutions that start with high purity, changes over times leave contami- nants in the processing tank. To eliminate the possibility of wafers being exposed to dirty chemicals monitoring can be done to assure the purity is maintained during processing. The purity level of highly diluted chemi- cal formulations, such as SC-1, is challenging to monitor because of the low concentration of the reagents. The concentration ratios are also impor- tant to maintain at a specifi c level, thus providing optimum cleaning effi ciency. Real-time and in situ measurements are desirable to quickly determine the status of the chemicals. An ideal monitoring technique should be easy to integrate and install, easy for obtaining samples, be low maintenance, and be able to communicate with the monitoring equipment with a feed- back loop for rapid detection of out of control occurrences [69 ]. Current methods of analysis include titration and other techniques performed in a laboratory and monitoring the refractive index of the incoming chemi- cals [69 ], inductively coupled plasma/mass spectroscopy (IPC/MS) and ion chromatography for analysis of metal and other ions, and resistivity/ conductivity measurements applicable to single component formulations. Emerging techniques that perform in situ measurement of the chemical purity and/or concentrations are listed in Table 11.2-5 . Near infrared (NIR) solution analysis is useful for chemicals with the following properties [70 ]:

1. H-bonds for inorganic material: NH3 , H2 O2 , H2 O, HF, H 2 SO4 , etc. 2. H-bonds for organic material: tetramethyl ammonium hydroxide (TMAH), acetic acid, etc. 3. Ions in solution which effects bonding structure. 4. Colored solutions with absorption in the NIR.

The analysis method consists of passing the NIR (700– 2500 nm) beam through the liquid chemical while a reference beam is used to compare the difference in optical properties. The change in the properties of the beam is related to the concentration of the components in the liquid, which can be 11: New Surface Conditioning Technologies, Reinhardt 679

Table 11.2-5 Emerging In situ Chemical Monitoring Techniques

Monitoring Technique Description References

Near infrared analysis Signals from a diode array [70, 71] spectrometer allow selective determination of multi- component formulations from ppm to 100wt%. Bubbles and temperature are compensated. Electrospray Mass spectrometer analysis of [72, 74] ionization time- impurities and components in of-fl ight mass chemicals at ppt to ppb levels. spectrometer

monitored, including the rate to appearance or disappearance of a specifi c component. The sampling takes place in situ or ex situ from the processing tank, with the in situ option being attractive for real time monitoring and the ability to augment the concentration with spiking of the appropriate com- ponent when the concentration is outside of the specifi ed value. This tech- nique claims to have fast response times and can monitor a wide range of concentrations [71]. Figure 11.2-10 shows correlation between the etching rate of HF and the signal obtained from NIR of the concentration of HF. Another method of analyzing impurities in chemicals is by using the electro-spray ionization time-of-fl ight mass spectrometer (EIS TOF/MS) [ 72 – 74 ]. The analyzers are available to monitor impurities in various liq- uids: cationic, anionic, metallic, organic, and molecular species present in H2 O and IPA. The analyzer can be equipped to measure impurities in corrosive chemicals such as HF, HCl, H2 SO4 , and H 3 PO4 . High-resolution mass spectroscopy discerns the impurities and discriminates between cat- ions and anions. Sampling is in situ using a feed line to the analyzing tool; currently the analysis takes less than 30 min. The technique of EIS TOF/

MS has been shown to be able to detect organic impurities in H2 O2 that were not detected with other analytical methods such as ICP/MS, ion chro- matography, and total oxidizable carbon (TOC). Figure 11.2-11 shows the difference in spectra of good and impure H2 O2 , where the impure H2 O2 had yield losses attributed to the chemical. The suspected impurity was detected by EIS TOF/MS and not by other methods. 680 Directions for the Near Future

Figure 11.2-10 The correlation between the etching rate of HF and the signal obtained from NIR of the concentration of HF [70]. Used with permission from Semiconductor Pure Water and Chemical Conference. Copyright 2007 SPWCC.

Figure 11.2-11 Mass spectra showing the difference between (a) clean H2O2 and (b) impure H2O2 where the impure chemical led to a yield loss. The peak at 118.088 m/z was the suspected impurity [72]. Used with permission from Semiconductor Pure Water and Chemical Conference. Copyright 2004 SPWCC.

11.2.8.2 Photolithography requirements Particles on the front surface of the wafer and also on the backside of the wafer can affect the photolithography process. Particles that refract the photolithography exposure beam will cause undesirable refl ective notch- ing of the printed line and particles on the back surface of the wafer can 11: New Surface Conditioning Technologies, Reinhardt 681 raise the wafer out of the depth of focus range of the lithography system. The relationship of back surface particles to yield is under investigation by the IC manufacturing community [75 ]. Although there are substantial data that show that back surface particles do affect yield [62 ], the size and the defect density of these particles and the relationship with yield is not yet clear. The use of immersion lithography [76, 77], where the light that is used to expose the wafer passes through a H2 O droplet for 193-nm light, requires that no residual H2 O remain on the wafer after exposure that may affect the post-exposure bake process. These watermarks adversely affect the printed features, thus watermark-free drying is needed. The confi guration chosen for the lithography process has a bearing on the easy of keeping the sur- face devoid of any unwanted watermarks [78 ], which may cause problems for the post-exposure bake and development step, therefore any remaining droplet left on the wafer must be dried prior to the post-exposure bake. For light sources other than 193 nm, H2 O does not have the desired index of refraction and other liquids, some of them are fl uorocarbon based, may be needed [78 ].

11.2.9 Water purity Water purity is sometimes taken for granted due to the other complexi- ties involved in cleaning and surface conditioning processes. With the use of dilute chemicals, the H2 O used for dilution must be pure to obtain low levels on contamination on the wafer surface. Rinsing water at 17 – 18 M Ω-cm for FEOL must be maintained. High-quality materials for long lifetime fi lters and optimized methods for preparing clean water, plus analytical tech- niques that can detect trace contaminants are being developed to assure high-yielding devices.

Extension of existing on-board purifi ers for H 2O includes point of use fi lters, which remove the organic contamination caused by out-gassing and particulate contamination generated in the supply line.

11.2.10 Reduced chemical usage and recycling chemicals Concentrated chemicals have traditionally been used for cleaning micro- electronic devices. Not necessarily because they clean more effectively than dilute chemicals, it is because these concentrations were the fi rst to be developed and in an industry that is slow to adopt change, unless there 682 Directions for the Near Future is a technical need, they have been used because they work. Changes have come about, and dilute chemistries are in place as discussed in Chapter 4. Further work in not only reducing the amount of chemicals being used, but also in recycling the chemical is being developed as part of the charac- terization of the technology. On-site processing for chemical recycling is one method the IC industry conserves chemicals [79 ]. Green processing is emphasized by many start-up companies, such as NanoGreen [18], which uses H2 O with very little active chemical. Most single wafer processors also use dilute chemistries with the goal of being disposed of and treated in the same manner as tap H2 O. Ozone-based stripping, once thought to be a replacement for SPM, although green, did not perform to the technical level needed for widespread adoption, however is performing as well as the RCA cleaning processes. Solvent chemistries have given way to semi-aqueous chemistries for BEOL cleaning processes. Although these still require special disposal consider- ation, multiple pass use helps the lifetime of these chemicals and leads to overall lower usage. Rinsing optimization is critical for removing the chemi- cals from the surface of the wafer, and H2 O reduction [80] has been studied in depth by the industry. Although this step is well characterized, there is still a need for improvement as the consumption of H2 O is quite high.

11.2.11 Nanotechnology Nanotechnology including carbon tubes, biological-sensitive fi lms, and embedded micro-electrical –mechanical structures (MEMS) on IC device wafer have additional cleaning challenges beyond those uncounted with ICs. In particular, stiction of cantilever structures will render the device unusable. Drying of these features is accomplished using various methods, yielding stiction-free devices, however, none of these methods are consid- ered mainstream in IC manufacturing such as scCO2 drying [81]. Bio-sen- sitive fi lms integrated with IC and MEMS devices [82, 83], such as those developed for sensing gases or liquids, cannot be cleaned using conven- tional plasma or wet methods that may deactivate or destroy the fi lms. New cleaning techniques, some of which have not even yet been identifi ed will be needed. Carbon nanotubes, have shown the ability to form fi eld effect transistors with a single tube [84], whose inner diameter is approximate that of molecules used for cleaning. In addition, thin, high-quality gate oxide is required to form functioning devices. The cleaning techniques that may be used in future are being investigated and a few researchers have published various methods; laser cleaning [85 ] and O2 -based plasma [86]. 11: New Surface Conditioning Technologies, Reinhardt 683

11.3 Technology Acceptance

Which of the emerging technologies will be technically capable and cost effective for advanced cleaning and particle removal at the 45, 32, and 22-nm nodes have yet to be determined. Even if a new technique proves to be technically feasible, it must exceed the already low and stringent Cost of Ownership (COO) values of plasma and wet processes. While the emerg- ing techniques are maturing, traditional cleaning techniques and process equipment will continue to evolve, yielding improved process capability and higher productivity.

11.3.1 Manufacturing concerns 11.3.1.1 Cost Extension of existing technologies is one of the ways the IC industry has been keeping costs in check. Nevertheless, the engineer fi nds him- self or herself spending much time and effort on cost issues in addition to the technical challenges of process performance and yield. It has become common to predict and track costs in detail on a unit process level. The COO model, fi rst developed for the industry by SEMATECH, is a useful tool when comparisons of different process options are needed, since the sources of cost and the trade-offs between various options can be complex. The most common decision-making process is choosing between one cleaning tool and another. The cost per wafer pass through a tool is a func- tion of fi xed cost (such as purchase price of the tool), variable cost (such as consumables, chemicals, and labor), yield loss, throughput (wafers/ hour) capability, and the percent of time the tool is actually processing wafers. Thus a trade-off might be, for example, between one tool with higher throughput and another with lower consumables (e.g., chemicals and DI H2 O) cost plus a lower price. Cost modeling provides a quantitative comparison [87 ]. As the particle and other contamination requirements become more stringent with each technology node, the processing techniques become more complex and complicated. For example, new cleaning solvents can cost as much as US$ 60 per liter. Therefore, carefully managing the manu- facturing costs is a primary concern for an IC fab. Also, higher processing costs and higher defect density contribute to lower profi ts for the manufac- turing fab. Cleaning processes used for IC manufacturing must meet all the technical challenges and process wafers at a reasonable cost. The COO is 684 Directions for the Near Future used to describe the cost of processing a wafer based on the capital cost of the equipment, the cost of the consumables (process chemicals, brushes, etc.), the processing time of the wafer, and other factors. For the major- ity of fabs, a process with lower cost of ownership will be the “ process of record” provided the technical requirements are met. Another method of cost reduction is simplifi cation of processing steps. Keeping the same technology for many nodes minimizes development costs. Even though technical challenges dictate new development programs, cost will always be a driving factor in adopting the new technologies.

11.3.1.2 Environmental concerns Environmental issues are a constant concern to the IC manufacturing industry. The industry is continuously investigating methods to lessen the environmental impact of cleaning processes by using less hazardous, envi- ronment-friendly chemicals and by instituting reduced chemical and H2 O usage and by reusing and recycling them. Dilute chemistries for critical cleaning applications have shown promise in reducing both chemical and water usage. Replacing organic solvents with semi-aqueous solvents uses less H 2 O for rinsing and reduces the amount of organic waste.

11.4 Summary

The driving forces for cleaning wafers are yield and cost reduction, new IC architectures, smaller critical dimensions, new materials, reliability, damage reduction, and environmental concerns. The ITRS roadmap out- lines these concerns and suggests potential solutions. This chapter has sum- marized the technical challenges and discusses the emerging techniques that have been developed to meet these challenges. Critical requirements for FEOL cleaning are the ability to remove small particles, minimizing the effect to Si surface roughness, and to remove all metal and organic contaminants. New methods such as dilute chemistries, advanced drying technologies, and advanced techniques for removing particles without damaging the surface all show promise for tackling the future technical challenges. The challenges of cleaning BEOL structures are especially diffi cult; include the ability to process low dielectric constant fi lms without alter- ing their properties, the ability to clean residues from high-aspect ratio and small features, and the ability to minimize the attack and oxidation 11: New Surface Conditioning Technologies, Reinhardt 685 of metal fi lms, and to have a minimal effect on the critical dimensions. There are a proliferation of new cleaning techniques and emerging tech- nologies to address these issues. They will not necessarily replace the cur- rent plasma and wet cleaning methods, but may augment theme for critical applications. Whatever direction the industry proceeds to meet the technical challenges of IC manufacturing, wafer cleaning will play a key role. New cleaning chemical formulations, new cleaning techniques, and ways to extend exist- ing cleaning techniques all have promising possibilities to meet upcoming technical challenges and address the needs of the industry.

Acknowledgments

The author thanks her many colleagues in the semiconductor industry for their contributions, especially Ivan (Skip) Berry for many detailed technical discussions.

References

1. Louis, D., Microelectronic Eng., 41/42:415 (1998). 2. International Technology Roadmap for Semiconductors, Semiconductor In- dustry Association, Austin, TX (2006). 3. Kittle, P. A., A2C2, p. 11 (January, 2002). 4. Kittle, P. A., A2C2, p. 13 (May, 2002). 5. Gray D. and Frederick, C., US Patent 6,418,942 (July 16, 2002). 6. Thietje, J., US Patent 5,468,302 (November 21, 1995). 7. Kanno, I., US Patent 5,873,380 (February 23, 1999). 8. Manifredi, P.A., US Patent 6,350,183 (February 26, 2002). 9. Vereecke, G., Veltens, T., Eitoku, A., Sano, K., Doumen, G., Fyen, W., Wostyn, K., Snow, J., and Mertens, P. W., 8th International Symposium on Ultra Clean Processing of Silicon Surfaces, p. 147 (2006). 10. Verhaverbeke, S., Gouk, R., Porras, E., Ko, A., and Endo, R., Solid State Technology, 49(3):47 (2006). 11. Madanshetty, S., 26th Annual Semiconductor Pure Water and Chemical Con- ference (Balazs, M. K., ed.), p. 241 (2007). 12. Singer, P., Semiconductor International, 30(4) (April, 2007). 13. Matsukawa, H., Yonemizu, A., Matsushita, M., Fujimoto, A., Takekuma, T., Yaegashi, H., and Fukuda, T., US Patent 5,518, 542 (May 21, 1996). 14. Izumi, A. and Kawakatsu, T. US Patent 5,927,306 (July 27, 1999). 15. Fan, Y., Franklin, C., Abit, A., Rouillard, M., Nguyen, V., Krezeminski, T., and Brause, E., Sematech Surface Preparation and Cleaning Conference, (2007). 16. Hirano, H., Sato, K., Osaka, T., Kuniyasu, H., Hattori, T., Electrochem. Solid State Lett., 9(2):G62 (2006). 686 Directions for the Near Future

17. Randhawa, R., Christov, H., Mangano, S., Reinhardt, K., Erez, S., and Basha, S., ECS Extended Abstracts, 10th International Symposium on Cleaning and Surface Conditioning Technology in Semiconductor Device Manufacturing Session E3, paper 1044, The Electrochemical Society, Pennington, NJ (2007). 18. Mor, J., Sematech Surface Preparation and Cleaning Conference, Poster 1 (2007). 19. Cheng, H.-P., J. Chem. Phys., 105(16):6844 (1996). 20. Baklanov, M. R., Kondoh, E., Donaton, R. A., Vanhaelemeersch, S., and Maex, K., J. Electrochem. Soc., 145:3240 (1998). 21. Parker, J., Verhaverbeke, S., and McConnell S., Fourth International Sym- posium on Cleaning Technology in Semiconductor Device Manufacturing (Ruzyllo, J., and Novak, R. E., eds.), 95-20:526, Electrochemical Society, Pennington, NJ, USA (1995). 22. DeYoung, J. P., McClain, J. B., and Gross, S. M., US Patent Application 20020112746 (August 22, 2002). 23. DeSimone, J. M., DeYoung, J. P., and McClain, J. B., US Patent Application 20030051741 (March 20, 2003). 24. Elliot, D., Symposium on CFM for Semiconductor Processing, SEMI, Sec- tion D-1 (1999). 25. Elliot, D., Sematech Surface Preparation and Cleaning Conference (2007). 26. Engelsberg, A. C., Precision Cleaning, p. 35 (May, 1995) and Engelsberg, A., Materials Research Symposium Proceedings, 315:254 (1993). 27. Wu, X., Sacher, E., and Meunier, M., Proceedings of the 22nd Annual Meet- ing of the Adhesion Society, 70(1-2):167 (1999). 28. Genut, M., Livshits, B., Uxiel, Y., Tehar-Zahav, O., Iskevitch, E., Barzilay, I. And Speiser, S., Proc. SPIE Laser Applications in Microelectriconcs and Optoelecrical Manufacturing III (Dubowsk, J. J., and Dyer, P. E., eds.) 3274, 90 (1998). 29. Ye, J.-H. ,Lee, Y.-P., Zhou, M.-S., and Lu, Y.-F., US Patent 6,009,888 (June 8, 2000). 30. Leider, P., Mosbacher, M., Boneberg, J., Bartels, C., Lang, F., Alfonso, C., and Beauerle, D., Solid State Phenomena, 92:133 (2003). 31. Mahoney, J. F., Inter. J. Mass Spectrom. Ion Processes, 174:253 (1998). 32. Mahoney, J. F., Perel, J., and Sujo, C., Solid State Technology, 41(7):149 (1998). 33. Mahoney, J. F., Perel, J., Sujo, C., and Andersen, J., Particles on Surfaces: Detection, Adhesion and Removal (Mittal, K .L., ed.), 546:311, VSP, Utrecht (1999). 34. Mahoney, J. F., Perel, J., and Finster, J., K., World Trade Organization PCT, WO/2006/089134 (Filed February 15, 2006). 35. Finster, K., Sematech Surface Preparation and Cleaning Conference, p.51 (2006). 36. Sony Semiconductor and LCD News (CX-News), 36(5) (2004). 37. Reinhardt, K., US Patent 6,747,243 (June 8, 2004). 38. Fyen, W., Holsteyns, F., Lauerhaas, J., Bearda, T., Mertens, P. W., and Heyns, M. M., Seventh International Symposium on Cleaning Technology in Semi- conductor Device Manufacturing (Ruzyllo, J., Hattori, T., Opila, R., L., and Novak, R. E., eds.), 2001-26:91, Electrochemical Society, Pennington, NJ, USA (2001). 39. Rosato, J., Sematech Surface Preparation and Cleaning Conference (2007). 11: New Surface Conditioning Technologies, Reinhardt 687

40. Pham, X. N., US Patent Application 20060150432 (July 13, 2006). 41. Garcia, J. P., Redeker, F. C., and de Larios, J., US Patent 7,003,899 (February 28, 2006). 42. Garcia, J. P., Redeker, F. C., and de Larios, J., US Patent 7,143,527 (Decem- ber 5, 2006). 43. Owens, J. G., 3M Corporation Internal Technical Paper. 44. Tuma, P. and Tousignant, L., SEMI Technical Symposium: Innovations in Semiconductor Manufacturing, Semicon West, (2001). 45. Mehmandoust, Y., US Patent 6,729,040 (May 4, 2004). 46. Ferrell, G. W., Elson, R. J., and Schipper, J. F., US Patent 6,119,366 (September 19, 2000). 47. Nakai, A., Aoki, T., Seki, T., Matsuo, J., Takaoka, G. H., and Yamada, I., Nuclear Instruments and Methods in Physics Research, B206:842 (2003). 48. Takaoka, G. H., Noguchi, H., Nakayama, K., Hironaka, Y., and Kawashita, M., Nuclear Instruments and Methods in Physics Research, B237(1-2):402 (2005). 49. Seki, T., Aoki, T., Nakai, A., Matsuo, J., and Takaoka, G. H., Material Research Society Symposium Proceedings, 749:335 (2003). 50. Aokia, T., and Matsuo, J., Nuclear Instruments and Methods in Physics Re- search, B242(1-2), 517 (2006). 51. Reinhardt, K., Makhamreh, K., and Tannous, G., 8th International Sympo- sium on Ultra Clean Processing of Silicon Surfaces, p. 99 (2006). 52. Boumerzoug, M., Tannous, A. G., and Makhamreh, K., US Patent 6,764,385 (July 20, 2004). 53. Boumerzoug, M., Tannous, A. G., and Makhamreh, K., US Patent 7,040,961 (May 9, 2006). 54. Banerjee, S., Borade, R., Sato, M., Hirae, S., Cross, P., and Rahgavan, S., ECS Transactions (Ruzylo, J., Hattori, T., and Novak, R. E., eds.), 1(3):111, (2005). 55. Qiao, J., Dinh, X.-D. T., Maloney, D., Banerjee, S., and Borade, R., Sematech Surface Preparation and Cleaning Conference (2007). 56. Rounds, S., Srivastava, A., and Han, K., Semiconductor Manufacturing, 7(8):28 (August, 2006). 57. Chen, X., Holber, W., Loomis, P., Sevillano, E., Shao, S.-Q., and Bailey, S., Semiconductor Manufacturing, 4(8):21 (August, 2003).. 58. Chen, X., Loomis, P., Sevillano, E., and Yang, J. K., Semiconductor Manufac- turing, 6(11):39 (November, 2005). 59. Belford, R. E., and Sood, S., Electrochem. Solid State Lett., 10(5):H145 (2007). 60. Wiegand, M., Reiche, M., and Gösele, U., J. Electrochem. Soc., 147(7):2734 (2000). 61. Suni, T., Henttinen, K., Suni, I., and Mäkinen, J., J. Electrochem. Soc., 149(6):G348 (2002). 62. Saravanan, C., Liu, Z., Yang, W., Swisher, M., and Tang, A., MICRO, 22(3):29 (April, 2004). 63. Gu S. Q., Duong, L., Elmer, J., and Prasad, S.,.Proceeding of the ULSI Pro- cess Integration III, (Claeys, C. L., Gonzales, F., Murota, J., Fazan, P., and Singh, R., eds.), 2003-06:447, The Electrochemical Society, Pennington, NJ, USA (2003). 688 Directions for the Near Future

64. Broussous, L., Besson, P., Frank, M., and Bourgeat, D., Solid State Phenom- enon, 103-104:249 (2005). 65. Dornisch, D., Li, G., and Brongo, M., Solid State Technology, 43(5):137 (May, 2000). 66. Cuthbert, J. D. and Soos, N. A., US Patent 4,510,176 (April 9, 1985). 67. Pu, B., Shan, H., Ka, K.-H., Welch, M., Sherstinsky, S., Mak., A., Chen., L., Zhang, S., Zuniga, L. A., and Wilson, S. C., US Patent 5,740, 009 (April 14, 1998). 68. Bailey, B., Sematech Surface Preparation and Cleaning Conference (2007). 69. Moseman, T. J., 26th Annual Semiconductor Pure Water and Chemical Con- ference Proceedings (Balazs, M. K. ed.), p. 1 (2007). 70. Shalyt, E., Liang, G., Bratin, P., and Lin, C., 26th Annual Semiconductor Pure Wa- ter and Chemical Conference Proceedings, (Balazs, M., K., ed.), p. 19 (2007). 71. Shekel, Y., Hartman, I., Shalyt, E., Berkmans, J., and Bratin, P., Proceeding of the 208th Electrochemical Society Meeting, 502:783 (2006). 72. Wang, J. and Ormond, B., 23th Annual Semiconductor Pure Water and Chem- ical Conference Proceedings (Balazs, M. K., ed.), p. 190 (2004). 73. Wang, J., West, M., Han, Y., McDonald, B., and Ormond, B., 24th Annual Semiconductor Pure Water and Chemical Conference Proceedings (Balazs, M., K., ed.), p. 15 (2005). 74. Wang, J., West, M., Han, Y., McDonald, R. C., Yang, W., Ormond, B., and Saini, H., Characterization and Metrology for ULSI Technology, American Institute of Physics Conference Proceedings, 788:297 (2005). 75. Bearda, T., Mertens, P., Holsteyns, F., De Bisschop, P., Compen, R., van Meer, A., and Heyns, M., Solid State Phenomenon, 103-104:129 (2004). 76. Owa, S. and Nagasaka, H., J. of Microlithography, Microfabrication, and Microsystems, 3(1):97 (2004). 77. Lin, B. J., J. Microlithography, Microfabrication, and Microsystems, 3(3):377 (2004). 78. Mulkens, J., Flagello, D., Streefkerk, B., and Graeupner, P., J. of Microlithog- raphy, Microfabrication, and Microsystems, 3(1):104 (2004). 79. Adams, J. A., and Persichini, D. W., IEEE International Symposium on Semiconductor Manufacturing, p. 187 (1995). 80. Roche, T. S. and Peterson, T. W., Solid State Technology, 39(12):78 (December, 1996). 81. Mulhern, G. T., Soane, D. S, and Howe, R. T., International Conference on Solid-State Sensors and Actuators (Transducers ’93), p. 296 (1993). 82. Xu, Y., Xia, S., Bian, C., Chen, S., Science in China, 49(3):397 (2006). 83. Baltes, H., Brand, O., Hierlemann, A., Lange, D., and Hagleitner, C., Fifteenth IEEE International Conference on Micro Electro Mechanical Systems, p. 459 (2002). 84. Bachtold, A., Hadley, P., Nakanishi, T., and Dekker, C., Science, 294:1317 (November 9, 2001). 85. Hurst, K. E., Dillon, A. C., Keenan, D. A., and Lehman, J. H., Chem. Phys. Lett., 433(4-6):301 (2007). 86. Petkov, M. P., Microsc Microanal, 11(Suppl. 2):1928 (2005) and NASA Tech. Briefs (March 2006). 87. Dance, D. L., Burghard, R. W., and Markle, R. J., Microcontamination, 64:21, (1992). Book Editors and Chapters Authors

Editors

Karen A. Reinhardt is Principle Consultant at Cameo Consulting in San Jose, California, where she works with start-up cleaning companies to develop their technology for acquisition. Prior to forming a consult- ing company, Ms. Reinhardt was employed at Novellus Systems,where she was responsible for investigating new cleaning technologies that allow realization of the ITRS roadmap with respect to smaller geometries, new materials, and the environmental issues associated with current pro- cesses. She also worked at AMD and Cypress Semiconductor as a pro- cess development engineer. Ms. Reinhardt has published over 30 technical papers on plasma processing, damage characterization, and cleaning tech- nology assessment, and has been awarded seven patents. She is currently co-leading the ITRS Surface Prep Technical Working Group. Werner Kern is president of Werner Kern Associates, a consulting fi rm for Semiconductor Materials Processing. From 1959 to 1987 he was a scientist at the RCA David Sarnoff Research Center in Princeton, New Jersey, as a Fellow of the Technical Staff. While at RCA he developed the RCA Standard Clean Process for silicon wafer cleaning, which in its various forms is still used worldwide after nearly 40 years. In 1988 he joined Lam Research Corporation as a Senior Scientist, and then opened his own consulting com- pany. Mr. Kern is the holder of 11 US Patents and is the author and co-author of over 150 scientifi c publications. He is the editor of four technical books, is an Emeritus Fellow of the Electrochemical Society, and is an Emeritus Member of the American Vacuum Society. He is the recipient of three RCA Outstanding Achievement Awards and the Callinan Award from the Electrochemical Society’s Dielectric Science Division. Several additional awards include a NASA Recognition in 1981, the prestigious 1997 SEMI Award for his lifetime contributions to the semiconductor industry, and SCP Global Technologies’ Werner Kern Award, which was founded in his honor for technical excellence in 1999.

689 690 Book Editors and Chapters Authors

Authors

Kaveh Bakhtari is currently a scientist in the corporate advanced technology group at MKS Instruments. He is involved with advanced thermo-fl uid modeling and design related to plasma sources required for semiconductor wafer processing. He received his B.S degree in aerospace engineering from Sharif University of Technology, Iran in 1999, and his MS and Ph.D. in mechanical engineering from Northeastern University, Boston in 2002 and 2006, respectively. Dr. Bakhtari was formerly a Post-Doctoral Research Associate at the Center for High-rate Nanomanufac- turing (CHN), a National Science Foundation Nano Scale and Engineering Center (NSEC) at Northeastern University. His work focused on numerical analysis of processes that will enable high-rate/high-volume assembly of nanoelements (such as carbon nanotubes, nanoparticles, etc.). He has also been involved with the Center for Microcontamination Control (CMC), an NSF Industry/University Cooperative Research Center at Northeastern, where he has worked on particle deposition control and removal for com- panies such as Seagate Technology, Brooks Automation Inc., Intel, and PCT Systems Inc. Chapter 3. Souvik Banerjee is Director of Technology at BOC Eco-Snow Systems.

His current responsibilities are development and implementation of CO2 cryo- genic cleaning for surface conditioning in microelectronics and photomask manufacturing. Prior to joining BOC, Souvik was Senior Process Engineer at Novellus Systems working on advanced cleaning applications in integrated cir- cuit manufacturing processes. Dr. Banerjee was formerly at Komag where he worked on post-CMP cleaning and drying, various techniques for deposition of mono-dispersed particles for calibration, and CO2 cryogenic cleaning and removal of residues in hard disk manufacturing process. His Ph.D. research, at the University of Arkansas, was in the areas of particle charging, transport of charged particles, and particle adhesion to surfaces. He currently has 6 patents on surface conditioning in microelectronics manufacturing and is the author of more than 30 technical papers. He is an active member of the ITRS Front End Process technical working group. Chapter 7. Twan Bearda is a senior scientist in the Ultra Clean Processing (UCP) group at IMEC. Dr. Bearda received his Ph.D. at the Twente University of Technology in the Netherlands. He has worked on the effects of con- tamination and substrate defects on gate oxide integrity. In doing so, he has developed a systematic approach for risk assessment and controlled intro- duction of non-conventional materials (e.g. high-κ, metal gate or silicides) in a process environment. More recently his areas of interest have extended to the reduction of cleaning-induced defectivity, such as damage of fragile Book Editors and Chapters Authors 691 structures induced by megasonic cleaning, the formation of watermarks, and stiction of high-aspect ratio structures during drying. In addition, he is involved in several research projects on advanced cleaning methods and metrology for contamination control. Chapter 2. Stephen P. Beaudoin is currently Associate Head, School of Chemical Engineering at Purdue University. His work includes particle and thin-fi lm adhesion, electronic materials, chemical mechanical polishing, and bio- sensors, and he has published more than 50 refereed manuscripts in these areas. He received his B.S. in Chemical Engineering from MIT, M.S. in Chemical Engineering from the University of Texas-Austin, and his Ph.D. in Chemical Engineering from North Carolina State University. Dr. Beaudoin is a National Science Foundation CAREER Award Recipient for his work on particle adhesion, and is a Purdue University Faculty Scholar. He as was an inaugural recipient of the Excellence in Teaching Award sponsored by the Purdue Student Government and the Offi ce of the Provost. Chapter 2. Ahmed A. Busnaina is the William Lincoln Smith Chair Professor and Director of the Nanomanufacturing Research Institute and the National Science Foundation Center for Microcontamination Control at Northeastern University, Boston. He specializes in nanoscale defects removal, mitigation and characterization, wafer cleaning technology, chemical and particulate contamination in LPCVD and sputtering processes, particle adhesion and removal, submicron particle transport, and deposition and removal in clean environments. He is also involved in the fabrication of micro and nanoscale structures and interconnects. Dr. Busnaina established the Microcontami- nation Research Laboratory in 1988, including a fully equipped class 10 cleanroom, to provide innovative basic and applied research to the semi- conductor industry and equipment manufacturers. The Microcontamination Research Laboratory became the nucleus for the current NSF Center for Contamination Control. In addition, Dr. Busnaina serves on the editorial advisory board of Semiconductor International, the Journal of Particulate Science and Technology, and the Journal of Environmental Sciences. He is a Fellow of the American Society of Mechanical Engineers, and has won numerous awards including: Fulbright Senior Scholar Award, 1993–94; 1990 Best Paper Award from ASME; 1990 John W. Graham, Jr. Faculty Research Award; 1989 Dow Outstanding Young Faculty Award (ASEE); and the 1986 Ralph R. Teetor National Educational Award. Chapter 3. Jeffery W. Butterbaugh is currently chief technologist for FSI International. He joined FSI in 1993 to lead process development for photochemical wafer cleaning. From 1995 to 2001 he was an engineering manager and led process development teams for anhydrous HF, cryogenic aerosol, and immersion pro- cessing, as well as spray acid processing. Prior to joining FSI, Dr. Butterbaugh 692 Book Editors and Chapters Authors worked as a photolithography process engineer and a plasma etch develop- ment engineer for IBM in Burlington, Vermont, and also as a sputter deposi- tion and sputter etch engineer for Seagate Technology in Bloomington, Min- nesota. He is currently serving as co-chair of the FEP Technology Working Group for the ITRS. Dr. Butterbaugh received his Ph.D. in Chemical Engi- neering from MIT and his B.S. in Chemical Engineering from the University of Minnesota. He holds 8 U.S. patents and has authored or co-authored over 40 papers on surface conditioning and plasma etching. Chapter 5. Yves J. Chabal is currently Professor in the Department of Material Sci- ence and Engineering at the University of Texas at Dallas Nanoscience and Engineering Research Laboratory. Formerly, Dr. Chabal was Professor in the departments of Chemistry and Chemical Biology, Biomedical Engineering, and Physics and Astronomy, and the director of the Laboratory for Surface Modifi cation at Rutgers University. His current research focuses on Atomic Layer Deposition for high-κ dielectrics and metal growth on semiconduc- tor surfaces, wet chemical functionalization of semiconductor surfaces for sensor and biotechnology, and hydrogen storage in both complex metal hydrides and metal organic frameworks. He worked at Bell Laboratories (AT&T, Lucent Technologies, Agere Systems) from 1980 to 2002 after receiving a Ph.D. in Physics from Cornell and B.A. in Physics from Princ- eton. Chapter 9. Glenn W. Gale is vice president of the Front-End-of-line (FEOL) Clean- ing Program at SEZ. He is responsible for managing SEZ’s worldwide FEOL cleaning processes, overseeing the introduction of the company’s FEOL solutions globally, and focusing on strategic technology needs. Dr. Gale’s career began at IBM, where he was a manufacturing equipment and wet chemical process engineer. He later served as the project manager for surface preparation in the Front-End Processes Division of SEMATECH as an IBM assignee, where he also co-chaired the team responsible for the Surface Preparation section of the International Technology Roadmap for Semiconductors (ITRS). Before joining SEZ he was chief technologist for the Cleaning Systems Business Unit of Tokyo Electron America and worked for three-and-a-half years at the company’s world headquarters in Tokyo. Dr. Gale received his Ph.D. from Clarkson University in Potsdam, N.Y. He is an author of more than 40 technical articles and book chapters, and holds more than a dozen United States patents. Chapter 4. Dennis W. Hess is the William W. LaRoche, Jr., Professor in the School of Chemical and Biomolecular Engineering at the Georgia Institute of Tech- nology. He received his B.S. in Chemistry from Albright College, and his M.S. and Ph.D. in Physical Chemistry from Lehigh University. Dr. Hess’s research interests are in thin fi lm science and technology, microelectronics Book Editors and Chapters Authors 693 processing, plasma science and technology, surface cleaning/conditioning, biosensor fabrication, and surface modifi cation/characterization. He is a Fel- low of the American Association for the Advancement of Science, the Amer- ican Institute of Chemical Engineers (AIChE), and the Electrochemical Soci- ety (ECS). He has served as Editor-in-Chief for Electrochemical and Solid State Letters since 2004, and as Associate Editor for Chemistry of Materials from 1988–1996. He was President of the Electrochemical Society for the 1996–1997 term. He received the Charles M. A. Stine Award from the Mate- rials Engineering and Sciences Division of AIChE in 1999, the Thomas D. Callinan Award from the Dielectrics Science and Technology Division of ECS in 1993, and the Solid State Science and Technology Award from the Electrochemical Society in 2005. Chapter 6. Gregg S. Higashi is the Process Development Manager for Disrup- tive Non-Volatile Memory Technologies in the California Technology and Manufacturing Organization of Intel Corporation. He joined Intel in October of 2005 after 3 years at Applied Materials and a 20 year career with Bell Labs. At Applied Materials he served as the Chief Technol- ogy Offi cer and Co-Director of the Applications Development Center for the Front End Products Business Group. During Dr. Higashi’s tenure with Bell Telephone Laboratories, AT&T Bell Laboratories, Lucent Bell Laboratories, and Agere Systems Labs he worked in the Bells Labs Core Research, the Bell Labs VLSI Process Development, in the AT&T Microelectronics Manufacturing, and in the Agere Labs VLSI Pro- cess Development organizations. He has co-authored over 90 technical publications and is well known in the semiconductor community for his contributions to wafer cleaning technology and to gate dielectric and alu- minum chemical vapor deposition research. His process development experience spans 0.9 µm to 0.09 µm technologies in the areas of furnace, implant, RTA/RTP, wet cleans, dry strip, and metrology. Prior to joining Bell Labs Dr. Higashi received his B.S. and Ph.D. degrees in physics from the Massachusetts Institute of Technology. Chapter 9. Steven Hues is currently at Micron Technology. He formerly led the Advanced Chemistries Development Group within the Motorola Dan Noble Center Physical Analysis Laboratory, which focuses on chemical analysis development for future generation semiconductor fabrication. He was previ- ously a senior staff scientist in the Advanced Surface Spectroscopy Section at the US Naval Research Laboratory performing research in the areas of surface analysis, particularly secondary ion mass spectrometry, and the development of scanned probe technology for nanoscale mechanical prop- erty measurement. Dr. Hues received his Ph.D. in analytical chemistry from Arizona State University. Chapter 10. 694 Book Editors and Chapters Authors

Luke Lovejoy is currently with Freescale Semiconductor, and is the manager of the Analytical Chemistry Laboratories in Austin, TX. His pri- mary focus is metallic contamination monitoring on silicon wafers using VPD/ICP-MS and TXRF. His other priorities include atmospheric molec- ular consummation (AMC) monitoring in cleanroom environments, and analysis methods for various metal plating baths used in the semiconductor industry. He was previously with Dominion Semiconductor in Manassas, VA where he developed methods for the trace metal analysis of process chemicals/materials and method development for trace metals analysis using TXRF, and VPD/ICP-MS. He received his B.S. degree in chemis- try from Texas State University and a MS in Analytical Chemistry from Illinois Institute of Technology. Chapter 10. Paul W. Mertens holds a Masters and a PhD degree of applied sciences from the KULeuven. He joined IMEC in October 1984 to work on the silicon-on-insulator project. Since 1990 Dr. Merten’s main fi eld of research has been the investigation of silicon wafer surface quality, particularly for ULSI applications. This includes the quality of thin gate dielectrics, defect control, effects of contamination and cleaning processes, and related metrology. Dr. Mertens leads the Ultra Clean Processing program at IMEC. In this program research on cleaning technology is conducted in collabo- ration with universities and leading edge micro-electronic companies. Dr. Mertens has invented different processes and tools related to advanced wafer cleaning, resulting in several patents and patent-applications. He presented at several scientifi c conferences, including several invited presentations, in the fi eld of ultra clean processing, and has authored or co-authored over 200 scientifi c papers in the fi eld of silicon technology, mainly related to contamination effects and advanced wafer cleaning. He is actively involved in the organization of the bi-annual international Ultra Clean Processing on Semiconductor Surfaces symposium (UCPSS), recognized as a world class forum for dissemination of knowledge on cleaning and contamination control in micro-electronics. Chapter 2. Anthony J. Muscat received his Ph.D. (1993) from Stanford University in Chemical Engineering working on the mechanisms of chemical catalysis on metal surfaces. He spent two years (1991–93) at Laval University in Quebec City, Canada, and two years (1993–95) at MIT working on semiconduc- tor surface chemistry as a research associate. He spent two and one-half years (1995–1998) as an Assistant Professor in the Chemical and Materials Engineering Department at San Jose State University. Since 1998 he has been in the Chemical and Environmental Engineering Department at the University of Arizona, where he is currently an Associate Professor. He is a recent recipient of an NSF Career Award and the 2003 Scientifi c American Book Editors and Chapters Authors 695

50 Technology Leaders, Policy Leader in Chemicals and Materials Science. Dr. Muscat teaches thermodynamics, chemical reaction engineering, process modeling and control, surface chemistry, and semiconductor processing. His research interests focus on understanding chemical reaction mechanisms at semiconductor surfaces. He has authored fi fty scientifi c publications and has two patents pending. Chapter 5. Jin-Goo Park is a professor in the Division of Materials and Chemical Engineering, and is director of the Electronic Materials and Processing Lab at Hanyang University. Prior to joining the university staff in 1994, he was with Texas Instruments (Dallas), where he was responsible for microcontamination control in semiconductor wet processing and DLP development. Dr. Park’s research interests include wafer cleaning and chemical mechanical polish- ing (CMP), as well as bio-MEMS. He has a B.S in metallurgy and materials engineering from Hanyang University, and an M.S. and Ph.D. in materials science and engineering from the University of Arizona. He has authored more than 200 technical publications in the area of wafer cleaning, chemical mechanical polishing and bio-MEMS. Chapter 3. Richard (Rick) F. Reidy is Associate Professor, Materials Science and Engineering Department, University of North Texas. He received his B.A. in Chemistry and Biochemistry from Rice University and his M.S. and Ph.D. in Metals Science and Engineering from Pennsylvania State University. For- merly he was Senior Scientist, Rothe Development, Inc., at the Chemical and Biological Defense Command, Aberdeen Proving Ground, MD, working on sensors for chemical weapons defense, and was an Offi ce of Naval Technol- ogy Research Fellow at the Naval Research Laboratory, Washington, D.C developing high temperature barrier coatings. Dr. Reidy’s current research involves the development, characterization, and processing of interconnect materials, specifi cally, low-κ fi lms; his group studies the effects of plasma and wet processes on low-κ and the use of supercritical fl uids to clean and repair processing-related damage to these materials. Dr. Reidy has published over 50 scientifi c papers (22 on low dielectric constant materials) and has given 10 invited presentations on low-κ fi lms at international conferences. Chapter 7. Laura B. Rothman Mauer is currently the Director of R&D Advanced Technologies at Brewer Science. Prior to this, she was the Chief Technology Offi cer at SC Fluids, responsible for the development of tools and processes using supercritical fl uids. Ms. Mauer brings 30 years of semiconductor experience from IBM as a program manager working in a variety of areas including semiconductor and packaging process development, reliabil- ity engineering, contamination control, environmental technologies and knowledge management. While at IBM, she pioneered the use of supercritical 696 Book Editors and Chapters Authors

fl uids for semiconductor applications. Ms. Mauer graduated from New York University with a Bachelor of Science Degree in Electrical Engineering and has a Master’s Degree from Syracuse University. Chapter 7. Robert (Bob) J. Small is currently the Technology Consultant for RS Associates. He previously held the positions of CMP Technical Director and also was the R&D Technical Director for the remover line of business at DuPont/EKC Technology. He was involved in developing new chemistries for post-CMP cleaning, CMP chemistries and post-etch residue removal. He has a B.S. from Norwich University, an M.S. from Texas Tech Univer- sity, and a Ph.D. in organic photochemistry from the University of Arizona. Dr. Small holds more than 30 U.S. and foreign patents and currently has 10 submitted U.S. patent applications. He has authored or co-authored over 120 articles and presentations including BEOL, post clean treatment, post CMP and CMP processes. Chapters 4 and 9. Ed Terrell is the Global Semiconductor Sales Manager for molecular contamination products at Particle Measuring Systems and has worked in the fi eld of contamination monitoring for eight years. Previously he held the position of Liquid Line Product Manager at Particle Measur- ing Systems, and has authored the book Understanding Liquid Particle Counters, as well as multiple technical papers. Chapter 8. Index

Accumulation mode particles, 109 Aluminum interconnect cleaning, Acetohydroxamic acid, 598 208–209 Acids, 132–133, 135 Aluminum surface structure and Activated chlorine, 337 morphology, 587 Additives chemistry, stripping and Cu-passivating agents, 592–599 cleaning, 397 Aluminum-passivated surfaces, Adhesion, particle, 184–196 600–601 adhesion force measurements, contamination, 601–602

192–196 Ammonium fl uoride (NH4F), 207 by AFM, 193–195 Ammonium hydroxide (NH4OH), 202 in liquid media, 195–196 Analytical measurement techniques adhesion force calibration, 498–499 contamination classifi cation limits of, 497–500 based on, 97 repeatability, 499–500 capillary condensation, 188–190 uncertainty, 499–500 deformation effect on, 190–192 Anhydrous HF etching equipment, electrostatic forces, 185–187 333–335 short-range forces, 187–188 ANTARES® CX cryoaerosol cleaning to the surface, 189 system, 450 van der Waals force, 184–185 Antirefl ective coatings (ARC), 209, Adsorption, 97, 116, 132, 134, 137, 396–397 146, 153 Aqueous cleaning, 201–259, see also Agglomeration, 150 Front end of line (FEOL) Air auxiliary equipment, 249–252, see particle measurement in, 517 also Auxiliary equipment Air ionizers, 142 BEOL cleaning for metal Airborne amines, 133 interconnect, 208–210 Airfl ow, 105, 132 aluminum interconnect cleaning, Alternative cleaning solutions, 27–29 208–209 dilute chemistry, 27 copper interconnect cleaning, HF-last processing, 27 209–210 micro-etching solutions, 28 common chemistries and their ozonated solutions, 27 applications, 211–225 Alternative wet-chemical cleaning current and future challenges, and surface conditioning systems, 252–259 29–34 manufacturing concerns, Ohmi clean, 29 257–258 697 698 Index

Aqueous cleaning (continued) Aqueous media, metallic equipment, 239–252 contamination from, 115–116 advantages, 248 Aqueous-based particle removal brush scrubbing, 242–244 emerging techniques, 671 centrifugal spin/spray batch laser cleaning, 671 system, 241–242 liquid clusters, 671 chemical mechanical polishing nanoprobe cleaning, 671 (CMP), 243 spot cleaning, 672 disadvantages, 248 supercritical fl uids, 671

immersion wet Ar/N2 cryogenic aerosol system, benches, 240–241 thermodynamics, 438–439 rinsing processors, 245–247 Argon sputter etching, 400 single-wafer chemical Atmospheric molecular processors, 244–245 contamination, 131–138 metal contamination removal, acids, 132–133 223–224 bases, 133 organic contamination removal, condensables, 134–137 211–214 dopants, 137 ozonated deionized water moisture, 137–138 photoresist stripping, 212–214 Atmospheric pressure plasma jet particle removal, 214–223 (APPJ), 392 photoresist stripping, 211–214 Atomic absorption spectrometry post-process cleaning, 204–207 (AAS), 624, 627 pre-thermal processing cleaning Atomic force microscopy (AFM), sequences and chemistries, 95, 624, 627 205 adhesion force measurement by, process variables affecting, 193–195 225–232 Atomic layer deposition (ALD), concentration and temperature 524, 583 effects, 225–227 Atomic mixing zone, 643 deionized water quality, Attenuated transmitted 231–232 refl ectance–Fourier transfer high-temperature SC-2 infrared spectroscopy solutions, 226 (ATR–FTIR), 622, 625 sequence effects, 230 Auger electron spectroscopy time and aging effects, (AES), 621, 625, 645–646 227–230 Auxiliary equipment, for cleaning, and rinsing and drying 249–252 applications and techniques, chemical distribution system, 201–210 249–251 sequences, 224–225 plasma stripping, cleaning IMEC, 224 and surface conditioning, sulfuric acid/hydrogen peroxide 411–412 photoresist stripping, 211–212 point-of-use fi ltration, 251–252 Index 699

Back End of Line (BEOL), 15–16, Bulk etching 359, 661 electrical performance, 297–302 cleaning for metal interconnect, etching rate, 291–294 208–210 residue formation, 297–302 current and future challenges, silicon oxide types and selectivity, 255–257 294–297 copper dual damascene in silicon oxides with HF vapor, interconnects, 256 removal, 284–297

low-k interconnect dielectrics, thermal SiO2 etch rate data 256–257 as a function of HF post-etch cleaning concentration, 287 formulations, 210 transport and reaction processes

two-step room temperature wet in SiO2 etching, 288 cleaning for, 31 surface roughness, 297–302 Back surface particles, 5, 7, 9, 11, termination, 297–302 113–114 Bulk photoresist stripping, 42–43 cleaning, 677 Bulk resist stripping, 361–365 defect inspection, 497 Process A, 367, 369 and edge defect detection, 484 Process B, 367–368 Bases, 132, 133, 135 Process C, 369 Batch processes, 402 Bulk silicon defects, 127–129 batch immersion processing, 210 batch spin–spray processors, 235 Calibration, 498–499 batch wet-chemical cleaning and particle counter verifi cation, systems, 240 509–510 Bath lifetime, 127 Capillary condensation, 188–190 Behavior and impact of Capillary drying, 36 contamination, 109–138 Capillary forces, 141 BEOL dual damascene surface Carbazole π-electron-rich conditioning, 663 systems, 597 BEOL photoresist stripping, 210 Carbon contamination, 18 Boltzmann distribution, 178 Carbon nanotubes, 682 Borophosphosilicate glass Carbon-doped oxide (CDO), 380 (BPSG), 137 Carriers, wafer, 152 Bottom anti-refl ective coating Ccarry-over layer, 139 (BARC), 677 Cavitation, 219 Boundary layer, 105 Centrifugal spray machines, 35 Bremsstrahlung radiation, 636 spin/spray batch system, 241–242 Brock’s theory, 176 Centrifugal spray rinsing, 36 Brownian motion, particles, 174–175 Challenges in cleaning technologies, Brush cleaning, 142 661–662, see also New Brush scrubbing, 242–244 technologies Buffered HF (BHF), 207 for BEOL logic devices, 664 Buffered oxide etch (BOE), 207 cost reduction, 664 700 Index

Challenges in cleaning chemistries for, 399–400 technologies (continued) and surface conditioning damage-free particle removal, 662 technology, 13–14 gouging, 663 Cleaning, plasma, 355–420, see also pattern collapse, 663 Stripping post-implant photoresist applications of, 360–393 stripping, 663 post-etch cleaning process, 360 Charged surface, 178 challenges of, 358–360 Charging, 143 chemistries for, 399–400 Chelating agents, 29 chemistries used for, 395 Chemical batch immersion equipment, 401–413 process, 240 gases used for, 395 Chemical cleaning, 96 mechanisms of, 393–401 Chemical composition of plasma parameters for, 394 metal-passivated surfaces, 590–592 post-etch polysilicon gate polymer, Chemical distribution system, for 372–376 cleaning, 249–251, 511–513 pre-high-k deposition and Chemical mechanical polishing oxidation plasma cleaning, 390 (CMP), 105, 243 pre-metal and pre-dielectric Chemical quality monitoring, 678–680 deposition plasma cleaning, near infrared (NIR) solution 390–392 analysis, 678–679 stripping versus, 356 real-time and in situ ‘Cleaning-less’ fabrication measurements, 678 process, 271 ‘Chemical spiking’, 229 Cleaning-related defects, 139–146 Chemical usage, 681–682 Cleanroom air, 147 Chemicals for particle removal, 670 Cleanroom class specifi cations, Chemisorptions, 116 110–111

Chlorine, 280 CO2 cryogenic aerosol systems, Chlorofl uorocarbon (CFC) thermodynamics, 436–438 compounds, 672 ‘Coarse mode’ particles, 110 Cleaning/Cleaning process, 39–46, Coincidence event, 636 see also Aqueous cleaning; Commercial tanks, 34 Cryogenic and supercritical Commercially available plasma Cleaning equipment, 404–411 challenges, 661–662, see also barrel-type batch reactors, 404 Challenges downstream microwave plasma and conditioned wafer surfaces sources, 405–407 importance of, 4–16 downstream RF plasma sources, effects on material, 6, 8 404–405 physical and chemical mechanisms electron cyclotron resonance for, 393–395 (ECR), 410 sequences, 224–225 inductively coupled plasma and surface conditioning sources, 407–409 Index 701

Onepiece sapphire tubes, 406 organic contamination, 94 parallel plate plasma sources, pattern collapse, 141–142 409–410 ‘point of distribution’ (POD), 147 parallel plate reactors, 404 process gases, 146–147 Quartz plasma tubes, 406 process liquids and Sapphire tubes, 406 photoresist, 147–148 Complex formation, 124 reaction rate limited Condensables, 132, 134–137 processess, 104 Conduction band, 119, 121 sources of, 139–155 Confi guration, of stripping and surface defectivity, 95 cleaning equipment, 412–413 thermal processing, 152–153 Contact cleaning, 329 transport limited, 104 Contact process, post-etch, vacuum processing, 153–155 cleaning, 377–385 Copper dual damascene Contamination interconnects, 256 aspects, 17–21 Copper interconnect cleaning, effects on silicon device, 18–20 209–210 issues associated with porous Copper oxide metal-passivated surfaces, 605–606 surfaces, 592 prevention of, 20–21 Copper removal, by supercritical fl uid types and origins of, 17 surface conditioning, 470 Contamination and defectivity, Copper surface structures and 93–155, see also Defectivity morphology, 583–587 atomic force microscopy Copper-passivating agents, 590–599 (AFM), 95 Carbazole π -electron-rich systems, behavior and impact of, 109–138, 597 see also Metallic contamination; chemical structures, 594, 595 Particle contamination contamination of, 599–600 classifi cation, 94–99 imidazole π -electron-rich systems, according to material, 95–97 596–597 according to size, 97–98 N-based systems, 597–598 based on detection method, surfactants and acids as, 598–599 94–95 tetrazole π -electron rich based on force of adhesion, 97 aromatics, 593 based on yield and reliability, triazole π -electron-rich aromatics, 98–99 593–596 cleaning-related defects, 139–146 Corrosion, 132, 142, 143–146, contamination transport 151, 153 through air, 105 tunneling, 601 through liquids, 105–106 Counter-ions, 178 corrosion, 143–146 Critical defect size, 101, 102 mechanisms, 103–109 Cryoaerosol processing, megasonic damage, 139 non-damaging particle removal metallic contamination, 94 with, 447 702 Index

Cryogenic aerosols, 433–449 Dark fi eld scattering-based defect

Ar/N2 cryogenic aerosol system, detection, 493 thermodynamics, 438–439 Debris, 98 cleaning and conditioning, Debye–Hückel equation, 109, 180 44–46, 74 Defects/Defectivity

CO2 cryogenic aerosol systems, analysis, 481–482 thermodynamics, 436–438 back surface defect inspection, 497 defi nition, 433–434 classifi cation, 485 description, 444–446 contamination and, 93–155, formation, 433–434 see also Contamination gases suitable for, defect clustering, 100 thermodynamic properties defect size distribution, 101–102 of, 434–436 in dielectrics, 129–131 normal boiling points of, 433 effects on silicon device, 18–20 Cryogenic and supercritical cleaning, inspection and analysis, 491 429–474 analysis, 491 ANTARES® CX cryoaerosol classifi cation, 491 cleaning system, 450 detection, 491 applications using, 455–464 sizing, 491 dielectric repair applications, inspection 464–470 on bare Si wafers, 494 as emerging technologies, 429–433 E-beam inspection, 496 need for, 430–432 equipment, 492–497 in microelectronic manufacturing, unpatterned wafer defect 446–449 inspection, 492–495

N2 cryogenic aerosol cleaning, 447 measurements of, 481–500 non-damaging particle removal and particle measurements on with, 447 wafers, 483–484 particle removal mechanism in, patterned wafer defect inspection, 439–444 495–496 particle removal, 461–464 prevention of, 20–21 post-strip residue removal, types and origins of, 17 460–461 wafer defect and particle

scCO2 system, 456 measurement technology, specifi c uses of, 432–433 490–491 Crystal defects, 124 yield models, reliability, Cu surface, oxide removal process relationship to, 99–103 for, 391 defect size distribution, 101–102 effective area, 102–103 Damage, plasma, 417–420 negative binomial yield ion-induced and UV-induced model, 100 damage, 417 Poisson distribution, 100 possible causes of, 418 statistical yield models, 100–101 Damascene process, 584 Weibull distribution, 100 Index 703

Deformation effect on adhesion, Drag force, particle, 168–172 190–192 DRAM technology, 255 Deionized water quality, affecting Drifts, 126 aqueous cleaning, 231–232 Dry cleaning, 37–47, 67–69, 73–74 Deposition, particle, 167–198 advantages, 38 drag force, 168–172 Cleaning, 39–40 gas and liquid media, mechanisms cryogenic aerosol cleaning and in, 168 conditioning, 74 lift forces, 168–172 development, 38 Desorption, 154 HF vapor etching, 39–40 Dielectrics in IC device fabrication, 38 breakdown, 126 plasma stripping and cleaning, 74 defects in, 129–131 surface conditioning, 39–40 dielectric etch residue, 377–380 vapor-phase cleaning, 73 stripping and cleaning polymeric Dry particle removal techniques, fi lms after, 383 670–672 dielectric materials photo-induced chemistries with cleaning effects on, 10 ultraviolet (UV) lasers, 670 metals in, 125–126 Drying residues, 140, 148 diffusion, 126 Drying technology, 21–22, 35–37, repair applications, 464–470 232–239 Differential interference contrast capillary drying, 36 (DIC), 493 categories of, 234 Diffusion barriers, 126 chlorofl uorocarbon (CFC) Diffusion, 105 compounds, 672 Diffusivity, 125 defects related to, 237–239, Dilute chemistry, 27 see also under Rinsing

Diluted dynamic clean (DDC), forced air or N2 drying using, 36 29, 32–33 hydrofl uoroether (HFE) Dislocations, 124 compounds, 672 Distribution system, 146 Isopropyl alcohol (IPA) vapor DLVO theory, 183–184, 193 drying, 235–236 DMT (Derjaguin–Müller– isopropyl alcohol (IPA), 672 Toporov), 191 Marangoni drying, 237 Dopant contamination, 132 Marangoni drying, 37 Dopants, 132, 136, 137 Marangoni™ dryer, 672 Double layer electrostatic force, methods and processors, 177–181 234–239 Downstream microwave module, new techniques, 672–673 process for, 376 Rotagoni™, 37 Downstream microwave plasma Rotogoni™, 672 sources, 405–407 solvent vapor drying, 36 Downstream RF plasma sources, spin drying, 234 404–405 spin drying, 36 704 Index

Drying technology (continued) ‘Fall-on’ mechanism, 11 by surface tension gradient effects, Faraday’s law, 144 236–237 Fermi level, 124 Dual damascene etching, 380, 382 Flaking, 150, 152 low-k dual damascene, cleaning, Flash memory technology, 255 382–383 Flatband voltage, 131 temperatures used for, 383 Flicker noise, 130 Dump rinsing (wet benches), Fluorine additives, stripping and 245–246 cleaning, 399 quick dump rinse (QDR), 246 Fluorine contamination, of hydrogen- Dynamic random access memory terminated silicon surfaces, 577 (DRAM) capacitors, 331 Foam/bubble cleaning, 666

Dynamic secondary ion mass Forced air or N2 drying using, 36 spectroscopy (DSIMS), 464 Fourier Transform Infrared Spectrometry (FTIR), 625, Eco-Snow Systems, 444–445 650–652 ECR plasma cleaning process, 391 Front End of Line (FEOL), 15, Edge bevel particles, 9, 11 202–204, 359, 661 Edge cleaning, 677 current and future challenges, Effective chip area, 102 252–255 Electrochemical deposition logic technology, 253–255 (ECD), 583 memory technology, 255 Electron cyclotron resonance four-step room temperature (ECR), 410 wet-cleaning for, 30 Electrophoresis, 172–173 oxide etching and removal, 207 Electro-spray ionization time-of- photoresist removal, 205–206 fl ight mass spectrometer post-chemical mechanical (EIS TOF/MS), 679 planarization cleaning, Electrostatic charging, 137 206–207 Electrostatic forces, 185–187 post-etch cleaning, 206 pH effect, 185–186 post-process cleaning and resist Electrostatic interaction, 124, 150 strip, 204–207 Endpoint detection and plasma Front end processing surface diagnostics preparation technology for stripping and cleaning, requirements, 5–6 413–414 long-term, processes, 7–8, 11–12 Energy dispersive x-ray analysis near-term processes, 5–6, 9–10 (EDS), 625, 645 Front opening unifi ed pod Environment, safety, and health (FOUP), 412 (ESH), 257–258 Front surface particles, 5, 7, 9, Epitaxial Si deposition, 327 11, 112 Etching, 283 Frumkin isotherm, 591 etch residues removal, 43–44 Full-coverage megasonic system, 33

plasma etching, 43 Functional H2O, 72 Index 705

Gas chromatography–mass oxidation, 304–316, see also spectrometry (GC–MS) Ozone; UV/ozone cleaning analysis, 622 physical and chemical, 279 Gases process equipment, 333–341 particle measurement in, 516–517 activated chlorine, 337 suitable for cryogenic aerosol anhydrous HF etching formation, 434–436 equipment, 333–335 used for strip and clean processes, sub-atmospheric gas-phase HF 395–397 etching system, 335–338

Gas-phase wafer cleaning technology, UV/O3 processing equipment, 269–343 338–340 advantages of, 275–277 requirements, 273 improved process semiconductor wafer cleaning uniformity, 276 process, 274 improved safety silicon oxides with HF vapor, considerations, 277 removal, 281–304, see also new process chemistries, 277 Silicon oxides reduced chemical usage and surface conditioning and, 269–277 disposal, 276 drivers for, 271–274 reduced contamination, 276 thermally-enhanced cleaning, 274

sequential, in situ UV/Cl2 for metallic contamination processing, 277 removal, 316–324, see also

versatile process variables, 277 UV/Cl2 applications, 324–333 UV–NH3 for, 322–324 contact cleaning, 329 volatilization, 280 hard mask trim, 330–331 Gate delineation, integration schemes nanostructure formation, 331 for, 373 polysilicon emitters, 328–329 Gate dielectrics, 129–130 post-Al etch residue removal, 330 Gate oxide integrity (GOI), 137 pre-epitaxial deposition, 327–328 ‘Gettering’, 125 pre-furnace and pre-gate, ‘Glow discharge’ region, 403 324–327 Gravitational force, particle, 172 pre-thin fi lm measurement,

332–333 H2O2–based cleans, literature survey pre-tungsten CVD, 328 of, 58–63 via cleaning, 329–330 Hamaker constants, 184 chemistry and mechanisms, Handling, wafer, 152 277–281 Hansen Solubility Parameters in IC processing, 272 (HSP), 459 integrated process equipment, Hard mask trim, 330–331 341–342 Haze, 125

O3 and UV/O3 time-dependent haze, 151 for organic removal, resist Helmholtz–Smoluchowski stripping, and surface equation, 173 706 Index

Helms–Deal model, 286, 290 in situ IR measurements, 569 HEPA fi lters, 112, 132, 137 structure and morphology of, Hexamethyldisilazane (HMDS), 546–560 396, 465 AFM, 553 HF etching, 545 LEED patterns, 555 〈 〉 HF vapor etching, 39–40 NH4F-etched Si 111 HF-etched Si surfaces, 578 surfaces, 558 chemical composition of, 525 preferential etching, 560–563 HF-last processing, 27 Si 〈100〉 Ò etched in buffered HF-treated surfaces, chemical HF solutions, 550–554 composition, 536–543 Si 〈111〉 Ò etched in buffered XPS survey scan spectra, 541 HF solutions, 554–560 High-density plasma (HDP), 377 Si 100Ò and Si 111Ò etched High-dose implant stripping (HDIS), in aqueous HF solutions, 367, 673, 674 546–550

High-temperature O2-based water vapor interaction with, single-step photoresist strip 572–577 process, 362 Hydrophobic wafers, 247

Hogg–Healy–Fuerstenau (HHF) Hydroxylamine (NH2OH), 28 approximation, 180 Hot spots, 113 Illumination, 121 Humidity, 142 IMEC Clean, 29, 31–32 Hydrocarbon contamination, 536, 579 Imidazole π -electron-rich systems, Hydrofl uoric acid, 22–23, 223 596–597 decomposition stage, 627–628 Immersion lithography, 681 Hydrofl uoroether (HFE) compounds, Immersion pressure pulsation 672 cleaning, 666–667

Hydrogen peroxide (H2O2), 202 Immersion tank wet benches, 34 Hydrogen termination Immersion wet benches, 240–241 mechanism, 543–546 In situ chemical monitoring Hydrogenated wafer surfaces, emerging techniques, 679 107, 148 In situ cleaning operations, 271 Hydrogen-terminated silicon In situ plasma-cleaning, 385, 413 surfaces, 536–563 Inductively coupled plasma sources, aqueous chemistry, 564–566 407–409 contamination issues associated Inductively coupled plasma–mass with, 564–580 spectroscopy (VPD/ICP–MS), 621, fl uorine contamination, 577 627, 631–632 HF-treated surfaces, chemical Infrared refl ection absorption composition, 536–543 spectroscopy (IRRAS), 652 hydrocarbon contamination, 579 Inner Helmholtz Plane (IHP), 108 oxygen and air interaction with, Inorganic contamination analysis 566–572 techniques, 620, 623–648 photo-induced mechanisms, 567 AES, 645–646 Index 707

Energy dispersive X-ray Linearized Poisson–Boltzmann spectroscopy, 645 equation, 179 SIMS, 638–641 Liquid chemicals TOF–SIMS, 642–645 particle measurement in, 500–514 Vapor phase decomposition (VPD), Liquid cleaning, 21–22 623–632 Liquid clusters, 671 VPD/TXRF, 637–638 Liquid jet spraying, 670 XPS, 646–648 Liquid media, adhesion forces Integrated circuit manufacturing measured in, 195–196 wafer cleaning and surface Liquid processes and wafer drying conditioning for, 14–16 technology, 71–73 Integrated process equipment, in Lithographic media, particles on, 114 gas-phase wafer cleaning Local masking, 112 technology, 341–342 Logic technology, 253–255 International Technology Roadmap Lorentz force, 172–173 for Semiconductors (ITRS), 4, 430 Low-energy electron diffraction Ion exchange reactions, 116–119 (LEED), 546 Ion implantation, 148–149 Low-temperature photochemical Ionic contaminants, 19 reactions, 68 Ionic strength, 187 Low-k dual damascene, 382–384 Isoelectric point (IEP), 186 photoresist crust removal, Isopropyl alcohol (IPA) vapor drying, stripping, and residue removal 235–236, 672 processes, 384 Low-k materials, 126, 138, 151 JKR (Johnson–Kendall–Roberts), 191 interconnect dielectrics, 256–257 Joule–Thomson cooling, 437 Magnetically enhanced plasma Killer defects, 98, 484 reactor (MERIE), 377 KLA-Tencor SP2 blanket wafer Manufacturing concerns, aqueous defect detection system, 495–496 cleaners, 257–258 Knudsen numbers, 169, 175, 176 cost, 257 Environment, Safety, and Health Laminar fl ow, 105 (ESH), 257–258 Langmuir equilibrium, 629 Marangoni drying, 37, 140, 142, Langmuir processes, 591 237, 672 Laplace pressure, 188 Materials Laser ablation, 674 contamination classifi cation Laser and optical interferometry, 417 based on, 96 Laser cleaning, 671 Matrix effect, 639 Latent defects, 98 Mechanical cleaning methods, 97 Leaching, 147 Mechanisms of contamination, Leakage path, 129 103–109 Lift forces, particle, 168–172 Megasonics, 106, 139 ‘Light-point defects’ (LPD), 94 megasonic capability, 241 708 Index

Megasonics (continued) Micell cleaning process megasonic particle removal, depiction, 464 219–222 Micro-electrical–mechanical megasonic rinsing, 35, 36 structures (MEMS), 682 Memory effect, 149 Microelectronic manufacturing, Memory technology, 255 cleaning applications in, 446–449 Metal complex, 115 Micro-etching solutions, 28 Metal contaminants, 18 Microroughening of Si surface, 65 removal, 223–224 Minority carriers, 127 Metal fl uorides, 115 Mixed fl uid jet cleaning, 669 Metal hydroxides, 115 Mixed fl uid jet spray, 668 Metal oxide semiconductor (MOS) Mobile ions, 126 technology, 524 Moisture, 132, 137–138, 146, 153 Metal oxide semiconductor fi eld Monitoring systems for particle effect transistor (MOSFET), 432 contamination, 486–490 Metal passivated surfaces, 582–583 partitioning testing, 487 Metal removal, Ultraviolet/chlorine plasma equipment and other vapor-phase cleaning for, 40–41 vacuum equipments, 489–490 Metallic and surface contamination, wet stations, 487–489 6, 8, 10, 11 Monoalkylchlorosilanes, 471 Metallic contamination, 94, 115–131 MP (Maugis and Pollock) atmospheric molecular models, 191 contamination, 131–138, see Multibubble sonoluminescence also Atmospheric molecular (MBSL), 222 contamination MYD model, 191 from aqueous media, 115–116

behavior of, 122–126 N2 cryogenic aerosol cleaning, 447 metals in silicon, 122–125 Nanoprobe cleaning, 671 bulk silicon defects, 127–129 Nanostructure formation, 331 in dielectric materials, 125–126 Nanotechnology, 682 effects of, 126–131 Near infrared (NIR) solution metallic ion exchange reactions, analysis, 678 116–119 Negative binomial yield model, 100 metallic redox reactions, 119–122 Nernst equation, 143 removal, 68 Nernst–Planck equation, 103

UV/Cl2 for, 317–319 New technologies, 661–684, Metallic impurities, 129 see also Challenges Metallo-organic CVD (MOCVD), acceptance, 683–684 586 aqueous-based particle removal Metal-passivated surfaces techniques, 671 chemical composition, 590–592 back surface and edge copper oxide surface fi lms, 592 cleaning, 677 copper surfaces, 590–592 chemical quality monitoring, Methylsesquioxane (MSQ), 465–466 678–680 Index 709

drying technology, 672–673, dry particle removal techniques, see also under 670–672 Drying technology Nonvolatile residue monitor, 513–514 manufacturing concerns in, Non-volumetric particle counters, 683–684 501–502 cost, 683–684 Nozzle-based cleaning environmental concerns, 684 technologies, 667 nanotechnology, 682 confi gurations, 668 new plasma sources, 675–676 mixed fl uid jet spray, 668 non-damaging particle removal, pressurized gas spray, 668 665–672, see also pulsed liquid jet spray, 668 Non-damaging particle removal pulsed liquid jet spray, 668 photolithography requirements, sonic-pulsed spray, 668 680–681 Nucleation mode particles, 109 photoresist stripping and cleaning

technologies, 673–674 O2 plasma photoresist strip process, plasma-doping methods, 673 wet cleaning after, 375

reduced chemical usage and Octylhydroxamate (C8), 598 recycling chemicals, 681–682 Ohmi clean, 29–31 surface conditioning Onepiece sapphire tubes, 406 technology, 673 Optical emission spectroscopy surface conditioning technology, (OES), 414–417 676–677 Optical particle counters, 500–513 water purity, 681 calibration and particle counter New technologies, 69–74 verifi cation, 509–510 liquid processes and wafer drying particle counter performance, technology, 71–73 506–509 trends and milestones, 69–71 particle monitoring in liquids Newtonian fl uid, 169 applications, 510 N-methyl pyrrolidone (NMP), 208 special considerations for, 502–506 Noble metals, 119 Organic contamination, 94, 620 Non-damaging particle removal, removal, 211–214 665–672 surface contamination, 648–652 aqueous-based particle removal, FTIR, 650–652 666–669 IRRAS, 652 emerging techniques, 666 TDA-MS, 652 foam/bubble cleaning, 666 TOF–SIMS, 648–650 immersion pressure pulsation Organochemical vapor-phase cleaning, 666–667 cleaning processes, 41–42 nozzle technology for, 668 Original RCA cleaning process, nozzle-based cleaning, 667 24–26 spray pressure pulsation Outer Helmholtz Plane (OHP), 108 cleaning, 666 Outgassing, 131, 134, 137, 153 chemicals for particle removal, 670 Overfl ow rinsing, 247 710 Index

Oxidation plasma cleaning, 390 nucleation mode particles, 109 Oxide etching origins of, 109–112 dry methods of, 302–303 particulate contamination, removal and, FEOL, 207 effects, 112 Oxide precipitates, 124 back surface particles, 113–114 Oxide removal, 400 front surface particles, 112 Oxide thinning, 127, 129 volatile organic compounds Oxidized surfaces, 524–527 (VOC), 109 chemical composition of, Particle deposition, 527–531 see also Deposition, particle chemically grown oxides, 526 Particle measurement contamination issues associated in air, 517 with, 535–536 in gases, 516–517 ozone oxidation, 535 in liquid chemicals, 500–514 structures and morphology of, chemical distribution systems 531–535 and recirculating baths, CMP, 532 511–513 STM, 532–534 nonvolatile residue monitor, thermally grown oxides, 526 513–514 Oxidizing chemistries, stripping and optical particle counters, cleaning, 397 500–513, see also Oxygen and air interaction Optical particle counters

with hydrogen terminated silicon ultrapure H2O distribution surfaces, 566–572 systems, 510–511 Oxygen plasma, 151 in vacuum, 514–516 Ozonated deionized water photoresist Particle monitoring on wafer for stripping, 212–214 cleaning tools, 486–490, see also Ozonated solutions, 27–28 Monitoring systems

Ozone (O3) cleaning, see also Particle removal effi ciency (PRE),

UV/ozone (UV/O3) cleaning 220, 484 for organic removal, resist Particle removal, 461–464 stripping, and surface oxidation, in cryogenic aerosol cleaning, 304–316 439–444 Particles Pairing, 124 measurements of, 481–483, Parallel plate plasma sources, 490–491 409–410 Particulate contaminants Particle adhesion, see Adhesion, analysis, 485–486 particle analysis, categories, 481 Particle contamination, 94, cleaning process steps and 109–114, 150 equipment requiring particle ‘coarse mode’ particles, 110 monitoring, 482–483 accumulation mode particles, 109 detection and measurement, on lithographic media, 114 481–517 Index 711

Partitioning testing, 487 Plasma etching, 43 Passivated metal surface, 582–602, Plasma parameters, 393 see also Aluminum-passivated Plasma processing, 357–358, surfaces; Copper-passivating see also Cleaning; Stripping; agents Surface conditioning Cu surface structures and Plasma reactors, 149–152 morphology, 583–587 Plasma source technology kinetics, 588 new, 675–676 Pattern collapse, 141–142 inductively coupled plasma Patterned wafer defect inspection, (ICP) sources, 675 495–496 Plasma stripping, 42–46 Perwafer-pass measurements and cleaning, 74 (PWP), 487 Plasma-assisted stripping pH effect, 185–186 applications, 42 Photoacid generators (PAGs), 397 Plasma-doping methods, 673 Photoacid, 211 Plastic deformation, 113 Photoactive compound (PAC), ‘Point of distribution’ (POD), 147 211, 397 Point of Zero Charge (PZC), 108 Photo-induced chemistries with Point-of-use (POU), 146 ultraviolet (UV) lasers, 670 Point-of-use fi ltration, 251–252 Photolithography requirements, Poisson distribution, 100, 178 680–681 Poisson–Boltzmann equation, Photophoresis, 181–183 179, 180 Photoresist Polymer removal, 455–460 chemistries for, 396–399 Polymeric crust, 457 Photoresist removal, 455–460 Polymers, removal of, 371–385 FEOL, 205–206 PolySi deposition, 126 Photoresist stripping, 211–214 Polysilicon emitters, 328–329 and cleaning technologies, Popping, in plasma stripping, 673–674 366, 368 laser ablation, 674 Pore sealing, 471 diffi culties and limitations in, 359 Porous organosilicate glass Physical cleaning methods, 96 (p-OSG), 465 Physical vapor deposition (PVD), 583 porous surfaces (Low-k) Plasma ashing, 42 chemical composition, structure, Plasma chambers, cleaning and and morphology, 604 conditioning, 393 cleaning and passivating, 602–606 Plasma cleaning contamination issues in, 605–606 applications, 392 Post oxide etch residue, plasma of post oxide etch residue, 381 cleaning of, 381 Plasma damage, 417–420, see Post-Al etch residue removal, 330 Damage, plasma Post-chemical mechanical Plasma diagnostics, 413–417 planarization cleaning, FEOL, endpoint detection, 413–414 206–207 712 Index

Post-damascene features, 663 Pressure, in stripping and Post-etch aluminum stripping and cleaning, 401 cleaning, 385–386 Pre-thermal processing cleaning Post-etch cleaning, FEOL, 206 sequences and chemistries, 205 Post-etch residue, 150–152 Pre-thin fi lm measurement, 332–333 Post-etch resist stripping and Pre-tungsten CVD, 328 cleaning, 150–152, 371–385 Prevention of contamination and carbon incorporation, 374 defectivity, 20–21 cleaning post-etch contact, via, and Process gases, 146–147 trench polymer, 377–385 Process liquids and photoresist, downstream microwave module, 147–148 process for, 376 Process variables affecting cleaning, post-gate photoresist strip and 225–232, see also under Aqueous clean processes for inductively cleaning coupled plasma module, process Pulsed liquid jet spray, 668 for, 376 removal of polymers, 371–385 Quartz plasma tubes, 406 Post-gate photoresist strip and clean Quick dump rinsing, 36 processes, 376 Post-implant photoresist Radiation pressure, 182–183 stripping, 663 Radiochemical studies of surface Post-ion implant resist stripping and contamination, 48–58 cleaning, 365–370 Radiometry, 182 Post-process cleaning, 204–207 Raleigh scattering, 503 Post-strip residue removal, 460–461 RCA cleaning process, 24–26, 34 Pourbaix diagram, 106, 115 and HF particle removal, 215–217 Precipitation, 124 modifi cations, 26–27 Pre-deposition cleaning, 44, 356 alternative implementation Pre-dielectric deposition plasma techniques, 26 cleaning, 390–392 procedure, 55–58 Pre-epitaxial deposition cleaning, RCA-type wet chemical 327–328, 386–390 treatment, 386 in situ or ex situ liquid or H-based Reaction rate limited contamination vapor or plasma clean and processess, 104 passivation, 386 Reactive ion etching (RIE), 204, RCA-type clean, 386 377, 410 Si surfaces cleaning, 386 Real-time and in situ measurements, Preferential etching 678 mechanism of, 560–563 Recirculating baths, 511–513 step fl ow etching mechanism, 563 Recycling chemicals, 681–682 Pre-high-k deposition and oxidation Redox reactions, 119–122 plasma cleaning, 390 Reducing chemistries, stripping and Pre-metal deposition plasma cleaning, 397 cleaning, 390–392 Relative humidity, 137 Index 713

Relative sensitivity factor (RSF), 639 Safety, of stripping and cleaning Reliability equipment, 412 contamination classifi cation based Saffman’s analysis, 170–171 on, 98–99 Sapphire tubes, 406 Remote plasma cleaning process, SC-1 (APM- ammonium hydroxide 388–389 and hydrogen peroxide mixture) downstream generation, 403–404 solution, 23–25, 60, 202, 211–212, Removal, particle, 196–198, 214–215, 223, 527, 674 214–223, see also under Si etching in, 214 SC-1 solution SC-2 (HPM-hydrochloric acid and by deformation, 197–198 hydrogen peroxide mixture) Megasonic particle removal, solution, 25, 66, 202, 223

219–222 ScCO2, see Supercritical CO2 monitoring, 222–223 (scCO2) RCA cleaning and HF particle Science and technology of wafer removal, 215–217 cleaning surface roughness and, 217–219 volution of, 47–74 Repeatability, 499–500 from 1950 to 1960, 47–48 Residue removal, 356 from 1961 to 1971, 48–58 chemistries for, 396–399 from 1972 to 1989, 58–64

Residue, 150–152 H2O2–based cleans, literature Resist strip, 204–207 survey of, 58–63 Resist, 150–152 October 1989 to mid-1992, Reynold’s number, 169 64–69 Rinsing, 35–37, 232–239 Secondary ion mass spectrometry ammonium chloride (SIMS), 388–389, 638–641

(NH4Cl), 233 double focusing SIMS centrifugal spray rinsing, 36 instrument, 641 defects related to, 237–239 quadrapole-based SIMS watermarks, 237–239 instrument, 641 megasonic rinsing, 36 Segregation, 125

O3/H2O, 233 Semiconductor environment performance evaluation, 233–234 contamination in, properties, 620 quick dump rinsing, 36 Semiconductor microelectronic rinse cycle, 140 devices rinsing processors, 245–247 importance of clean substrate dump rinsing (wet benches), surfaces in, 4 245–246 Semiconductor wafers overfl ow rinsing, 247 cleaning process, 274 spin/spray rinsing, 247 types of, 18 transient effects during, 139–140 Shear plane (slipping plane), 178 ROST (rapid optical surface Short-cycle single-wafer cleaning treatment), 332 system (AM Clean), 33 Rotagoni™ system, 37, 72, 672 Short-range forces, 187–188 714 Index

Si 〈100〉 etched in buffered HF Silicon, metals in, 122–125 solutions, 550–554 Silicon-on-insulator (SOI) Si 〈111〉 etched in buffered HF substrates, 126 solutions, 554–560 Silylation reaction, 466 Si 〈100〉 and Si 〈111〉 etched in Simple immersion tank, 34 aqueous HF solutions, 546–550 Single-wafer brush scrubbers, 35 Si devices Single-wafer chemical processors, impurity elements for, 19 244–245, 248 Si surfaces Single-wafer tool for immersion cleaning before wet processing, 33 epi deposition, 386 Single-wafer/short-cycle clean, contact angle, cleaning 29, 33–34 chemistry, 217 Skin-formation, 133 native oxides removal from, 39 Smoothing, 673 Silica etching, 471 Solubility, 117, 124, 125

Silicon dioxide (SiO2), 602 Solvent vapor drying, 36 Silicon oxides with HF vapor, Sonic-pulsed spray, 668 removal, 281–304 Spin drying, 36, 140, 142, 234 bulk etching, 284–297, Spin/spray processor, 241 see also Bulk etching Spin/spray rinsing, 247 disadvantages, 301 SPM (sulfuric acid–hydrogen dry methods of oxide etching, peroxide mixture), see 302–303 SC-1 solution etching, 283 Spot cleaning, 672 initiation, 283–284 Spray pressure pulsation Silicon passivated surfaces, cleaning, 666 524–582, see also Sputter cleaning, 399 Hydrogen-terminated Sputter etching, 391 siliconsurfaces; Oxidized Sputtering, multi-step, 149 Surfaces Static closed systems, 35 cleaning ways, 524 Statistical yield models, 100–101

by dissolving SiO2 in HF Step fl ow etching mechanism, 563 acid, 524 Stiction, 331

by growing SiO2 thin layer, 524 Stokes-Cunnigham slip correction, 169 Si oxide terminated surfaces, Storage, 35–37, 37 524–536 Stripping Silicon surfaces of bulk photoresist, 42–43 analytical measurement of, physical and chemical mechanisms 619–620 for, 393–395 Si surface bonding, 676–677 Stripping, plasma, 355–420, see also

Silicon tetrachloride (SiCl4), 280 Bulk resist stripping; Cleaning; Silicon wafer cleaning technology Post-ion implant resist stripping evolution of, 3–74 and cleaning; Post-etch resist research and development, 14 stripping and cleaning Index 715

applications of, 360–393 surfactants and formation of auxiliary equipment and micelles in, 461 components, 411–412 Supercritical fl uid cleaning, 44–46, ultra pure gas delivery, 411 429–474, see also Cryogenic and vacuum system, 411 supercritical cleaning challenges of, 358–360 drying and porogen removal, chemistries used for, 395 472–473 cleaning versus, 356 Supercritical fl uids, 671 equipment, 401–413 concept, 452–454 commercially available, defi nition, 451–452 404–411, see also gases suitable for, 452–453 Commercially available pressure–temperature diagrams, plasma equipment 453–454 confi guration, 412–413 surface conditioning, 470–471 safety, 412 copper removal, 470 gases used for, 395–397 pore sealing, 471 laser and optical silica etching, 471 interferometry, 417 Surface chemical composition and mechanisms of, 393–401 morphology, 523–606, see also additives chemistry, 397 Aluminum surface structure and oxidizing chemistries, 397 morphology; Hydrogen-terminated pressure, 401 silicon surfaces; Passivated reducing chemistries, 397 metal surface; Silicon passivated temperature, 400 surfaces optical emission spectroscopy cleaning and passivating porous (OES), 414–417 surfaces (Low-k), 602–606 plasma damage, 417–420 Surface cleaning plasma parameters for, 394 diffi culties and limitations in, 359 post-etch aluminum stripping and Surface conditioning cleaning, 385–386 Surface conditioning processes, process fl ow, 380 37–47, 73–74, 201–259, see also single-step photoresist strip process Aqueous cleaning

high-temperature O2-based, 362 chemistries for, 399–400 Sub-atmospheric gas-phase HF Surface conditioning, plasma, 356 etching system, 335–338 applications of, 360–393 Substrate charging, 142 challenges of, 358–360 Sulfuric acid/hydrogen peroxide mechanisms of, 393–401 mixture (SPM) Surface defectivity, 95 Supercritical and densifi ed fl uid Surface handling, 113 cleaning, 449–473 Surface morphology analysis

Supercritical carbon dioxide (scCO2), techniques, 653–654 74, 456, 469, 670 AFM, 653–654

photoresist removal using, 457 Surface oxidation with UV/O3, scCO2 silylation reaction, 466 315–316 716 Index

Surface roughness, 113, 127, Total refl ection X-ray fl uorescence 142–143, 217–219 (TXRF), 621, 624, 632–637, Surface tension drying, 237 642–645 Surface tension gradient effects, instrumentation, 635 drying by, 236–237 Total refl ection X-ray fl uorescence Surfaces, wafer (VPD/TXRF), 627 basic aspects, 106–109 Transformer coupled plasma generation, 130 (TCP), 377 hydrogenated, 107 Transport processes, 103 hydroxylated, 107 particle, 168–184 recombination, 130 transport limited contamination silanol groups, 107 processess, 104 ultratrace impurity analysis wafer, 152 of, 619–655, see also Trench polymer, 377–385 Ultratrace impurity analysis Trialkylsilanes, 471 Surfactants, 28, 72 Triazole π-electron-rich aromatics, 593–596 Tantalum nitride, 195 Triboelectric charging, 142 Tefl on®, 250 Trimethylchlorosilane (TMCS), 465 Temperature, in stripping and T-topping, 133 cleaning, 400 Turbulence effect, 183 Tetraethylorthosilicate (TEOS) precursor, 195, 329 ULPA fi lters, 112, 132 Tetrakis-dimethylamido titanium Ultraclean, 4

(TDMAT), 471–472 Ultrahigh-purity H2O (UPW), 30 Tetramethylammonium bicarbonate Ultrapure H2O (UPW), 510–511, (TMAHCO3), 460 565, 628 Tetramethylammonium hydroxide Ultratrace impurity analysis of wafer (TMAH), 460 surfaces, 619–655 Tetrazole π -electron rich advantages, 623 aromatics, 593 analytical measurement of silicon Thermal Desorbtion Analysis surfaces, 619–620 Gas Chromatography Mass AES, 621 Spectrometry (TDA–MS), ATR–FTIR, 622 626, 652 GC–MS, 622 Thermal processing, 152–153 TXRF, 621, 624 Thermally-enhanced VPD/ICP–MS, 621, 624 cleaning, 274 XPS, 621 Thermophoresis, 150, 175–177 collection effi ciency of the Time-dependent haze (TDH), 133 scanning process, 629–630 Time-of-Flight Secondary Ion future contamination analysis Mass Spectrometry (TOF–SIMS), techniques and trends, 654–655 625, 642–645, 648–650 hydrofl uoric acid decomposition Tolytriazone, 593 stage, 627–628 Index 717

inorganic contamination analysis surface/interface effects, 316 techniques, 623–648 in vacuum systems, 314–315 inorganic contamination analysis wavelengths emitted by the UV techniques, 623–648 sources, 308–310

limitations, 623 UV–NH3, for gas-phase wafer organic surface contamination, cleaning technology, 322–324 648–652 Vacuum processing, 153–155 selection and performance Vacuum systems stages, 622 particle measurement in, 514–516 calculation and reporting of UV/ozone cleaning in, 314–315 data, 623 Valence band, 119, 121 pre-planning, 622 Van der Waals force, 184–185 sample collection and Vapor HF etching techniques, 299 storage, 622 Vapor phase decomposition (VPD) sample measurement, 623 technique, 115, 623–637 sample preparation, 622 contamination sources during, 630 semiconductor environment, 620 chemical purity, 630 surface morphology analysis chemical vessels, 630 techniques, 653–654 UPW, 630 wafer scanning process, 628 VPD chamber, 630 Ultratrace metrology instrumental analysis of, 631–632 selection criteria for, 621–623 TXRF, 632–637 Uncertainty, 499–500 VPD/AAS, 632 Unpatterned wafer defect inspection, Vapor Phase Decomposition/ 492–495 Inductively Coupled Plasma Urban pollutants, 132 Mass Spectroscopy (VPD/TXRF),

UV/Cl2 for metallic contamination 624, 637–638 removal, 317–319 Vapor-phase cleaning processes, diffi culty in, 321 see Dry cleaning mechanisms, 319–322 Via cleaning, 329–330 vapor-phase cleaning, 40–41 Via etch process, 377–385

UV/ozone (UV/O3) cleaning Volatile organic chemicals (VOC), history, 305–308 109, 134 mechanism of, 312–314 Volatile organometallic hydrocarbon removal, 313 complexes, 68 for organic removal, resist Volumetric instruments, 501 stripping, and surface oxidation, 304–316 Wafer carriers, 35 for organics removal, 40 Wafer cleaning processes, 251 processing equipment, 338–340 Wafer scanning process, 628 safety considerations, 340–341 Water purity, 681 sample and UV source, distance Water vapor interaction with between, 311 hydrogen terminated silicon surface oxidation with, 315–316 surfaces, 572–577 718 Index

Watermarks, 140 static closed systems, 35 defects, 237–239 wafer carriers, 35 Wear, 152 hydrofl uoric acid solutions, 22–23 Weibull distribution, 100 sulfuric acid/hydrogen peroxide Wet cleaning processes, 202, 605 mixtures, 23–24 limitations, 270–271 Wet-chemical cleaning processes, 64 post-process cleaning, 202 pre-process cleaning, 202 X-ray fl uorescence process, 633 Wet-chemical cleaning, 22–29 X-ray photoelectron spectroscopy equipment implementing for, (XPS), 297, 621, 626, 646–648 34–35 centrifugal spray machines, 35 Yield, 100–103 commercial tanks, 34 contamination classifi cation based immersion tank wet benches, 34 on, 98–99 megasonic systems, 35 device yield, 99 simple immersion tank, 34 single-wafer brush Zeta potential (ξ), 178, 180, 215, 431 scrubbers, 35 and pH, 186