<<

micromachines

Review Plasmonic Structures, Materials and for Optical beyond the Limit: A Review

Changtao Wang, Wei Zhang, Zeyu Zhao, Yanqin Wang, Ping Gao, Yunfei Luo and Xiangang Luo * State Key Laboratory of Optical on Nano-fabrication and Micro-engineering, Institute of and Electronics, Chinese Academy of , Chengdu 610209, China; [email protected] (C.W.); [email protected] (W.Z.); [email protected] (Z.Z.); [email protected] (Y.W.); [email protected] (P.G.); [email protected] (Y.L.) * Correspondence: [email protected]; Tel.: +86-028-8510-0781

Academic Editors: Pei-Cheng Ku and Jaeyoun (Jay) Kim Received: 29 April 2016; Accepted: 27 June 2016; Published: 13 July 2016

Abstract: The rapid development of and sciences has led to the great demand for novel lithography methods allowing large area, low cost and high resolution nano fabrications. Characterized by unique sub-diffraction optical features like propagation with an ultra-short and great field enhancement in subwavelength regions, polaritons (SPPs), including surface plasmon , bulk plasmon polaritons (BPPs) and localized surface plasmons (LSPs), have become potentially promising candidates for nano lithography. In this paper, investigations into plasmonic lithography in the manner of point-to-point writing, interference and imaging were reviewed in detail. Theoretical simulations and experiments have demonstrated plasmonic lithography resolution far beyond the conventional diffraction limit, even with sources and single exposure performances. Half-pitch resolution as high as 22 nm (~1/17 light wavelength) was observed in plasmonic imaging lithography. Moreover, not only the overview of state-of-the-art results, but also the behind them and future suggestions are discussed as well.

Keywords: surface plasmon polaritons; bulk plasmon polaritons; diffraction limit; subwavelength optics; near-field optics; ; super resolution; nano optical lithography; nanostructure fabrication

1. Introduction Since its invention in about 1960, optical lithography has made a large contribution to the present integrated circuits industry, and has been widely employed in investigations spanning electronics, micro optics, photonics, medical and , new materials, etc. The diffraction-limited resolution of optical lithography, usually referred to as about half the wavelength of light, hampers its applications for nano patterns’ fabrication. To solve this problem, light sources with a shorter wavelength are employed in advanced optical lithography, including deep ultraviolet (DUV) of 248 and 193 nm, and even extreme ultraviolet (EUV) of about 13.5 nm [1]. This, unfortunately, requires considerable complex optics, new materials and some other challenging problems, and presents a great increase in cost for users and researchers, especially for modest investigations [2]. Nowadays, researchers are reluctantly forced to use high-cost and point-to-point scanning writers, like electron beam lithography (EBL) [3,4] and focused beams (FIB) [5,6]. Thus, low-cost, high-efficiency and large-area methods are preferred from the viewing point of practical applications. Some novel techniques have been proposed and developed for improved resolution beyond the diffraction limit of conventional optical lithography. For instance, double-exposure techniques

Micromachines 2016, 7, 118; doi:10.3390/mi7070118 www.mdpi.com/journal/micromachines Micromachines 2016, 7, 118 2 of 33 were proposed to get doubled dense nano patterns, but with increased expense, complexity and limited patterns [1]. Nano imprinting has been widely explored as a low-cost replica method of nano patterns [7–10]. Near-field lithography deserves special attention due to its inherent relation to the topic of this paper, in which evanescent waves diffracted from subwavelength mask patterns are employed to optically print nano patterns to a photoresist layer in very close proximity and even in a contacting manner [11–13]. This method seems to possess nearly unlimited resolving power in contacting mode but suffers from ultra-short exposure depth and poor fidelity, etc. [13]. Also, some other proposed novel methods show promising but limited nanofabrication ability for periodic patterns and specific materials, like directed self-assembling [14], dip-pen [15] and heat pens [16], etc. Recently, the investigations of and physics behind some abnormal optical phenomena associated with surface plasmon polaritons (SPPs), like extraordinary optical transmission through subwavelength metallic holes array [17], some proposed novel concepts like perfect lens [18] and metamaterial, inspired us and some other research teams to be aimed at plasmonic lithography. The intriguing features of SPPs, including much shorter propagation wavelength than that of light in a medium with equal frequency and great field enhancement and energy concentration within a subwavelength region, are the main reasons for taking SPPs as a potential way of nanolithography beyond the diffraction limit. As an example, Luo performed subwavelength SPP lithograph experiments since 2003 [19,20], obtaining about 50 nm line-width patterns using SPPs interference and a g-line mercury lamp of 436 nm [21]. Further, partly within the scheme of perfect lens, plasmonic lenses in a variety of forms like super lenses [22], plasmonic reflective lenses [23] and plasmonic cavity lenses [24] were designed and employed to realize imaging lithography with minimum half-pitch resolution down to 22 nm, about 1/17 light wavelength. Some nano devices like metalenses and nano polarizers have been fabricated by plasmonic imaging lens lithography. It is worth notifying that the short exposure depth issue in conventional near-field lithography could be considerably relived in this case, with the help of evanescent waves amplification and resolution-enhanced technologies by engineering the front of SPPs in the imaging process [25]. This point is very important from the viewpoint of practical applications, as separating the operational manner could be supported in plasmonic imaging lens lithography. Also, some plasmonic nano focusing structures for converging SPPs energy to a subwavelength point, like localized surface plasmons (LSPs) holes [26], SPP focusing structures with concentric rings [27] and bowties [28], are proposed and successfully demonstrated for point-to-point scanning nano lithography. For plasmonic lithography methods, nano patterns could be fabricated by only employing low-cost, long-wavelength light sources like mercury lamps and He-Cd , etc., fully commercialized and developed materials of resists and no complex optics, just simple illumination of plasmonic lithography structures. Despite some inconvenient operations such as near-field close proximity, it provides a potentially promising nanofabrication lithography method characterized by low-cost, large-area advantages, and SPP imaging and interference lithography is believed to deliver much higher throughput than some conventional nano fabrication tools like EBL and FIB. In this paper, we would like to review the research achievements of plasmonic lithography in the manners of direct writing, interference and imaging. Some representative and important results will be described in details, such as SPPs resonance interference lithography, bulk plasmon polaritons (BPPs) interference lithography, lithography, plasmonic cavity lens lithography, and bowtie lithography, etc. Also discussed are the key aspects in evaluating the performance of plasmonic lithography, such as resolution, fidelity and the aspect ratio of nano patterns. Some new physics and materials accompanying plasmonic devices design and improvements of lithography will be presented as well, including structured light illumination with BPPs, two SPPs absorption and Fano resonance. The remaining problems and outlooks of further investigations of plasmonic lithography will be given in the end. Micromachines 2016, 7, 118 3 of 33

Micromachines2. Characterizations 2016, 7, 118 and Excitations of Surface Plasmons 3 of 32

2.1. SPPs SPPs with Sub-Diffraction Features SPPs exist around the interface between two medi mediums,ums, one of which which has has free free electrons electrons or charges charges and the other does not, as shown in Figure1 1a.a. SPPsSPPs areare formedformed asas electromagneticelectromagnetic fieldfield waveswaves toto excite free charges density oscillation and propagate along interfaces. interfaces. To To view it it in in a a simple simple way, way, the charge densitydensity is is usually usually ignored ignored in the in designthe design and analysis and analysis of plasmonic of plasmonic structures structures by just considering by just consideringmedium with medium negative with , negative permittivity, which is usually which describedis usually asdescribed the Drude as the model. Drude In model. this way, In thisFigure way,1b Figure indicates 1b thatindicates SPPs that are regarded SPPs are asregarded a special astype a special of surface type of electromagnetic surface electromagnetic wave confined wave confinedat the interface at the ofinterface dielectric of dielectric and metal. and metal.

Figure 1. ((aa)) SPPs SPPs (surface (surface plasmon plasmon polaritons) polaritons) on on a a dielectric–m dielectric–metaletal interface interface ( (HH isis in in the the yy direction);direction);

(b) Field Field distribution distribution of of SPPs SPPs in in the the dielectric dielectric and and metal metal material, material, δd δandd and δm δism theis thedecay decay length length of the of fieldthe field in the in thedielectric dielectric and and metal metal material, material, respectively; respectively; (c) ( cDispersion) curve curve for for an an SPP SPP mode. mode. Reproduced from [29]. [29]. Copyright © 2003, NPG.

As a simplified simplified and important case, the dispersion relationship of SPPs at the interface between two semi-infinite semi-infinite mediums takes the equation form below [[29]:29]: ω εε = dm kSP ω εdεm (1) k “ c εε+ (1) SP c εdm` ε c d m where ω is the angular frequency of light, c is light velocity in vacuum, εm and εd are the permittivity where ω is the angular frequency of light, c is light velocity in vacuum, εm and εd are the permittivity of ofmetal metal and and dielectric, dielectric, respectively. respectively. Clearly, Clearly, SPPs SPPs are slow are wavesslow waves and their and dispersion their dispersion relation relation is located is located at the right side of the light line in the diagram of transverse wavevector kx and angular at the right side of the light line in the diagram of transverse wavevector kx and angular frequency ω frequency(Figure1c). ω Thus, (Figure subwavelength 1c). Thus, subwavelength interference patterns interferen at thece patterns surface whereat the SPPssurface are where confined SPPs could are confinedbe obtained, could as twobe obtained, or multiple as SPPstwo or are multiple launched SPPs and are interfere launched with and each interfere other. It with is worth each notingother. thatIt is worthSPPs at noting planar that surfaces SPPs showat planar transverse surfaces magnetic show transverse mode polarization magnetic with mode one polarization magnetic component with one magnetic component Hy normal to the SPPs propagation direction and two components, Hy normal to the SPPs propagation direction and two electric field components, Ex and Ez, with a Ex and Ez, with a complex magnitude ratio of ~ikz/kx. This would reduce the interference fringe complex magnitude ratio of ~ikz/kx. This would reduce the interference fringe contrast due to the π/2 contrast due to the π/2 shift between Ex and Ez. phase shift between Ex and Ez. The semi-infinite semi-infinite SPPs mode could be viewed as an approximate case of thick metal film film with a thickness much larger than the skin skin depth depth of of SPPs SPPs inside inside the the metal metal medium. medium. In In practical practical applications, applications, two types of SPPs structures in Figure 2 are commonly encountered with a metal (dielectric) film two types of SPPs structures in Figure2 are commonly encountered with a metal (dielectric) film sandwiched between two semi-infinite dielectric (metallic) mediums. This waveguide and cavity-like sandwiched between two semi-infinite dielectric (metallic) mediums. This waveguide and cavity-like structure are usually used to tailor SPPs modes for modifying both transverse and structure are usually used to tailor SPPs modes for modifying both transverse wave vector and distribution. In these two modes, the SPPs dispersion relation equation is electromagnetic field distribution. In these two modes, the SPPs dispersion relation equation is written written in a similar form as [30]: in a similar form as [30]: d k ε tanh k d =−k212ε1 tanhk11 “ ´ ε (2)(2) 22 kk121ε2 dd kk1εε2 tanhtanhkk1 “=− ´ 12 (3) 12 k2εε1 (3) 2 k21

22=−ε 222=−ε 2 where ε1 and ε2 are of media I and II, respectively; kk110x k; kk220x k; kx is the transverse wave vector of SPPs wave.

Micromachines 2016, 7, 118 4 of 33

2 2 2 2 2 2 where ε1 and ε2 are permittivities of media I and II, respectively; k1 “ kx ´ ε1k0; k2 “ kx ´ ε2k0; kx is theMicromachines transverse 2016 wave, 7, 118 vector of SPPs wave. 4 of 32

Figure 2. Schematic structure of metal–insulator–metal or insulator–metal–insulator (MIM or IMI) with d thethe thickthick corecore layer.layer. TheThe corecore layerlayer andand claddingscladdings areare representedrepresented by Roman numbers “I” and “II”, respectively.respectively. The odd and even even modes modes are are represen representedted by by the the orange-colored orange-colored curve, curve, respectively. respectively.

SPPs in metal–insulation–metal (MIM) or insulation–metal–insulation (IMI) structure are split SPPs in metal–insulation–metal (MIM) or insulation–metal–insulation (IMI) structure are split into two modes in comparison to that of metal–insulation (MI). From the view of wave coupling into two modes in comparison to that of metal–insulation (MI). From the view of wave coupling theory, this phenomenon could be explained by the coupling of two MI-SPP modes at the two theory, this phenomenon could be explained by the coupling of two MI-SPP modes at the two interfaces of MIM or IMI structures. Usually, they are termed as odd and even modes according to interfaces of MIM or IMI structures. Usually, they are termed as odd and even modes according the electric field or distribution along the direction normal to the films. In some to the electric field or magnetic field distribution along the direction normal to the films. In some investigations, they are labeled with long-range and short-range SPPs to characterize the traveling investigations, they are labeled with long-range and short-range SPPs to characterize the traveling length feature [31,32]. The difference mainly lies in the light confinement ratio modulation inside the length feature [31,32]. The difference mainly lies in the light confinement ratio modulation inside metal medium with variant geometrical parameters, which help to reduce SPPs absorption due to the metal medium with variant geometrical parameters, which help to reduce SPPs absorption due metal loss. It is worth noting the magnitude difference of transverse and normal electric field to metal loss. It is worth noting the magnitude difference of transverse and normal electric field components Ex and Ez, especially for the two SPPs modes inside the MIM structure. In the case of odd components Ex and Ez, especially for the two SPPs modes inside the MIM structure. In the case of odd electric field distribution, the Ez component dominates the SPPs field inside insulator region. For the electric field distribution, the Ez component dominates the SPPs field inside insulator region. For the even mode, however, the Ex component magnitude is much larger than that of Ez. This feature helps even mode, however, the Ex component magnitude is much larger than that of Ez. This feature helps to get much higher contrast of imaging and interference fringes, compared with that of MI-SPP to get much higher contrast of imaging and interference fringes, compared with that of MI-SPP modes. modes. 2.2. Excitation of SPPs 2.2. Excitation of SPPs At both sides of the meta–insulator interface, the electromagnetic fields drop exponentially, indicatingAt both that sides SPPs of would the meta–insulator not be excited just interface, by impinging the electromagnetic light on a smooth fields metal drop surface. exponentially, To excite SPPsindicating on a smooththat SPPs planar would surface, not be excited one needs just by to impinging refer to some light structures on a smooth which metal could surface. deliver To excite extra SPPs on a smooth planar surface, one needs to refer to some structures which could deliver extra kx kx beyond k0 and cover the kx gap between SPPs and the light line. Several structures are usually employed,beyond k0 includingand cover prism, the k grating,x gap between fiber tip SPPs and nanoand particle,the light which line. areSeveral presented structures in Figure are3 usually. It is a keyemployed, point to including choose appropriateprism, grating, SPPs fiber excitation tip and nano methods particle, for plasmonicwhich are presented lithography, in Figure considering 3. It is thea key SPPs’ point area to choose size, high appropriate efficiency SPPs and excitation complexity methods of operation. for plasmonic For instance, lithography, the threeconsidering prism structuresthe SPPs’ witharea Kretscmannsize, high efficiency form, double and complexi Kretscmannty of form operation. and Otto For form instance, help tothe get three large-area prism andstructures high-efficiency with Kretscmann SPPs modes form, excitations double Kretscmann with proper form configurations, and Otto form but help fail toto exciteget large-area SPPs with and a high-efficiency SPPs modes excitations with proper configurations, but fail to excite SPPs with a much higher kx. On the other hand, some local small excitation structures like fiber tips, gratings and much higher kx. On the other hand, some local small excitation structures like fiber tips, gratings and nano particles are able to get high kx SPPs, but show very limited SPPs mode region, as the SPPs get absorbednano particles and scatteredare able to quickly get high as theykx SPPs, goaway but show from very excitation limited regions. SPPs mode region, as the SPPs get absorbed and scattered quickly as they go away from excitation regions.

Micromachines 2016, 7, 118 4 of 32

Figure 2. Schematic structure of metal–insulator–metal or insulator–metal–insulator (MIM or IMI) with d the thick core layer. The core layer and claddings are represented by Roman numbers “I” and “II”, respectively. The odd and even modes are represented by the orange-colored curve, respectively.

SPPs in metal–insulation–metal (MIM) or insulation–metal–insulation (IMI) structure are split into two modes in comparison to that of metal–insulation (MI). From the view of wave coupling theory, this phenomenon could be explained by the coupling of two MI-SPP modes at the two interfaces of MIM or IMI structures. Usually, they are termed as odd and even modes according to the electric field or magnetic field distribution along the direction normal to the films. In some investigations, they are labeled with long-range and short-range SPPs to characterize the traveling length feature [31,32]. The difference mainly lies in the light confinement ratio modulation inside the metal medium with variant geometrical parameters, which help to reduce SPPs absorption due to metal loss. It is worth noting the magnitude difference of transverse and normal electric field components Ex and Ez, especially for the two SPPs modes inside the MIM structure. In the case of odd electric field distribution, the Ez component dominates the SPPs field inside insulator region. For the even mode, however, the Ex component magnitude is much larger than that of Ez. This feature helps to get much higher contrast of imaging and interference fringes, compared with that of MI-SPP modes.

2.2. Excitation of SPPs At both sides of the meta–insulator interface, the electromagnetic fields drop exponentially, indicating that SPPs would not be excited just by impinging light on a smooth metal surface. To excite SPPs on a smooth planar surface, one needs to refer to some structures which could deliver extra kx beyond k0 and cover the kx gap between SPPs and the light line. Several structures are usually employed, including prism, grating, fiber tip and nano particle, which are presented in Figure 3. It is a key point to choose appropriate SPPs excitation methods for plasmonic lithography, considering the SPPs’ area size, high efficiency and complexity of operation. For instance, the three prism structures with Kretscmann form, double Kretscmann form and Otto form help to get large-area and high-efficiency SPPs modes excitations with proper configurations, but fail to excite SPPs with a much higher kx. On the other hand, some local small excitation structures like fiber tips, gratings and nano particles are able to get high kx SPPs, but show very limited SPPs mode region, as the SPPs get Micromachines 2016, 7, 118 5 of 33 absorbed and scattered quickly as they go away from excitation regions.

Micromachines 2016, 7, 118 5 of 32

Figure 3. Typical configurations for SPPs excitation. (a) Kretschmann prism geometry, (b) Two-layer Figure 3. Typical configurations for SPPs excitation. (a) Kretschmann prism geometry, (b) Two-layer Kretschmann prism geometry; (c) Otto prism geometry; (d) Excitation with an SNOM probe; Kretschmann prism geometry; (c) Otto prism geometry; (d) Excitation with an SNOM probe; (e) (e) Excitation by a grating, and (f) Excitation by surface features. Reproduced from [33]. Copyright © Excitation by a grating, and (f) Excitation by surface features. Reproduced from [33]. Copyright © 2004, Elsevier. 2004, Elsevier.

2.3.2.3. BPPsBPPs ofof HyperbolicHyperbolic MetamaterialMetamaterial TheThe termterm bulkbulk plasmonplasmon polaritonspolaritons (BPPs),(BPPs), asas indicatedindicated byby thethe wordword “bulk”,“bulk”, areare thethe modesmodes withwith electromagneticelectromagnetic field field distributiondistribution across across a a volumevolume spacespace insteadinstead ofof beingbeing confinedconfined toto andand propagatingpropagating alongalong thethe interfaceinterface ofof metal–dielectricmetal–dielectric media.media. AsAs shownshown inin FigureFigure4 4a,a, BPPs BPPs could could be be readily readily obtained obtained inin structuresstructures withwith alternativelyalternatively stackedstacked metalmetal andand dielectricdielectric films.films. InIn thisthis configuration,configuration, eacheach filmfilm thicknessthickness isis smallsmall enoughenough andand SPPsSPPs areare stronglystrongly coupledcoupled betweenbetween adjacentadjacent films,films, yieldingyielding aa wholewhole unityunity ofof BPPsBPPs whichwhich existexist andand propagatepropagate inin aa 3D3D bulkbulk space.space. InIn addition,addition, thethe BPPsBPPs showshow somesome otherother abnormalabnormal featuresfeatures likelike directionaldirectional lightlight propagationpropagation [[34,35],34,35], BPPsBPPs filterfilter withwith specifiedspecified wavevectorwavevector rangerange [[36–38]36–38] andand negativenegative refractionrefraction behaviorbehavior [[39]39],, etc.,etc., whichwhich playsplays anan importantimportant rolerole inin designingdesigning plasmonicplasmonic lithographylithography structures,structures, asas shownshown below.below.

FigureFigure 4.4. ((aa)) SchematicSchematic ofof BPPsBPPs (plasmon(plasmon polaritons)polaritons) inin hyperbolichyperbolic metamaterialmetamaterial withwith alternativealternative stackedstacked metal–dielectricmetal–dielectric nanonano films;films; ((bb)) DispersionDispersion relationrelation ofof thethe multilayermultilayer filmsfilms withwith differentdifferent signssigns ofof εεxx andand εεzz.. ReproducedReproduced fromfrom [[34].34]. CopyrightCopyright ©© 2014,2014, AIP.AIP.

BPPs are investigated and analyzed numerically mainly in two ways, including effective medium BPPs are investigated and analyzed numerically mainly in two ways, including effective medium theory (EMT) and rigorous coupled wave analysis (RCWA). In the first case, the multiple metallic and theory (EMT) and rigorous coupled wave analysis (RCWA). In the first case, the multiple metallic and dielectric films are characterized with effective anisotropic permittivity in three directions as [40]: dielectric films are characterized with effective anisotropic permittivity in three directions as [40]: εεε== + ε − xymf d(1f ) (4) εx “ εy “ εm f ` εdp1 ´ f q (4) εε−−11=+− ε − 1 zmf d(1f ) (5) ´1 ´1 ´1 εz “ εm f ` εd p1 ´ f q (5) where εm and εd are permittivity of metal and dielectric films, f is the filling factor of metal films thickness. Its equal-frequency contour (EFC) equation of dispersion relation in two- space is expressed as [40]:

2 k 2 k 2 ω x +=z εε (6) zxc

For films with appropriate geometrical and permittivity parameters, the EFC is a hyperbolic function as shown in Figure 4b. In view of the dispersion relationship, some abnormal behaviors of BPPs inside hyperbolic metamaterial could be extracted [40–42]. For instance, evanescent waves with a large kx in dielectric medium become non-evanescent and propagating inside the hyperbolic systems. The abnormal BPPs directional propagation behaviors would be explained as normal to the hyperbolic EFC’s asymptotic curves (Figure 5). Also, spatial diffraction light through the films would be restricted to the desired kx wave vector ranges, where BPPs mode could be coupled out by the specific metamaterial. The spatial spectrum filtering behaviors could squeeze BPPs to form a large- area deep subwavelength interference pattern, which has been reported in many literatures [37,43,44].

Micromachines 2016, 7, 118 6 of 33

where εm and εd are permittivity of metal and dielectric films, f is the filling factor of metal films thickness. Its equal-frequency contour (EFC) equation of dispersion relation in two-dimension space is expressed as [40]: k2 k2 ω 2 x ` z “ (6) εz εx c ´ ¯ For films with appropriate geometrical and permittivity parameters, the EFC is a hyperbolic function as shown in Figure4b. In view of the dispersion relationship, some abnormal behaviors of BPPs inside hyperbolic metamaterial could be extracted [40–42]. For instance, evanescent waves with a large kx in dielectric medium become non-evanescent and propagating inside the hyperbolic systems. The abnormal BPPs directional propagation behaviors would be explained as normal to the hyperbolic EFC’s asymptotic curves (Figure5). Also, spatial diffraction light through the films would be restricted to the desired kx wave vector ranges, where BPPs mode could be coupled out by the specific metamaterial. The spatial spectrum filtering behaviors could squeeze BPPs to form a large-area deep subwavelength interference pattern, which has been reported in many literatures [37,43,44]. Micromachines 2016, 7, 118 6 of 32

Figure 5. Hyperbolic equal-frequency contour (EFC) surface for metamaterial with (a) εz > 0, εx > 0, Figure 5. Hyperbolic equal-frequency contour (EFC) surface for metamaterial with (a) εz > 0, εx > 0; and and (b) εz > 0, εx < 0. The group velocity is indicated by the arrows, which are to the (b) ε > 0, ε < 0. The group velocity is indicated by the arrows, which are perpendicular to the EFC. EFC.z x

InsideInside anan absorption-freeabsorption-free hyperbolichyperbolic metamaterial,metamaterial, thethe EMTEMT methodmethod showsshows spatialspatial diffractiondiffraction lightslights withwith infinite infinite large large transversal transversal wave wave vector vector kxk andx and ky theky the propagating propagating modes, modes, and andthe down the down limit 2 2 2 limit of the cut-off wave vector is determined by k 22`+=k “ε εz 2k . However, the actual hyperbolic of the cut-off wave vector is determined by kkxxyy z k0 .0 However, the actual hyperbolic metamaterial processes the finite-thickness components with the substantial absorption, for example, metamaterial processes the finite-thickness components with the substantial absorption, for example, five pairs of SiO2 and Al at the wavelength of 365 nm. five pairs of SiO2 and Al at the wavelength of 365 nm. The rigorous calculation of BPPs mode could be performed by rigorous coupled wave (RCWA) by The rigorous calculation of BPPs mode could be performed by rigorous coupled wave (RCWA) finding eigen values of the transmission matrix. As shown in Figure6a, the finite thickness of SiO /Al by finding eigen values of the transmission matrix. As shown in Figure 6a, the finite thickness2 of films bend the equi-frequency contour, forming a specified kx wave vector range for propagation BPPs SiO2/Al films bend the equi-frequency contour, forming a specified kx wave vector range for modes. The upper kx limit is determined by the Brillouin zone edge with kz = π/d, where d is the propagation BPPs modes. The upper kx limit is determined by the Brillouin zone edge with kz = π/d, total thickness of a pair of SiO2/Al films. Correspondingly, the upper boundary of the calculated OTF where d is the total thickness of a pair of SiO2/Al films. Correspondingly, the upper boundary of the window, shown in Figure6a (top panel), coincides with the kx limit depicted in the bottom panel. It is calculated OTF window, shown in Figure 6a (top panel), coincides with the kx limit depicted in the obvious that the relation curves of kx and kz calculated by RCWA do not coincide well with that by bottom panel. It is obvious that the relation curves of kx and kz calculated by RCWA do not coincide EMT, especially for large kx, which demonstrates that the EMT method could only be an approximate well with that by EMT, especially for large kx, which demonstrates that the EMT method could only analysis of metal–dielectric systems. be an approximate analysis of metal–dielectric systems. On the other hand, the lower boundary (unlike the upper boundary) of the transmission window On the other hand, the lower boundary (unlike the upper boundary) of the transmission window is not equal to the calculated Bloch modes’ lower kx limit. This point is attributed to the contribution is not equal to the calculated Bloch modes’ lower kx limit. This point is attributed to the contribution of the two surface modes confined at the interface between the surrounding medium and hyperbolic of the two surface modes confined at the interface between the surrounding medium and hyperbolic structure with SiO2/Al films. Moreover, the OTF window positions could be tuned by variant film structure with SiO2/Al films. Moreover, the OTF window positions could be tuned by variant film thickness as shown in the top panel of Figure6a, where the reduction of SiO 2/Al film thickness from thickness as shown in the top panel of Figure 6a, where the reduction of SiO2/Al film thickness from 30 nm/15 nm to 20 nm/10 nm delivers considerable extension of the upper kx limit for Bloch modes. 30 nm/15 nm to 20 nm/10 nm delivers considerable extension of the upper kx limit for Bloch modes. This point can be clearly seen in Figure6b. Further, the change of SiO 2 film filling factor would shift This point can be clearly seen in Figure 6b. Further, the change of SiO2 film filling factor would shift the lower OTF window boundary, shown in Figure6c. the lower OTF window boundary, shown in Figure 6c.

Micromachines 2016, 7, 118 6 of 32

Figure 5. Hyperbolic equal-frequency contour (EFC) surface for metamaterial with (a) εz > 0, εx > 0, and (b) εz > 0, εx < 0. The group velocity is indicated by the arrows, which are perpendicular to the EFC.

Inside an absorption-free hyperbolic metamaterial, the EMT method shows spatial diffraction with infinite large transversal wave vector kx and ky the propagating modes, and the down limit 22+=ε 2 of the cut-off wave vector is determined by kkxy z k0 . However, the actual hyperbolic metamaterial processes the finite-thickness components with the substantial absorption, for example, five pairs of SiO2 and Al at the wavelength of 365 nm. The rigorous calculation of BPPs mode could be performed by rigorous coupled wave (RCWA) by finding eigen values of the transmission matrix. As shown in Figure 6a, the finite thickness of SiO2/Al films bend the equi-frequency contour, forming a specified kx wave vector range for propagation BPPs modes. The upper kx limit is determined by the Brillouin zone edge with kz = π/d, where d is the total thickness of a pair of SiO2/Al films. Correspondingly, the upper boundary of the calculated OTF window, shown in Figure 6a (top panel), coincides with the kx limit depicted in the bottom panel. It is obvious that the relation curves of kx and kz calculated by RCWA do not coincide well with that by EMT, especially for large kx, which demonstrates that the EMT method could only be an approximate analysis of metal–dielectric systems. On the other hand, the lower boundary (unlike the upper boundary) of the transmission window is not equal to the calculated Bloch modes’ lower kx limit. This point is attributed to the contribution of the two surface modes confined at the interface between the surrounding medium and hyperbolic structure with SiO2/Al films. Moreover, the OTF window positions could be tuned by variant film thickness as shown in the top panel of Figure 6a, where the reduction of SiO2/Al film thickness from 30 nm/15 nm to 20 nm/10 nm delivers considerable extension of the upper kx limit for Bloch modes. This point can be clearly seen in Figure 6b. Further, the change of SiO2 film filling factor would shift Micromachines 2016, 7, 118 7 of 33 the lower OTF window boundary, shown in Figure 6c.

Figure 6. (a) Top panel is the calculation of optical transmission function (OTF) for SiO2/Al films with variant thickness by RCWA and EMT. Middle and bottom panels are the real and imaginary part of kz for variant kx calculated by Bloch theorem without considering the Al absorption. OTF plots in logarithm scale as function of (b) unit thickness d and (c) metal film filling factor f. Reproduced from [37]. Copyright © 2015, WILEY.

3. Plasmonic Interference Lithography interference lithography (LIL) is a popular nanofabrication method due to its maskless, low-cost, parallel and large-area features, and it has attracted a great deal of interest and shows promising application in manufacturing a great variety of functional structures with periodical nano patterns [45], like hologram gratings, nano pillar arrays, two dimensional (2D) and three-dimensional (3D) structures, biosensors, etc. Suffering from the diffraction limit, the half-pitch resolution of conventional LIL is theoretically restricted as one quarter of the light wavelength. Light sources with shorter wavelength, like DUV, EUV and even soft x-ray, could achieve much smaller interference patterns, but the expensive laser setups and complex processing hampers their practical applications for common researchers.

3.1. SPPs Interference Lithography SPPs could be manipulated with a propagation wavelength much shorter than that of the same-frequency light in dielectric. This feature could be readily explored for subwavelength interference lithography. In 2004, aluminum grating with a 300 nm period and 50 nm wide slot was used to excite SPPs under 436 nm wavelength light, forming about 100 nm period interference lines inside resist beneath the grating (Figure7)[ 21,46]. Further, a reflective cladding metal slab below the resist layer is proposed to generate high contrast interference fringes of coupled SPP waves [47,48]. To get SPP interference patterns beneath the grating structures with acceptable uniformity, the grating structure for SPPs’ excitation should be carefully designed to be compatible with the SPP mode. By imposing two or multiple gratings, SPPs’ interference beyond the diffraction limit could also be realized in the central region (Figure8a–d) [ 49–51]. However, the interference pattern area size of this method is greatly restricted due to the inevitable great absorption and scattering of SPPs as they propagate along rough metal film. SPPs’ prism excitation in the Kretschmann and Otto schemes could be introduced for large-area SPP interference lithography (Figure8e,f) [ 52,53], but the resolution of the interference pattern is limited due to the finite of the prism material [54]. Micromachines 2016, 7, 118 7 of 32

Figure 6. (a) Top panel is the calculation of optical transmission function (OTF) for SiO2/Al films with variant thickness by RCWA and EMT. Middle and bottom panels are the real and imaginary part of kz for variant kx calculated by Bloch theorem without considering the Al absorption. OTF plots in logarithm scale as function of (b) unit thickness d and (c) metal film filling factor f. Reproduced from [37]. Copyright © 2015, WILEY.

3. Plasmonic Interference Lithography Laser interference lithography (LIL) is a popular nanofabrication method due to its maskless, low-cost, parallel and large-area features, and it has attracted a great deal of interest and shows promising application in manufacturing a great variety of functional structures with periodical nano patterns [45], like hologram gratings, nano pillar arrays, two dimensional (2D) and three-dimensional (3D) photonic crystal structures, biosensors, etc. Suffering from the diffraction limit, the half-pitch resolution of conventional LIL is theoretically restricted as one quarter of the light wavelength. Light sources with shorter wavelength, like DUV, EUV and even soft x-ray, could achieve much smaller interference patterns, but the expensive laser setups and complex processing hampers their practical applications for common researchers.

3.1. SPPs Interference Lithography SPPs could be manipulated with a propagation wavelength much shorter than that of the same- frequency light in dielectric. This feature could be readily explored for subwavelength interference lithography. In 2004, aluminum grating with a 300 nm period and 50 nm wide slot was used to excite SPPs under 436 nm wavelength light, forming about 100 nm period interference lines inside resist beneath the grating (Figure 7) [21,46]. Further, a reflective cladding metal slab below the resist layer is proposed to generate high contrast interference fringes of coupled SPP waves [47,48]. To get SPP interference patterns beneath the grating structures with acceptable uniformity, the grating structure for SPPs’ excitation should be carefully designed to be compatible with the SPP mode. By imposing two or multiple gratings, SPPs’ interference beyond the diffraction limit could also be realized in the central region (Figure 8a–d) [49–51]. However, the interference pattern area size of this method is greatly restricted due to the inevitable great absorption and scattering of SPPs as they propagate along rough metal film. SPPs’ prism excitation in the Kretschmann and Otto schemes could be introduced for large-area SPPMicromachines interference2016, 7 ,lithography 118 (Figure 8e,f) [52,53], but the resolution of the interference pattern8 of 33is limited due to the finite refractive index of the prism material [54].

Figure 7. ((aa)) Schematic Schematic structure structure of of grating exci excitedted SPPs’ interference lithography; ( b) Simulated electric field field intensity distribution; ( (cc)) Resist Resist recording recording results results of of SPPs’ SPPs’ interference interference fringes. fringes. Reproduced from [[21],21], Copyright © 2004, AIP. Micromachines 2016, 7, 118 8 of 32

Figure 8. (a) Schematic configuration of SPPs’ interference lithography with two parallel gratings; Figure 8. (a) Schematic configuration of SPPs’ interference lithography with two parallel gratings; (b) Cross sections of electrical field |E| distribution in (a); (c) Schematic experimental configuration (b) Cross sections of electrical field |E| distribution in (a); (c) Schematic experimental configuration of SPPs interference lithography; (d) Exposure pattern of structure (c); (e) SPPs’ interference through of SPPs interference lithography; (d) Exposure pattern of structure (c); (e) SPPs’ interference through prism excitation; (f) Atomic force (AFM) image of one-dimensional interference patterns prism excitation; (f) Atomic force microscopy (AFM) image of one-dimensional interference patterns by prism. Reproduced from: (a,b), [49], Copyright © 2005, ACS; (c,d), [50], Copyright © 2009, ACS; by prism. Reproduced from: (a,b), [49], Copyright © 2005, ACS; (c,d), [50], Copyright © 2009, ACS; (e,f) [52], Copyright © 2010, OAS. (e,f) [52], Copyright © 2010, OAS.

3.2. Odd SPPs Mode Interference Lithography 3.2. Odd SPPs Mode Interference Lithography As shown in the Section 2.1, MIM structure helps to realize modification of SPP mode with much As shown in the Section 2.1, MIM structure helps to realize modification of SPP mode with much larger transverse wave vector kx and tailor the ratio between Ex and Ez components. This feature could larger transverse wave vector kx and tailor the ratio between Ex and Ez components. This feature could be utilized for much smaller SPPs’ interference period by launching the odd SPP mode and improve be utilized for much smaller SPPs’ interference period by launching the odd SPP mode and improve pattern contrast by inhibiting the transverse electric field Ex component (Figure 9a,b) [55]. The SPPs’ pattern contrast by inhibiting the transverse electric field Ex component (Figure9a,b) [ 55]. The SPPs’ interference lithography using the odd mode in MIM structures is even extended to 193 nm DUV interference lithography using the odd mode in MIM structures is even extended to 193 nm DUV light light and demonstrated numerically to push sub-diffraction resolution beyond 15 nm (Figure 9c) [56]. and demonstrated numerically to push sub-diffraction resolution beyond 15 nm (Figure9c) [56]. Recently, experimental demonstration shows that the 61 nm half-pitch resolution lines are Recently, experimental demonstration shows that the 61 nm half-pitch resolution lines are obtained obtained by the odd SPPs modes interference (Figure 9d–f) [57]. The odd modes are excited by the by the odd SPPs modes interference (Figure9d–f) [ 57]. The odd modes are excited by the structure in structure in the form of PMMA-Al-photoresist-SiO2-Al under the wavelength of 405 nm. Our the form of PMMA-Al-photoresist-SiO2-Al under the wavelength of 405 nm. Our experimental results experimental results to be published demonstrate SPPs’ interference lithography with SiO2-Al- to be published demonstrate SPPs’ interference lithography with SiO -Al-photoresist-Al structure and photoresist-Al structure and 363.8 nm wavelength, yielding 45 nm half-pitch,2 50 nm depth and area 363.8 nm wavelength, yielding 45 nm half-pitch, 50 nm depth and area size up to 20 mm ˆ 20 mm. size up to 20 mm × 20 mm. Due to the strong resonance in the waveguide structure, the interference Due to the strong resonance in the waveguide structure, the interference patterns exhibit large-area, patterns exhibit large-area, high-aspect and high-resolution advantages. high-aspect and high-resolution advantages.

Micromachines 2016, 7, 118 8 of 32

Figure 8. (a) Schematic configuration of SPPs’ interference lithography with two parallel gratings; (b) Cross sections of electrical field |E| distribution in (a); (c) Schematic experimental configuration of SPPs interference lithography; (d) Exposure pattern of structure (c); (e) SPPs’ interference through prism excitation; (f) Atomic force microscopy (AFM) image of one-dimensional interference patterns by prism. Reproduced from: (a,b), [49], Copyright © 2005, ACS; (c,d), [50], Copyright © 2009, ACS; (e,f) [52], Copyright © 2010, OAS.

3.2. Odd SPPs Mode Interference Lithography As shown in the Section 2.1, MIM structure helps to realize modification of SPP mode with much larger transverse wave vector kx and tailor the ratio between Ex and Ez components. This feature could be utilized for much smaller SPPs’ interference period by launching the odd SPP mode and improve pattern contrast by inhibiting the transverse electric field Ex component (Figure 9a,b) [55]. The SPPs’ interference lithography using the odd mode in MIM structures is even extended to 193 nm DUV light and demonstrated numerically to push sub-diffraction resolution beyond 15 nm (Figure 9c) [56]. Recently, experimental demonstration shows that the 61 nm half-pitch resolution lines are obtained by the odd SPPs modes interference (Figure 9d–f) [57]. The odd modes are excited by the structure in the form of PMMA-Al-photoresist-SiO2-Al under the wavelength of 405 nm. Our experimental results to be published demonstrate SPPs’ interference lithography with SiO2-Al- photoresist-Al structure and 363.8 nm wavelength, yielding 45 nm half-pitch, 50 nm depth and area Micromachinessize up2016 to ,207, mm 118 × 20 mm. Due to the strong resonance in the waveguide structure, the interference 9 of 33 patterns exhibit large-area, high-aspect and high-resolution advantages.

FigureMicromachines 9. (a )2016 Schematic, 7, 118 structure of the odd SPP mode interference lithography; Simulation results9 of 32 at the wavelength of (b) 442 nm and (c) 193 nm; (d) Experimental structure for the odd SPP mode interferenceFigure 9. lithography; (a) Schematic (e structure) Top view of the and odd (f) CrossSPP mode section interference of SEM lithography; pictures of 100 Simulation nm-thick results photoresist. at Reproducedthe wavelength from: (b), of [(55b) ],442 Copyright nm and (©c) 2009,193 nm; Springer; (d) Experimental (a,c), [56], structure Copyright for© the2014, odd NPG; SPP mode (d–f), [57], Copyrightinterference © 2016, lithography; ACS. (e) Top view and (f) Cross section of SEM pictures of 100 nm-thick photoresist. Reproduced from: (b), [55], Copyright © 2009, Springer; (a,c), [56], Copyright © 2014, NPG; (d–f), [57], Copyright © 2016, ACS. 3.3. BPPs Interference Lithography BPPs3.3. BPPs with Interference a large wave Lithography vector propagate inside the specially designed bulk metamaterial with a hyperbolicBPPs dispersion with a large relation. wave vector Some propagate investigations inside the were specially preformed designed to bulk engineer metamaterial BPPs and with obtain deepa subwavelength hyperbolic dispersion resolution relation. interference Some investigations patterns. were An alternate preformed metal/dielectric to engineer BPPs multilayer and obtain with the hyperbolicdeep subwavelength feature has resolution been the interference preferred structure patterns. toAn render alternate BPPs’ metal/dielectric interference multilayer lithography. with In the the hyperbolic feature has been the preferred structure to render BPPs’ interference lithography. In experimental demonstration, hyperbolic metamaterial composed of SiO2/Al films squeezes out BPPs the experimental demonstration, hyperbolic metamaterial composed of SiO2/Al films squeezes out generated by grating, and produces a large-area and uniform deep subwavelength pattern with BPPs generated by grating, and produces a large-area and uniform deep subwavelength pattern with half-pitch 45 nm (~λ/8) two and four BPPs’ interference (Figure 10). Much deeper resolution down half-pitch 45 nm (~λ/8) two and four BPPs’ interference (Figure 10). Much deeper resolution down to λ to 22.522.5 nm nm (~ (~λ/16)/16) and and a avariety variety of BPPs’ of BPPs’ interference interference patterns patterns are feasible are feasible[37]. Recent [37 simulation]. Recent simulationresults resultsexhibit exhibit sub-diffraction sub-diffraction resolution resolution of interference of interference patterns, patterns, even evenreaching reaching 16.5 nm 16.5 under nm under 193 nm 193 nm illuminationillumination light light [44 ].[44].

FigureFigure 10. 10.(a) ( Schematica) Schematic configuration configuration ofof two-BPPs’two-BPPs’ interference; interference; (b) ( bSEM) SEM image image of exposure of exposure photoresist;photoresist; (c) Schematic (c) Schematic configuration configuration of of four-BPPs’ four-BPPs’ interference; interference; (d ()d AFM) AFM image image of two-dimension of two-dimension interferenceinterference pattern. pattern. Feature Feature size size of of results results is is 45 45 nm.nm. Reproduced Reproduced from from [37], [37 Copyright], Copyright © 2015,© 2015, WILEY. WILEY.

3.4. Two-Surface Plasmon Polaritons Interference Lithography Benefiting from the development of ultrafast laser, two- effects have been widely employed in many optic systems [58,59], such as two-photon absorption and two-photon polymerization for 3D micro fabrication and , etc. This non-linear optical response helps to get sharper point response function and improvement of imaging resolution in three dimensional spaces. Recently, this idea was extended to the case of two-SPPs’ absorption interference (TSPPA) lithography to improve the exposure pattern quality [60,61]. Just like two-photon absorption, two- SPPs’ quantums are simultaneously absorbed for the exposure of resist. So, the electric field intensity |E|2 response is replaced by |E|4 and a higher contrast or narrower SPPs’ hot spot response would be expected. At the same time the SPPs mode excited by helps to confine light within a small volume of spacer and increase the absorption of two SPPs. Huang et al. used two sets of side grating

Micromachines 2016, 7, 118 10 of 33

3.4. Two-Surface Plasmon Polaritons Interference Lithography Benefiting from the development of ultrafast laser, two-photon effects have been widely employed in many optic systems [58,59], such as two-photon absorption and two-photon polymerization for 3D micro fabrication and microscopes, etc. This non-linear optical response helps to get sharper point response function and improvement of imaging resolution in three dimensional spaces. Recently, this idea was extended to the case of two-SPPs’ absorption interference (TSPPA) lithography to improve the exposure pattern quality [60,61]. Just like two-photon absorption, two-SPPs’ quantums are simultaneously absorbed for the exposure of resist. So, the electric field intensity |E|2 response is replaced by |E|4 and a higher contrast or narrower SPPs’ hot spot response would be Micromachinesexpected. At 2016 the, 7, same 118 time the SPPs mode excited by photons helps to confine light within a10 small of 32 volume of spacer and increase the absorption of two SPPs. Huang et al. used two sets of side grating with 480 nm period and 800 nm femtosecond laser, and achieved 120 nm line width interference fringes by TSPPA lithography (Figure 1111).). Further, a similar experiment wa wass performed with 400 nm wavelength femtosecond laser and 70 nm line width resist patternpattern waswas obtained.obtained.

Figure 11. ((a)) Schematic structure of two-SPPs absorptionabsorption interference lithography; ( b) Simulated interference intensity distribution; ( (cc)) Experimental Experimental results results of of resist pattern. Reproduced Reproduced from from [60], [60], Copyright © 2013, AIP.

3.5. Some Discussions It is necessary and significantsignificant to present a comparisoncomparison for thethe abovementionedabovementioned plasmonic interference lithography with with grating excited SPPs, prism excited SPPs, odd SPP mode, grating excited BPPs, as exhibited in Table1 1.. It is possible to get a short wavelength SPP mode at the smooth bottom surface of partly etched Al-grating (second, third and fifth fifth rows in Table1 1).). InIn fact,fact, thethe ideaidea ofof combiningcombining subwavelengthsubwavelength grating with metal film film for SPPs’ interference lithography has been investigated in a number of papers, mainlymainly by by numerical numerical simulations. simulations. In contrast In contrast to the hyperbolicto the hyperbolic metamaterial metamaterial BPPs’ interference BPPs’ interferencestructure (sixth structure row in (sixth Table row1), the in obviousTable 1), differencethe obvious lies difference in the fact lies that in thethe lightfact that field the transmitted light field transmittedthrough the through single Al the film single contains Al film not contains only the not excited only SPPthe excited modes, SPP but modes, also those but gratingalso those diffraction grating diffractionorders which orders are not which matched. are not As matched. a result of As this a point,result someof this unwelcome point, some aspects unwelcome would beaspects encountered would beboth encountered in design and both practical in design processes and practical for SPPs’ processes interference for SPPs’ lithography. interference To avoidlithography. distortions To avoid from distortionsmultiple diffraction from multiple orders, diffraction the designed orders, gratings the designed for ultra-short gratings wavelengthfor ultra-short SPPs’ wavelength excitation SPPs’ are excitationusually configured are usually with configured a small subwavelengthwith a small subwavelength period or large period period or but large nano period features. but nano As a features.result, costly As a equipmentresult, costly like equipment FIB or EBL like are FIB usually or EBL usedare usually for grating used fabrication.for grating fabrication. This, inevitably, This, inevitably,greatly reduces greatly the reduces assumed the assumed advantage advantage of sub-diffraction of sub-diffraction lithography lithography and constrains and constrains the SPPs the SPPsinterference interference pattern pattern area area to tens to tens of micrometers,of micrometer considerings, considering the the cost cost of of FIB FIB or or EBL. EBL. DueDue toto the above concerns, careful design of grating and the fa fabricationbrication errors control of metal film film structure would be required. Further, it would not be feasible for tuning the interference period with a fixed fixed grating-metal film film sample. Fortunately, BPPs interference based on hyperbolic metamaterial plays a key role in generating large-area, uniform and deep subwavelength interference patterns with the ease of grating fabrication by conventional LIL. For alternate metal/dielectric multilayer coupling the BPP mode, the transmitted light is effectively restricted in specific kx wave vector window of hyperbolic metamaterial, outside of which the light transmission drops to zero. Benefiting from this point, a uniform pattern could be obtained by the interference behavior of purified plasmonic modes. The grating pitch could be several times that of interference fringes, allowing no need for EBL and FIB tools for grating fabrications and facilitating large-area and low-cost periodical patterns. Also, the flexibility for tuning the interference period and laser wavelength would be feasible within the transmission window, which will be demonstrated in our further work.

Micromachines 2016, 7, 118 11 of 33

Table 1. Comparison of various plasmonic interference lithography technologies.

Methods and Features Light Source & Wavelength Excitation SPPs Structure Excitation Structure Fabrication Interference Pattern Period Interference Pattern Area Size Reference Grating Period 300 nm Grating-excited SPPs g-line Hg lamp & 436 nm Electric beam lithography 100 nm - [21] Slit width 50 nm Grating-excited SPPs i-line Hg lamp & 365 nm Edge slit Slit width 200 nm Focusing ion beam milling 120 nm 2 µm [50] Prism-excited SPPs Ar ion laser & 363.8 nm Prism - 172 nm 20 mm ˆ 20 mm [52] Grating Period 245 nm Odd SPPs mode diode laser & 405 nm Nano imprint 122.5 nm 10 mm ˆ 10 mm [57] Slit width 122 nm Grating Period 360 nm Grating excited BPPs Ar ion laser & 363.8 nm Laser interference lithography 90 nm 20 mm ˆ 20 mm [37] Slit width 180 nm Micromachines 2016, 7, 118 12 of 33

Fortunately, BPPs interference based on hyperbolic metamaterial plays a key role in generating large-area, uniform and deep subwavelength interference patterns with the ease of grating fabrication by conventional LIL. For alternate metal/dielectric multilayer coupling the BPP mode, the transmitted light is effectively restricted in specific kx wave vector window of hyperbolic metamaterial, outside of which the light transmission drops to zero. Benefiting from this point, a uniform pattern could be obtained by the interference behavior of purified plasmonic modes. The grating pitch could be several times that of interference fringes, allowing no need for EBL and FIB tools for grating fabrications and facilitating large-area and low-cost periodical patterns. Also, the flexibility for tuning the interference period and laser wavelength would be feasible within the transmission window, which will be demonstrated in our further work.

4. Plasmonic Direct Writing Lithography Localized surface plasmons (LSPs) are employed in nano optical lithography associated with sharp metallic tips, nano metal particles and specifically designed nano like bowtie structures, in which the large LSPs fields deliver nanoscale lithography resolution. Localized plasmonic lithography is usually performed in point-to-point writing manner, and it is easy to do in parallel by integrating multiple over one head to increase throughput.

4.1. Localized Surface Plasmons Structures Unlike SPPs and BPPs where light field is mainly confined to two-dimensional space or expanded into three-dimensional space, LSPs exists in a point-like region with zero . The typical LSPs mode structure is a nano metallic sphere, in which light illuminating it would excite free electrons oscillation inside sphere dipole resonator [62,63]. The strong resonance of light accompanying the ultra-small spacer effect yields a great field enhancement and could be directly used for nano lithography. The simple way to obtain a light spot with subwavelength size could be done as light is leaked from a small hole. It, indeed, does its work in some cases like a metallic hole on the end of a fiber, usually employed in near-field scanning microscopes and used for scanning nanolithography as well [64,65]. One of the technical challenges of this method is the low transmission efficiency of light through nano holes, even in the case of employing metal coating. Another nano light localized structure is sharp metallic tips with ultra-small curvature, which behave like a lightning rod and facilitate in concentrating light energy around the tip apex. In this case, the light-enhancement factor should be large enough in comparison with the light illumination. LSP mode in some specially designed structure, like a bowtie (Figure 12a), helps to greatly enhance output light intensity and generates a nano hot spot [28,66]. In addition, by introducing a number of slits or grooves surrounding the LSPs’ hole or bowtie (Figure 12b,c) [67,68], excited plasmonic light energy would converge to the central part and is superimposed constructively to greatly enhance central focus intensity, as the slit/groove distances are well designed with proper SPP phase retardations. Micromachines 2016, 7, 118 12 of 32

4. Plasmonic Direct Writing Lithography Localized surface plasmons (LSPs) are employed in nano optical lithography associated with sharp metallic tips, nano metal particles and specifically designed nano apertures like bowtie structures, in which the large LSPs fields deliver nanoscale lithography resolution. Localized plasmonic lithography is usually performed in point-to-point writing manner, and it is easy to do in parallel by integrating multiple plasmonic lens over one head to increase throughput.

4.1. Localized Surface Plasmons Structures Unlike SPPs and BPPs where light field is mainly confined to two-dimensional space or expanded into three-dimensional space, LSPs exists in a point-like region with zero dimensions. The typical LSPs mode structure is a nano metallic sphere, in which light illuminating it would excite free electrons oscillation inside sphere dipole resonator [62,63]. The strong resonance of light accompanying the ultra-small spacer effect yields a great field enhancement and could be directly used for nano lithography. The simple way to obtain a light spot with subwavelength size could be done as light is leaked from a small hole. It, indeed, does its work in some cases like a metallic hole on the end of a fiber, usually employed in near-field scanning microscopes and used for scanning nanolithography as well [64,65]. One of the technical challenges of this method is the low transmission efficiency of light through nano holes, even in the case of employing metal coating. Another nano light localized structure is sharp metallic tips with ultra-small curvature, which behave like a lightning rod and facilitate in concentrating light energy around the tip apex. In this case, the light-enhancement factor should be large enough in comparison with the light illumination. LSP mode in some specially designed structure, like a bowtie (Figure 12a), helps to greatly enhance output light intensity and generates a nano hot spot [28,66]. In addition, by introducing a number of slits or grooves surrounding the LSPs’ hole or bowtie (Figure 12b,c) [67,68], excited plasmonic light energy would Micromachinesconverge to2016 the, 7 ,central 118 part and is superimposed constructively to greatly enhance central13 focus of 33 intensity, as the slit/groove distances are well designed with proper SPP phase retardations.

Figure 12. Various nanostructures used to confine SPPs and achieve a nano-scale spot. (a) Zoom in Figure 12. Various nanostructures used to confine SPPs and achieve a nano-scale spot. (a) Zoom in SEM picture of the bowtie ; (b) 100 nm aperture on the NSOM tip after the fabrication of the SEM picture of the bowtie aperture; (b) 100 nm aperture on the NSOM tip after the fabrication of plasmonic lens; (c) Modified bowtie aperture with full circular grooves on the exit side of the film. the plasmonic lens; (c) Modified bowtie aperture with full circular grooves on the exit side of the film.Reproduced Reproduced from: from: (a), [28], (a), [28Copyright], Copyright © 2006,© 2006, ACS; ACS; (b), (b), [67], [67 ],Copyright Copyright ©© 2008,2008, ACS; ACS; (c), (c), [68], [68], Copyright © 2011, AIP.

4.2. Plsmonic Focusing Structures with SPPs and BPPs 4.2. Plsmonic Focusing Structures with SPPs and BPPs There are some plasmonic focusing structures without the requirement of an opaque There are some plasmonic focusing structures without the requirement of an opaque confinement confinement boundary, like metallic holes, just by superimposing constructively a great deal of SPP boundary, like metallic holes, just by superimposing constructively a great deal of SPP components at a components at a focus point. The simple structure is a transparent ring on a metallic film, in which focus point. The simple structure is a transparent ring on a metallic film, in which subwavelength focus subwavelength focus appears at the ring center due to the convergence of SPP excited over the appears at the ring center due to the convergence of SPP excited over the metallic ring (Figure 13a,b) [69]. metallic ring (Figure 13a,b) [69]. Further, a two concentric half-ring structure with a radius difference Further, a two concentric half-ring structure with a radius difference of about half of the SPPs of about half of the SPPs wavelength is proposed to generate a focus spot (Figure 13c,d) [70]. wavelength is proposed to generate a solid focus spot (Figure 13c,d) [70]. This method could also ThisMicromachines method 2016 could, 7, 118 also be done by using rings in a helix form (Figure 13c,f) [71]. SPPs focusing13 ofcan 32 be done by using rings in a helix form (Figure 13c,f) [71]. SPPs focusing can be realized not only by be realized changing not the only structural by changing geometry the structural but also bygeometry filling differentbut also by medium filling different into a semicircular medium into slot. a Basedsemicircular on the slot. phase Based difference on the throughphase difference changing th therough refractive changing index the of refractive the medium index in of the the nano medium slits (Figurein the nano 13g,h), slits a tightly(Figure confined 13g,h), a SPPs’tightly spot confined was achieved SPPs’ spot under was linear achieved polarization under linear illumination polarization [72]. Inillumination order to enhance [72]. In the order SPPs’ to excitationenhance the efficiency, SPPs’ exci thetation spiral efficiency, slot incorporating the spiral a spiralslot incorporating triangle array a lensspiral is designedtriangle array and thelens spiral is designed triangle and structure the spiral could triangle couple thestructure azimuthal could polarization couple the component azimuthal intopolarization SPPs (Figure component 13i,j) [73 into,74]. SPPs (Figure 13i,j) [73,74].

Figure 13. (a) Schematic structure of a circle cut into a 150 nm thick film; (b) Near-field pattern Figure 13. (a) Schematic structure of a circle cut into a 150 nm thick silver film; (b) Near-field pattern 2 recorded by NSOM; (c) Symmetry broken plasmonic corral fabricated on the Au film; (d) |Ez|2 recorded by NSOM; (c) Symmetry broken plasmonic corral fabricated on the Au film; (d) |Ez| distributions under linearly polarized lasers; A (e) left- and (f) right-handed spiral plasmonic lens in distributions under linearly polarized lasers; A (e) left- and (f) right-handed spiral plasmonic lens in film; (g) Schematic geometry of the semicircular slits on the Au film filled with different medium; gold film; (g) Schematic geometry of the semicircular slits on the Au film filled with different medium; (h) A cross section of this structure; (i) A spiral triangle array structure; (j) Alternating spiral triangle (h) A cross section of this structure; (i) A spiral triangle array structure; (j) Alternating spiral triangle array and spiral slot lens. Reproduced from: (a,b), [69], Copyright © 2005, ACS; (c,d), [70], Copyright array and spiral slot lens. Reproduced from: (a,b), [69], Copyright © 2005, ACS; (c,d), [70], Copyright © 2011, ACS; (e,f), [71], Copyright © 2010, ACS; (g,h), [72], Copyright © 2014, Springer; (i,j), [73], © 2011, ACS; (e,f), [71], Copyright © 2010, ACS; (g,h), [72], Copyright © 2014, Springer; (i,j), [73], Copyright © 2012, OSA. Copyright © 2012, OSA.

Particularly, hyperbolic metamaterial with multiple metal–dielectric films provides a volume space in which photons with large transverse wavevector kx propagate inside in the form of BPPs. Thus, a plasmonic Fresnel plate [75,76] and a hypergrating [77] structure could be designed for generating nano focusing effects inside or at the edge of BPP material by appropriately engineering BPP phases (Figure 14). Recently, an alternative nanofocusing approach using hybrid plasmonic Fano resonances in particular structures is proposed to achieve the subwavelength spot and elongate the focal length of focusing lens (Figure 15) [78].

Figure 14. Nano spot achieved by focusing BPPs of hyperbolic metamaterial. (a) Metalens structure; (b) Simulation result of Metalens; (c) Plasmonic Fresnel plate structure; (d) Simulation result of plasmonic Fresnel plate. Reproduced from: (a,b), [75], Copyright © 2010, AIP; (c,d), [76], Copyright © 2010, OAS.

Micromachines 2016, 7, 118 13 of 32 be realized not only by changing the structural geometry but also by filling different medium into a semicircular slot. Based on the phase difference through changing the refractive index of the medium in the nano slits (Figure 13g,h), a tightly confined SPPs’ spot was achieved under linear polarization illumination [72]. In order to enhance the SPPs’ excitation efficiency, the spiral slot incorporating a spiral triangle array lens is designed and the spiral triangle structure could couple the azimuthal polarization component into SPPs (Figure 13i,j) [73,74].

Figure 13. (a) Schematic structure of a circle cut into a 150 nm thick silver film; (b) Near-field pattern

recorded by NSOM; (c) Symmetry broken plasmonic corral fabricated on the Au film; (d) |Ez|2 distributions under linearly polarized lasers; A (e) left- and (f) right-handed spiral plasmonic lens in gold film; (g) Schematic geometry of the semicircular slits on the Au film filled with different medium; (h) A cross section of this structure; (i) A spiral triangle array structure; (j) Alternating spiral triangle array and spiral slot lens. Reproduced from: (a,b), [69], Copyright © 2005, ACS; (c,d), [70], Copyright Micromachines© 2011, 2016ACS;, 7 ,(e,f), 118 [71], Copyright © 2010, ACS; (g,h), [72], Copyright © 2014, Springer; (i,j), [73],14 of 33 Copyright © 2012, OSA.

Particularly, hyperbolic metamaterial with multiple metal–dielectric films films provides a volume k space in which photons with large transverse wavevector kxx propagatepropagate inside inside in in the the form form of of BPPs. BPPs. Thus, a plasmonic Fresnel plate [75,76] [75,76] and a hypergrating [77] [77] structure could be designed for generating nano focusing effects in insideside or at the edge of BPP material by appropriately engineering BPP phases (Figure 14).14). Recently, Recently, an alternative alternative nanofocusing nanofocusing approach using hybrid plasmonic Fano resonances in particular structures is proposed to achieve th thee subwavelength spot and elongate the focal length of focusing lens (Figure 1515))[ [78].78].

Figure 14. Nano spot achieved by focusing BPPs of hyperbolic metamaterial. (a) Metalens structure; Figure 14. Nano spot achieved by focusing BPPs of hyperbolic metamaterial. (a) Metalens structure; (b) Simulation result of Metalens; (c) Plasmonic Fresnel plate structure; (d) Simulation result of (b) Simulation result of Metalens; (c) Plasmonic Fresnel plate structure; (d) Simulation result of plasmonic Fresnel plate. Reproduced from: (a,b), [75], Copyright © 2010, AIP; (c,d), [76], Copyright © plasmonic Fresnel plate. Reproduced from: (a,b), [75], Copyright © 2010, AIP; (c,d), [76], Copyright © 2010, OAS. Micromachines2010, OAS. 2016, 7, 118 14 of 32

Figure 15.15. Nano focusing by plasmonicplasmonic Fano resonanceresonance lens.lens. ( (aa)) Schematic structure view; ( b) transmissiontransmission spectra;spectra; ((cc)) nanonano focus focus length length with with variant variant focus focus size. size. Reproduced Reproduced from from [78 [78],], Copyright Copyright© 2016,© 2016, RSC. RSC.

4.3. LSPs Lithography with Nano Aperture 4.3. LSPs Lithography with Nano Aperture Some point-to-point scanning nano lithography methods are performed by the use of LSPs, Some point-to-point scanning nano lithography methods are performed by the use of LSPs, including bowtie [28,66], metallic small hole probe [67] and bull eye structures [27], etc. The physical including bowtie [28,66], metallic small hole probe [67] and bull eye structures [27], etc. The physical origin of nano optical spots for those methods is light resonance manipulation and confinement in origin of nano optical spots for those methods is light resonance manipulation and confinement in nano nano spaces with specially designed LSPs or SPPs resonance nanostructures. Compared with the spaces with specially designed LSPs or SPPs resonance nanostructures. Compared with the nano holes nano holes on a fiber end, one important improvement is the high efficiency of LSPs excitation. This on a fiber end, one important improvement is the high efficiency of LSPs excitation. This occurs due to occurs due to the partly opening geometrical feature of bowties and/or light concentrating into nano the partly opening geometrical feature of bowties and/or light concentrating into nano apertures with apertures with controlled surface plasmons on the boundary. Recent experimental reports show nano controlled surface plasmons on the boundary. Recent experimental reports show nano lithography lithography with critical dimensions of about 30–80 nm and even 22 nm by combining SPPs, LSPs with critical dimensions of about 30–80 nm and even 22 nm by combining SPPs, LSPs and the threshold and the threshold effect of material under femo-second laser exposure (Figure 16). effect of material under femo-second laser exposure (Figure 16).

Figure 16. (a) SEM picture of circular contact probe with bowtie aperture for plasmonic lithography; (b) AFM image of resist pattern with half pitch 22 nm; (c) SEM picture of plasmonic lens consisting of a dumbbell-shaped aperture, a set of ring couplers (two inner rings) and a ring reflector (the outer ring); (d) Experimental results of resist pattern. Reproduced from: (a,b), [66], Copyright © 2012, WILEY; (c,d), [79], Copyright © 2011, NGP.

Further, some improvements have been proposed for bowtie lithography with considerable confinement of focus and enhanced exposure depth. By combining a plasmonic cavity lens with bowtie, it is demonstrated that both resolution and focus depth could be significantly enhanced (Figure 17) [80].

Micromachines 2016, 7, 118 14 of 32

Figure 15. Nano focusing by plasmonic Fano resonance lens. (a) Schematic structure view; (b) transmission spectra; (c) nano focus length with variant focus size. Reproduced from [78], Copyright © 2016, RSC.

4.3. LSPs Lithography with Nano Aperture Some point-to-point scanning nano lithography methods are performed by the use of LSPs, including bowtie [28,66], metallic small hole probe [67] and bull eye structures [27], etc. The physical origin of nano optical spots for those methods is light resonance manipulation and confinement in nano spaces with specially designed LSPs or SPPs resonance nanostructures. Compared with the nano holes on a fiber end, one important improvement is the high efficiency of LSPs excitation. This occurs due to the partly opening geometrical feature of bowties and/or light concentrating into nano apertures with controlled surface plasmons on the boundary. Recent experimental reports show nano Micromachineslithography2016 with, 7, 118critical dimensions of about 30–80 nm and even 22 nm by combining SPPs,15 LSPs of 33 and the threshold effect of material under femo-second laser exposure (Figure 16).

Figure 16. (a) SEM picture of circular contact probe with bowtie aperture for plasmonic lithography; Figure 16. (a) SEM picture of circular contact probe with bowtie aperture for plasmonic lithography; (b) AFM image of resist pattern with half pitch 22 nm; (c) SEM picture of plasmonic lens consisting of (b) AFM image of resist pattern with half pitch 22 nm; (c) SEM picture of plasmonic lens consisting a dumbbell-shaped aperture, a set of ring couplers (two inner rings) and a ring reflector (the outer of a dumbbell-shaped aperture, a set of ring couplers (two inner rings) and a ring reflector (the outer ring);ring); (d(d)) Experimental Experimental results results of resistof resist pattern. pattern. Reproduced Reproduced from: from: (a,b), [(a,b),66], Copyright [66], Copyright© 2012, © WILEY; 2012, (c,d),WILEY; [79 ],(c,d), Copyright [79], Copyright © 2011, NGP. © 2011, NGP.

Further, some improvements have been proposed for bowtie lithography with considerable Further, some improvements have been proposed for bowtie lithography with considerable confinement of focus and enhanced exposure depth. By combining a plasmonic cavity lens with confinement of focus and enhanced exposure depth. By combining a plasmonic cavity lens with bowtie, it is demonstrated that both resolution and focus depth could be significantly enhanced bowtie, it is demonstrated that both resolution and focus depth could be significantly enhanced (Figure 17) [80]. Micromachines(Figure 17)[ 201680]., 7, 118 15 of 32

Figure 17. ((aa)) Schematic Schematic structure structure of of plasmonic lithograp lithographyhy with bowtie aperture and plasmonic cavity lenslens resistresist recordingrecording structure; structure; Simulation Simulation results results (b )(b with) with cavity cavity lens lens and and (c) without(c) without cavity cavity lens. lens.Reproduced Reproduced from from [80], Copyright[80], Copyright © 2015, © 2015, Springer. Springer.

4.4. LSP Lithography with Apertureless Tips Usually, two two issues issues associated associated with with LSPs LSPs aperture aperture structure structure deliver deliver some some inconveniences inconveniences in their in applications.their applications. Firstly, Firstly, LSPs light LSPs is lightmainly is confined mainly confined in the transversal in the transversal direction and direction emitted and light emitted from thelight apertures from the decays apertures exponentially decays exponentially and becomes andquickly becomes emanative quickly in the emanative z direction. in theThisz inevitablydirection. resultsThis inevitably in considerably results indecreased considerably focus decreaseddepth and focusshallow depth feature and profiles shallow of feature the photoresist. profiles of The the secondphotoresist. concern The is related second to concern the strong is dependence related to the of light strong spot dependence size on the nano-aperture of light spot geometrical size on the shape.nano-aperture For instance, geometrical the partly shape. opening For aperture instance, of the a bowtie partly usually opening yields aperture a non-circular of a bowtie light usually spot andyields hot a non-circularpoints around light the spot aperture and hot edges. points Apertureless around the metallic aperture ti edges.ps can Apertureless be employed metallic for optical tips fabrication in the nano dimension as well by the help of hot spot effect (Figure 18a) [81,82], thermo (Figure 18b) [83] and chemical (Figure 18c) [84] reactions around the metal tips. The hot spot usually delivers considerable enhancement of light concentrated at the tip apex. The other useful advantage of apertureless tips is the ease of fabrication through simple processes, including chemical etching. Unfortunately, spot enlargement and intensity decaying exists in this method as well.

Figure 18. (a) Apertureless tip optical nanolithography; Scanning probe nanolithography based on (b) thermo and (c) chemical reactions. Reproduced from: (a), [81], Copyright © 2002, AIP; (b), [83], Copyright © 2010, AAAS; (c), [84], Copyright © 2014, NGP.

Those LSP hot spots usually behave like dipoles and show non-symmetrical spots with different lateral sizes in two orthogonal directions, especially at positions slightly away from bowtie structures. Some modified structure for apertureless tip lithography is proposed to solve the above problems, as shown in Figure 19a,b [85]. The tip, photoresist and metallic layer form a tip–insulator–metal (TIM) structure, in which a highly confined mode of plasmonic light both in the transversal and longitude directions occurs, with normal light illumination beneath the substrate. In addition to the resolution improvement, the spot intensity inside the photoresist along the longitude direction becomes nearly uniformly distributed and results in an elongated depth of focus. Numerical simulations show that full width at half maximum (FWHM) of the spot size could reach sub-10 nm by optimizing geometrical parameters of the TIM structure. Moreover, circularly polarized light illumination in the normal direction beneath the metal layer delivers a regular spot in a circular shape (Figure 19c,d).

Micromachines 2016, 7, 118 15 of 32

Figure 17. (a) Schematic structure of plasmonic lithography with bowtie aperture and plasmonic cavity lens resist recording structure; Simulation results (b) with cavity lens and (c) without cavity lens. Reproduced from [80], Copyright © 2015, Springer.

4.4. LSP Lithography with Apertureless Tips Usually, two issues associated with LSPs aperture structure deliver some inconveniences in their applications. Firstly, LSPs light is mainly confined in the transversal direction and emitted light from the apertures decays exponentially and becomes quickly emanative in the z direction. This inevitably results in considerably decreased focus depth and shallow feature profiles of the photoresist. The Micromachines 2016, 7, 118 16 of 33 second concern is related to the strong dependence of light spot size on the nano-aperture geometrical shape. For instance, the partly opening aperture of a bowtie usually yields a non-circular light spot canand behot employed points around for optical the aperture fabrication edges. in the Apertureless nano dimension metallic as welltips bycan the be helpemployed of hot spotfor optical effect (Figurefabrication 18a) in [81 the,82 ],nano thermo dimension (Figure as18 b)well [83 by] and the chemical help of hot (Figure spot 18 effectc) [84 (Figure] reactions 18a) around [81,82], the thermo metal tips.(Figure The 18b) hot [83] spot and usually chemical delivers (Figure considerable 18c) [84] re enhancementactions around of the light metal concentrated tips. The hot at thespot tip usually apex. Thedelivers other considerable useful advantage enhancement of apertureless of light concentr tips is theated ease at ofthe fabrication tip apex. The through other simpleuseful advantage processes, includingof apertureless chemical tips etching.is the ease Unfortunately, of fabrication spotthrough enlargement simple processes, and intensity including decaying chemical exists etching. in this methodUnfortunately, as well. spot enlargement and intensity decaying exists in this method as well.

Figure 18. (a) Apertureless tip optical nanolithography; Scanning probe nanolithography based on Figure 18. (a) Apertureless tip optical nanolithography; Scanning probe nanolithography based on (b) thermo and (c) chemical reactions. Reproduced from: (a), [81], Copyright © 2002, AIP; (b), [83], (b) thermo and (c) chemical reactions. Reproduced from: (a), [81], Copyright © 2002, AIP; (b), [83], Copyright ©© 2010,2010, AAAS;AAAS; (c),(c), [[84],84], CopyrightCopyright ©© 2014,2014, NGP.NGP.

Those LSP hot spots usually behave like dipoles and show non-symmetrical spots with different Those LSP hot spots usually behave like dipoles and show non-symmetrical spots with different lateral sizes in two orthogonal directions, especially at positions slightly away from bowtie structures. lateral sizes in two orthogonal directions, especially at positions slightly away from bowtie structures. Some modified structure for apertureless tip lithography is proposed to solve the above problems, as Some modified structure for apertureless tip lithography is proposed to solve the above problems, as shown in Figure 19a,b [85]. The tip, photoresist and metallic layer form a tip–insulator–metal (TIM) shown in Figure 19a,b [85]. The tip, photoresist and metallic layer form a tip–insulator–metal (TIM) structure, in which a highly confined mode of plasmonic light both in the transversal and longitude structure, in which a highly confined mode of plasmonic light both in the transversal and longitude directions occurs, with normal light illumination beneath the substrate. In addition to the resolution directions occurs, with normal light illumination beneath the substrate. In addition to the resolution improvement, the spot intensity inside the photoresist along the longitude direction becomes nearly improvement,uniformly distributed the spot and intensity results inside in an the elongated photoresist depth along of focus. the longitude Numerical direction simulations becomes show nearly that uniformlyfull width distributed at half maximum and results (FWHM) in an elongated of the depthspot ofsize focus. could Numerical reach sub-10 simulations nm by show optimizing that full widthgeometrical at half parameters maximum of (FWHM) the TIM of structure. the spot sizeMoreover, could reachcircularly sub-10 polarized nm by optimizinglight illumination geometrical in the parametersnormal direction of the beneath TIM structure. the metal Moreover, layer delivers circularly a regular polarized spot in a light circular illumination shape (Figure in the 19c,d). normal Micromachinesdirection beneath 2016, 7, 118 the metal layer delivers a regular spot in a circular shape (Figure 19c,d). 16 of 32

Figure 19. SchematicSchematic of of nanolithography nanolithography with with ( (aa)) tip–insulator–metal tip–insulator–metal and and ( (bb)) tip–insulator tip–insulator structures; structures; Simulated electricelectric field field intensity intensity distribution distribution of (c )of tip–insulator–metal (c) tip–insulator–metal and (d) tip–insulatorand (d) tip–insulator structures. structures.Reproduced Reproduced from [85], Copyright from [85], ©Copyright 2013, Springer. © 2013, Springer.

4.5. Precise Control for Writing Head in Plasmonic Lithography It is worth describing the efforts to overcome the major technique barrier of precisely controlling the plasmonic writing head in nano scanning lithography. As the LSPs’ focus is positioned in ultra- close proximity to the photoresist, usually in several and tens of nanometers, it is critical to hold on to the writing head in the scanning process with precise height, as well as its pitch and roll angles with respect to the wafer. In the flying plasmonic lens lithography experiment, an air-bearing surface used in magnetic storage disks is employed to generate a 20–100 nm air spacer between a rotating wafer with a high speed of ~10 m/s and a plasmonic lens, which is inscribed on a flying head designed by aero dynamics (Figure 20c) [27]. In some investigations, a sheet metal spring, on which the plasmonic writing head is held, is used to maintain a nearly stable posture of head during the scanning process (Figure 20b) [66], and the writing head is physically contacted with and scanned over a wafer with a speed of 10 mm/s (Figure 20a) [86]. In a passive control manner, a precise and online measurement of air working distance is performed by introducing the ISPI grating method (Figure 20d) [87] and an evanescent wave gap distance sensor (Figure 20e) [88]. The measured signal is used to control the head position and realize the nearly fixed air gap.

Figure 20. (a) Local plasmonic lithography system with a contact probe on a metal spring; (b) Schematic view of metal spring; (c) Cross-section schematic of the plasmonic head flying above the high-speed rotating substrate; (d) Schematic diagram of the nanolithography setup with ISPI gratings; (e) Schematic of the plasmonic optical head. Reproduced from (a), [86], Copyright © 2009,

Micromachines 2016, 7, 118 16 of 32

Figure 19. Schematic of nanolithography with (a) tip–insulator–metal and (b) tip–insulator structures; Simulated electric field intensity distribution of (c) tip–insulator–metal and (d) tip–insulator Micromachines 2016, 7, 118 17 of 33 structures. Reproduced from [85], Copyright © 2013, Springer.

4.5.4.5. Precise Precise Control Control for for Writing Writing Head in Plasmonic Lithography ItIt is is worth worth describing describing the the efforts efforts to to overcome overcome th thee major major technique technique barrier barrier of of precisely precisely controlling controlling thethe plasmonic writingwriting headhead in in nano nano scanning scanning lithography. lithography. As As the the LSPs’ LSPs’ focus focus is positioned is positioned in ultra-close in ultra- closeproximity proximity to the to photoresist, the photoresist, usually usually in several in several and tens and of tens nanometers, of nanometers, it is critical it is critical to hold to onhold to theon towriting the writing head inhead the in scanning the scanning process process with precisewith precise height, height, as well as aswell its as pitch its pitch and rolland angles roll angles with withrespect respect to the to wafer. the wafer. In the In flying the flying plasmonic plasmonic lens lithographylens lithography experiment, experiment, an air-bearing an air-bearing surface surface used usedin magnetic in magnetic storage storage disks disks is employed is employed to generate to generate a 20–100 a 20–100 nm air nm spacer air spacer between between a rotating a rotating wafer waferwith a with high a speedhigh speed of ~10 of m/s ~10 andm/s and a plasmonic a plasmonic lens, lens, which which is inscribed is inscribed on aon flying a flying head head designed designed by byaero aero dynamics dynamics (Figure (Figure 20c) [20c)27]. In[27]. some In investigations,some investigations, a sheet metala sheet spring, metal on spring, which on the which plasmonic the plasmonicwriting head writing is held, head is used is held, to maintain is used a to nearly maintain stable a posture nearly ofstable head posture during theof head scanning during process the scanning(Figure 20 processb) [66], and(Figure the writing20b) [66], head and is the physically writing contactedhead is physically with and contacted scanned over with a and wafer scanned with a overspeed a ofwafer 10 mm/s with a (Figure speed 20of a)10 [ 86mm/s]. In (Figure a passive 20a) control [86]. manner,In a passive a precise control and manner, online measurementa precise and onlineof air workingmeasurement distance of air is performedworking distance by introducing is performed the ISPI by gratingintroducing method the (FigureISPI grating 20d) [method87] and (Figurean evanescent 20d) [87] wave andgap an evanescent distance sensor wave (Figure gap distance 20e) [88 sensor]. The (Figure measured 20e) signal [88]. The is used measured to control signal the ishead used position to control and the realize head the position nearly and fixed realize air gap. the nearly fixed air gap.

FigureFigure 20.20. (a()a Local) Local plasmonic plasmonic lithography lithography system system with awith contact a probecontact on aprobe metal on spring; a metal (b) Schematic spring; (viewb) Schematic of metal view spring; of (metalc) Cross-section spring; (c) schematicCross-section of the schematic plasmonic of headthe plasmonic flying above head the flying high-speed above therotating high-speed substrate; rotating (d) Schematic substrate; diagram (d) Schematic of the nanolithography diagram of the setup nano withlithography ISPI gratings; setup (e) with Schematic ISPI gratings;of the plasmonic (e) Schematic optical of head. the plasmonic Reproduced optical from (a),head. [86 ],Re Copyrightproduced© from2009, (a), OSA; [86], (b), Copyright [66], Copyright © 2009,© 2012, WILEY; (c), [27], Copyright © 2008, NPG; (d), [87], Copyright © 2014, Springer; (e), [88], Copyright © 2012, AIP.

5. Plasmonic Lens Imaging Lithography

5.1. Superlens, Hyperlens and Lens In 2000, Pendry proposed the concept of a perfect lens composed of a slab with a negative refractive index (NRI), in which all evanescent waves delivering subwavelength information could be amplified through the lens [18]. The amplification effect compensates the exponential decay feature of evanescent waves in free space and brings a perfect image with infinitely high resolution and free from any aberrations (Figure 21a). Although some NRI metamaterial based on split ring resonators, and metallic wires have been demonstrated in the frequency range [89,90], it is a great challenge to make an NRI perfect lens in optics, considering the issues of fabrication and great light absorption in metal structures. Fortunately, evanescent waves could be amplified as well by using a superlens of metal film with single negative permittivity, which occurs in the near field with quasi-static approximation and transverse magnetic polarization (Figure 21b). This behavior could be regarded as the SPPs excitation Micromachines 2016, 7, 118 17 of 32 Micromachines 2016, 7, 118 17 of 32

OSA; (b), [66], Copyright © 2012, WILEY; (c), [27], Copyright © 2008, NPG; (d), [87], Copyright © 2014, OSA; (b), [66], Copyright © 2012, WILEY; (c), [27], Copyright © 2008, NPG; (d), [87], Copyright © 2014, Springer; (e), [88], Copyright © 2012, AIP. Springer; (e), [88], Copyright © 2012, AIP.

5. Plasmonic Lens Imaging Lithography 5. Plasmonic Lens Imaging Lithography

5.1. Superlens, Hyperlens and Negative Refraction Lens 5.1. Superlens, Hyperlens and Negative Refraction Lens In 2000, Pendry proposed the concept of a perfect lens composed of a slab with a negative In 2000, Pendry proposed the concept of a perfect lens composed of a slab with a negative refractive index (NRI), in which all evanescent waves delivering subwavelength information could refractive index (NRI), in which all evanescent waves delivering subwavelength information could be amplified through the lens [18]. The amplification effect compensates the exponential decay be amplified through the lens [18]. The amplification effect compensates the exponential decay feature of evanescent waves in free space and brings a perfect image with infinitely high resolution feature of evanescent waves in free space and brings a perfect image with infinitely high resolution and free from any aberrations (Figure 21a). Although some NRI metamaterial based on split ring and free from any aberrations (Figure 21a). Although some NRI metamaterial based on split ring resonators, and metallic wires have been demonstrated in the microwave frequency range [89,90], it resonators, and metallic wires have been demonstrated in the microwave frequency range [89,90], it is a great challenge to make an NRI perfect lens in optics, considering the issues of fabrication and is a great challenge to make an NRI perfect lens in optics, considering the issues of fabrication and great light absorption in metal structures. great light absorption in metal structures. Fortunately, evanescent waves could be amplified as well by using a superlens of metal film MicromachinesFortunately,2016, 7, 118evanescent waves could be amplified as well by using a superlens of metal18 film of 33 with single negative permittivity, which occurs in the near field with quasi-static approximation and with single negative permittivity, which occurs in the near field with quasi-static approximation and transverse magnetic polarization (Figure 21b). This behavior could be regarded as the SPPs excitation transverse magnetic polarization (Figure 21b). This behavior could be regarded as the SPPs excitation over a broadband spatial spectrum, especially for th thee case of permittivity matching the surrounding over a broadband spatial spectrum, especially for the case of permittivity matching the surrounding media, as indicated by Equation (1). Accordingly, evanescent waves would be coupled to the other media, as indicated by Equation (1). Accordingly, evanescent waves would be coupled to the other side of a superlens with proper thickness. side of a superlens with proper thickness.

Figure 21. Imaging principle of (a) perfect lens and (b) superlens. Reproduced from [18]. Copyright Figure 21.21. ImagingImaging principleprinciple ofof( a(a)) perfect perfect lens lens and and ( b(b) superlens.) superlens. Reproduced Reproduced from from [18 [18].]. Copyright Copyright© © 2000, APS. 2000,© 2000, APS. APS. A hyperlens is formed by introducing hyperbolic metamaterial to realize superresolution A hyperlens is formed by introducing hyperbolic metamaterial to realize superresolution imagingA hyperlens in a magnifying is formed or by demagnifying introducing hyperbolic manner. The metamaterial commonly to used realize structure superresolution of a hyperlens imaging is imaging in a magnifying or demagnifying manner. The commonly used structure of a hyperlens is multiplein a magnifying stacked ormetallic–dielectric demagnifying manner. films in The concen commonlytric cylindrical used structure or spherical of a hyperlens form, as is shown multiple in multiple stacked metallic–dielectric films in concentric cylindrical or spherical form, as shown in Figurestacked 22a,b. metallic–dielectric The BPPs inside films the in concentricfilms help cylindricalto transfer orsubwavelength spherical form, information as shown in and Figure form 22 thea,b. Figure 22a,b. The BPPs inside the films help to transfer subwavelength information and form the relationThe BPPs of inside object theand films image help between to transfer the inner subwavelength and outer surface. information By employing and form thecomplex relation coordinate of object relation of object and image between the inner and outer surface. By employing complex coordinate transformation,and image between a planar theinner hyperlens and outer is also surface. available By with employing a flat surface complex for coordinate the input and transformation, output port transformation, a planar hyperlens is also available with a flat surface for the input and output port (Figurea planar 22c). hyperlens In spite is of also the availablefascinating with feature a flat of surface zoomed for imaging the input ability, and hyperlenses output port do (Figure not seem 22c). (Figure 22c). In spite of the fascinating feature of zoomed imaging ability, hyperlenses do not seem appropriateIn spite of the as fascinatingcandidates featurefor plasmonic of zoomed lens lithography, imaging ability, because hyperlenses of their doultra-small not seem imaging appropriate field appropriate as candidates for plasmonic lens lithography, because of their ultra-small imaging field sizeas candidates down to about for plasmonic several hundred lens lithography, nanometers, because mainly of due their to ultra-small the great loss imaging and non-uniform field size down image to size down to about several hundred nanometers, mainly due to the great loss and non-uniform image performancesabout several hundredinside metamaterial nanometers, films. mainly due to the great loss and non-uniform image performances insideperformances metamaterial inside films.metamaterial films.

Figure 22. Schematic structures of variant hyperlenses with metal-dielectric films in (a) circular cylinder; (b) spherical form; (c) complex transformed coordinates. Reproduced from: (a), [91], Copyright © 2006,

OAS; (b), [92], Copyright © 2010, NPG; (c), [93], Copyright © 2008, ACS.

Some designed structures, like photonic crystals [94], usually show negative refraction behavior without the need for negative refraction index (NRI) materials. This occurs mainly due to the negative dispersion relation for some special optical modes. SPPs and BPPs behave in this way in some cases and are employed for NRI lenses. The NRI material in the optical range was experimentally demonstrated by pairs of parallel Au nano rods [95]. Subsequently, fishnet [96,97], metal–insulator–metal waveguide [98] and arrayed nano metallic wires [99] structures were investigated, which extends the illumination wavelength range from near-IR to visible. The most used NRI lens is a stack of hyperbolic structure [39], in which BPPs with hyperbolic dispersion contours bring the left-handed response under transverse magnetic polarization (Figure 23). Micromachines 2016, 7, 118 18 of 32 Micromachines 2016, 7, 118 18 of 32

Figure 22. Schematic structures of variant hyperlenses with metal-dielectric films in (a) circular Figure 22. Schematic structures of variant hyperlenses with metal-dielectric films in (a) circular cylinder, (b) spherical form; (c) complex transformed coordinates. Reproduced from: (a), [91], cylinder, (b) spherical form; (c) complex transformed coordinates. Reproduced from: (a), [91], Copyright © 2006, OAS; (b), [92], Copyright © 2010, NPG; (c), [93], Copyright © 2008, ACS. Copyright © 2006, OAS; (b), [92], Copyright © 2010, NPG; (c), [93], Copyright © 2008, ACS. Some designed structures, like photonic crystals [94], usually show negative refraction behavior Some designed structures, like photonic crystals [94], usually show negative refraction behavior without the need for negative refraction index (NRI) materials. This occurs mainly due to the negative without the need for negative refraction index (NRI) materials. This occurs mainly due to the negative dispersion relation for some special optical modes. SPPs and BPPs behave in this way in some cases dispersion relation for some special optical modes. SPPs and BPPs behave in this way in some cases and are employed for NRI lenses. The NRI material in the optical range was experimentally and are employed for NRI lenses. The NRI material in the optical range was experimentally demonstrated by pairs of parallel Au nano rods [95]. Subsequently, fishnet [96,97], metal–insulator– demonstrated by pairs of parallel Au nano rods [95]. Subsequently, fishnet [96,97], metal–insulator– metal waveguide [98] and arrayed nano metallic wires [99] structures were investigated, which metal waveguide [98] and arrayed nano metallic wires [99] structures were investigated, which extends the illumination wavelength range from near-IR to visible. The most used NRI lens is a stack extends the illumination wavelength range from near-IR to visible. The most used NRI lens is a stack of hyperbolic structure [39], in which BPPs with hyperbolic dispersion contours bring the left-handed Micromachinesof hyperbolic2016 structure, 7, 118 [39], in which BPPs with hyperbolic dispersion contours bring the left-handed19 of 33 response under transverse magnetic polarization (Figure 23). response under transverse magnetic polarization (Figure 23).

Figure 23. Optical bulk negative refraction index lens by (a) Silver nanowire and (b) FigureFigure 23. OpticalOptical bulk bulk negative negative refraction refraction index index lens lens by by (a) ( aSilver) Silver nanowire nanowire metamaterials metamaterials and and (b) Stacked plasmonic waveguides metamaterial. Reproduced from: (a), [99], Copyright © 2008, AAAS; (Stackedb) Stacked plasmonic plasmonic waveguides waveguides metamaterial. metamaterial. Reprod Reproduceduced from: from: (a), (a), [99], [99], Copyright Copyright ©© 2008,2008, AAAS; (b), [39], Copyright © 2013, NPG. (b),(b), [[39],39], CopyrightCopyright ©© 2013,2013, NPG.NPG. 5.2. Superlens Lithography 5.2.5.2. Superlens Lithography In 2000, Pendry firstly proposed the concept of a superlens as a simplified case of a perfect lens, InIn 2000, Pendry Pendry firstly firstly proposed proposed the the concept concept of ofa superlens a superlens as a as simplified a simplified case case of a ofperfect a perfect lens, in which evanescent waves could be amplified by a single negative permittivity slab [18]. The lens,in which in which evanescent evanescent waves waves could could be amplified be amplified by a bysingle a single negative negative permittivity permittivity slab slab[18]. [The18]. amplification effect delivers sub-diffraction limited imaging, which is subsequently experimentally Theamplification amplification effect effect delivers delivers sub-diffraction sub-diffraction limited limited imaging, imaging, which which is is subsequently subsequently experimentally demonstrated with a silver film [22,100]. The representative work reported in 2005, in which 60 nm demonstrateddemonstrated with a silversilver filmfilm [[22,100].22,100]. TheThe representativerepresentative work reported inin 2005,2005, inin whichwhich 6060 nmnm half-pitch dense grating pattern was imaged in lithography resist by utilizing a 35 nm Ag film, 40 nm half-pitchhalf-pitch densedense gratinggrating patternpattern waswas imagedimaged inin lithographylithography resistresist byby utilizingutilizing aa 3535 nmnm AgAg film,film, 4040 nmnm PMMA spacer between the mask and superlens, and a 365 nm Hg lamp light [22]. Also a “NANO” PMMAPMMA spacerspacer between the mask and superlens, and a 365 nm Hg lamp light [[22].22]. Also a “NANO” characters pattern with 89 nm line width was presented to demonstrate the superlens’ ability for characterscharacters patternpattern with 8989 nmnm lineline widthwidth waswas presentedpresented toto demonstratedemonstrate thethe superlens’superlens’ abilityability forfor complex patterns (Figure 24). complexcomplex patternspatterns (Figure(Figure 2424).).

Figure 24. (a) Schematic of Ag superlens for plasmonic imaging lithography; (b) AFM image for 60nm FigureFigure 24.24. (a) Schematic ofof Ag superlens forfor plasmonicplasmonic imagingimaging lithography;lithography; ( (bb)) AFM AFM image image for for 60 60nm nm half-pitch feature through Ag superlens (scale bar, 1mm); (c) The ‘‘NANO’’ object on the Cr film; AFM half-pitchhalf-pitch featurefeature throughthrough AgAg superlenssuperlens (scale(scale bar,bar, 1 1mm); mm); (c)) The “NANO”‘‘NANO’’ objectobject onon thethe CrCr film;film; AFMAFM of the developed image on photoresist (d) with or (e) without a Ag superlens; (f) Cross section of ofof thethe developeddeveloped image image on on photoresist photoresist (d )(d with) with or (ore) without(e) without a Ag a superlens;Ag superlens; (f) Cross (f) Cross section section of letter of letter ‘‘A’’ in (d,e), Scale bar in (c–e) is 2 mm. Reproduced from [22], Copyright © 2005, AAAS. “A”letter in ‘‘A’’ (d,e), in Scale (d,e), bar Scale in (c–e)bar in is (c–e) 2 mm. is Reproduced2 mm. Reprod fromuced [22 from], Copyright [22], Copyright © 2005, © AAAS. 2005, AAAS. To improve the resolution and performance of superlens lithography, further reported To improve the resolution and performance of superlens lithography, further reported investigationsTo improve mainly the concentrated resolutionand on the performance aspects of geometrical of superlens parameters lithography, optimization, further reducing reported investigations mainly concentrated on the aspects of geometrical parameters optimization, reducing filmsinvestigations roughness mainly and damping concentrated loss inside, on the aspectsetc. Chatur of geometricalvedi et al. employed parameters nano optimization, imprinting reducing and Ge films roughness and damping loss inside, etc. Chaturvedi et al. employed nano imprinting and Ge films roughness and damping loss inside, etc. Chaturvedi et al. employed nano imprinting and Ge seeding technology to form a 6 nm spacer and a 15 nm smooth Ag superlens, and observed a 30 nm half-pitch resolution result, in spite of the extra attenuation caused by germanium (Figure 25a,c) [101]. Liu et al. performed the experimental and analytical demonstration, which showed that a smooth interface was a key factor for realizing a high-performance superlens [102]. However, damp losses become dominant in determining imaging resolution while the roughness is reduced to less than 2 nm. They also performed superlens lithography without a Ge/Ni wetting layer, and realized a 50 nm half-pitch and much higher aspect ratio with ~45 nm resist thickness (Figure 25b,d) [103]. At the same time, the enhancement of subwavelength imaging has been theoretically and experimentally investigated by inducing adequate roughness and/or loss [104–106]. Bagley et al. verified enhancement of image with subwavelength roughness interface beyond that of a smooth film lens by the method of moments and the T-matrix method [107]. Wang et al. combined surface Micromachines 2016, 7, 118 19 of 32 seeding technology to form a 6 nm spacer and a 15 nm smooth Ag superlens, and observed a 30 nm half-pitch resolution result, in spite of the extra attenuation caused by germanium (Figure 25a,c) [101]. Liu et al. performed the experimental and analytical demonstration, which showed that a smooth interface was a key factor for realizing a high-performance superlens [102]. However, damp losses become dominant in determining imaging resolution while the roughness is reduced to less than 2 nm. They also performed superlens lithography without a Ge/Ni wetting layer, and realized a 50 nm half-pitch and much higher aspect ratio with ~45 nm resist thickness (Figure 25b,d) [103]. At the same time, the enhancement of subwavelength imaging has been theoretically and experimentally investigated by inducing adequate roughness and/or loss [104–106]. Bagley et al. verified enhancement of image with subwavelength roughness interface beyond that of a smooth filmMicromachines lens by2016 the, 7method, 118 of moments and the T-matrix method [107]. Wang et al. combined surface20 of 33 sinusoidal roughness with the additional loss and achieved flatter transfer function and 86% reductionsinusoidal of roughness beam width with compared the additional to the loss lossless and achieved flat superlens flatter transfer [108]. Huang function et and al. demonstrated 86% reduction thatof beam surface width roughness compared can to damp the lossless resonance flat superlenspeaks as well [108 as]. Huangthe near-field et al. demonstrated interference by that reducing surface theroughness transverse can damppropagation resonance length peaks of asSPPs well in as a the spatial near-field domain interference [109]. In byour reducing recent work, the transverse further investigationpropagation lengthwas made of SPPs to elucidate in a spatial the paradox domain [conclusion109]. In our about recent the work, roughness further and investigation loss issue [110]. was Itmade is found to elucidate that, surface the paradox roughness conclusion mainly aboutaffects the the roughness imaging quality and loss (e.g., issue uniformity, [110]. It is deviation), found that, whilesurface the roughness loss coefficient mainly mainly affects determines the imaging the qualityimaging (e.g., resolution. uniformity, In spite deviation), of more transmission while the loss of highcoefficient spatial mainly frequency determines components the imaging with a resolution. rough surface, In spite the of interferential more transmission noise among of high dense- spatial distributedfrequency components images is becoming with a rough more surface, severe with the interferential increasing roughness. noise among With dense-distributed the coexistence of images both roughnessis becoming and more loss, severe based with on our increasing investigation, roughness. retain Withing adequate the coexistence loss is an of effective both roughness way to improve and loss, thebased performance on our investigation, of imaging, retaining which adequate would lossgreatl isy an abate effective the wayresolution to improve enhancement the performance by loss of reduction.imaging, which would greatly abate the resolution enhancement by loss reduction.

Figure 25. (a,b) areare thethe schematic schematic structures structures of of a superlens;a superlens; AFM AFM image image of resist of resist pattern pattern with with half-pitch half- pitch(c) 30 nm(c) 30 and nm (b )and 60 nm. (b) Reproduced60 nm. Reproduced from: (a,c) from: [101 ],(a,c) Copyright [101], Copyright© 2010, AIP; © (b,d)2010, [ 103AIP;], Copyright(b,d) [103],© Copyright2012, ACS. © 2012, ACS.

5.3. Plasmonic Plasmonic Reflective Reflective Lens Lithography Near-fieldNear-field lithography lithography methods methods are are usually usually constrained constrained in practical in practical applications applications due to due the to poor the qualitypoor quality of resist of resist patterns patterns characterized characterized with with sha shallowllow profiles, profiles, low low contrast contrast and and great great aberrations compared with masks. The The decaying decaying feature feature of of evan evanescentescent waves waves is is believed to be the dominating reason for this issue.issue. Arnold Arnold et et al. proposed proposed theoretically theoretically that that a a plasmonic plasmonic lens lens would enhance evanescentMicromachines waves2016, 7, 118in a reflection reflection manner and help to relieve this effect (Figure(Figure 2626))[ [111].111]. 20 of 32

Figure 26.26.( a)(a Schematic) Schematic structure structure of plasmonic of plasmonic reflective reflec lenstive lithography; lens lithography; Image intensity Image distribution intensity

(distributionb) without reflection(b) without and reflection (c) with reflection.and (c) with The reflection. longitudinal The profile longitudinal distribution profile of distributionI0 and I1 in (b,c)of I0

areand shown I1 in (b,c) in (ared,e ).shown Reproduced in (d,e). from: Reproduced [111], Copyright from: [111] ©, 2007, Copyright OAS. © 2007, OAS.

By using a plasmonic reflective lens, deep sub-diffraction imaging lithography is numerically and experimentally demonstrated by imaging nano letters with about 50 nm line width and dense lines with 32 nm half-pitch resolution (about 1/12 wavelength), as shown in Figure 27. Compared with the control experiment without a plasmonic reflective lens, resolution, contrast and depth of imaged resist patterns are remarkably improved, especially for isolated nano features [23]. In conventional lithography, reflection from the layer beneath the resist is not welcome, to avoid any standing wave effect. In SPP lithography, however, the near-field space inside the resist hampers any standing wave effect, and full manipulation of evanescent waves in the form of SPPs dominates the lithography pattern quality.

Figure 27. (a) Schematic structure of plasmonic reflective lens lithography; (b,d) Plasmonic reflective lens lithography imaging and (c,e) conventional near-field imaging lithography. Feature size of the pattern is approximately 50 nm. (f) SEM picture of 32 nm half-pitch pattern for reflective plasmonic lens lithography. Reproduced from: [23], Copyright © 2013, OAS.

5.4. Plasmonic Cavity Lens Lithography The combination of a superlens and plasmonic reflective lens would deliver further resolution enhancement of imaging lithography, as demonstrated both in simulation and experiment. The opening space, as shown in a superlens and reflective lens, results in the amplification of evanescent waves only in one side and could not compensate the waves decaying in the other direction. Thus, two unwelcome features are encountered, especially in the superlens scheme. One is the ultra- shallow exposure depth, less than 10 nm, and the other is the low contrast of images due to the great decay of evanescent waves and the Ez component’s negative contributions. The plasmonic cavity lens, regarded as a metal-cladding superlens structure, could significantly amplify evanescent waves in the entire photoresist region due to the SPPs multiple reflections inside the cavity (Figure 28a,b) [55]. Fringes with about 62 nm half-pitch (about 1/13 wavelength of incident light) can be obtained in numerical analysis with greatly improved intensity contrast (Figure 28c,d). Also, demonstrated numerically, is the fidelity improvement together with the contrast increase in

Micromachines 2016, 7, 118 20 of 32

Figure 26. (a) Schematic structure of plasmonic reflective lens lithography; Image intensity

distribution (b) without reflection and (c) with reflection. The longitudinal profile distribution of I0 Micromachines 2016, 7, 118 21 of 33 and I1 in (b,c) are shown in (d,e). Reproduced from: [111], Copyright © 2007, OAS.

ByBy usingusing a a plasmonic plasmonic reflective reflective lens, lens, deep deep sub-diffraction sub-diffraction imaging imaging lithography lithography is numerically is numerically and experimentallyand experimentally demonstrated demonstrated by imaging by imaging nano nano letters letters with aboutwith about 50 nm 50 line nm width line width and dense and dense lines withlines32 with nm 32 half-pitch nm half-pitch resolution resolution (about (about 1/12 wavelength), 1/12 wavelength), as shown as shown in Figure in Figure 27. Compared 27. Compared with thewith control the control experiment experiment without without a plasmonic a plasmonic reflective reflective lens, resolution, lens, resolution contrast, contrast and depth and of depth imaged of resistimaged patterns resist arepatterns remarkably are remarkably improved, especiallyimproved, forespecially isolated nanofor isolated features nano [23]. features In conventional [23]. In lithography,conventional reflection lithography, from reflection the layer beneathfrom the the layer resist beneath is not the welcome, resist is to not avoid welcome, any standing to avoid wave any effect.standing InSPP wave lithography, effect. In SPP however, lithography, the near-field however, space the near-field inside the sp resistace inside hampers the any resist standing hampers wave any effect,standing and wave full manipulationeffect, and full of manipulation evanescent wavesof evanescent in the form waves of in SPPs the dominatesform of SPPs the dominates lithography the patternlithography quality. pattern quality.

FigureFigure 27.27.( (aa)) SchematicSchematic structurestructure ofof plasmonicplasmonic reflectivereflective lens lens lithography; lithography; ( (bb,d,d)) Plasmonic Plasmonic reflectivereflective lenslens lithographylithography imagingimaging andand ((c,ec,e)) conventionalconventional near-fieldnear-field imagingimaging lithography.lithography. FeatureFeature sizesize ofof the the patternpattern isis approximatelyapproximately 5050 nm.nm. ((ff)) SEMSEM picturepicture of of 32 32 nm nm half-pitch half-pitch pattern pattern for for reflective reflective plasmonic plasmonic lenslens lithography. lithography. Reproduced Reproduced from: from: [ 23[23],], Copyright Copyright © © 2013, 2013, OAS. OAS.

5.4.5.4. PlasmonicPlasmonic CavityCavity LensLens LithographyLithography TheThe combinationcombination ofof aa superlenssuperlens andand plasmonicplasmonic reflectivereflective lenslens wouldwould deliverdeliver furtherfurther resolutionresolution enhancementenhancement of of imaging imaging lithography, lithography, as demonstrated as demonstrated both inboth simulation in simulation and experiment. and experiment. The opening The space,opening as shownspace, inas ashown superlens in a andsuperlens reflective and lens, reflective results lens, in the results amplification in the amplification of evanescent ofwaves evanescent only inwaves one side only and in couldone side not and compensate could not the compensate waves decaying the waves in the decaying other direction. in the Thus,other twodirection. unwelcome Thus, featurestwo unwelcome are encountered, features especially are encountered, in the superlens especially scheme. in the One superlens is the ultra-shallow scheme. One exposure is the depth, ultra- lessshallow than 10exposure nm, and depth, the other less isthan the 10 low nm, contrast and the of othe imagesr is duethe low to the contrast great decay of images of evanescent due to the waves great anddecay the ofE zevanescentcomponent’s waves negative and the contributions. Ez component’s negative contributions. TheThe plasmonicplasmonic cavitycavity lens,lens, regardedregarded asas aa metal-claddingmetal-cladding superlenssuperlens structure,structure, couldcould significantlysignificantly amplifyamplify evanescentevanescent waveswaves inin thethe entireentire photoresistphotoresist regionregion duedue toto thethe SPPsSPPs multiplemultiple reflectionsreflections insideinside thethe cavity cavity (Figure (Figure 28 28a,b)a,b) [ 55[55].]. Fringes Fringes with with about about 62 62 nm nm half-pitch half-pitch (about (about 1/13 1/13 wavelengthwavelength ofof incidentincident light)light) cancan bebe obtainedobtained inin numericalnumerical analysisanalysis withwith greatlygreatly improvedimproved intensityintensity contrastcontrast (Figure(Figure 2828c,d).c,d). Also,Also, demonstrateddemonstrated numerically,numerically, isis thethe fidelityfidelity improvementimprovement togethertogether withwith thethe contrastcontrast increaseincrease inin plasmonic cavity lens lithography (Figure 28e,f). Further, 15 nm resolution could be realized if the resist layer of the plasmonic cavity lens is reduced to 10 nm [112]. In our recent work, 32 nm and 22 nm half-pitch resist patterns were obtained in a plasmonic cavity lens lithography experiment under 365nm light wavelength [24]. The plasmonic cavity lens structure is in the form of a 20 nm thick Ag layer–30 nm thick Pr layer-50 nm thick Ag layer and the exposure depth in the Pr region is 23 nm, nearly 4 times that of the superlens (Figure 29a,b). The depth increase of the Pr recording pattern, with the help of the multiple resist layers etching process, brings a high aspect ratio of nano patterns, reaching about 2.5. The minimum resolvable half-pitch resolution, down to 22 nm, has already been experimentally demonstrated by the abovementioned plasmonic cavity lens (Figure 29c,d). Micromachines 2016, 7, 118 21 of 32 plasmonic cavity lens lithography (Figure 28e,f). Further, 15 nm resolution could be realized if the resist layer of the plasmonic cavity lens is reduced to 10 nm [112]. In our recent work, 32 nm and 22 nm half-pitch resist patterns were obtained in a plasmonic cavity lens lithography experiment under 365nm light wavelength [24]. The plasmonic cavity lens structure is in the form of a 20 nm thick Ag layer–30 nm thick Pr layer-50 nm thick Ag layer and the exposure depth in the Pr region is 23 nm, nearly 4 times that of the superlens (Figure 29a,b). The depth increase of the Pr recording pattern, with the help of the multiple resist layers etching process, brings a high aspect ratio of nano patterns, reaching about 2.5. The minimum resolvable half-pitch resolution,Micromachines down2016, 7 ,to 118 22 nm, has already been experimentally demonstrated by the abovementioned22 of 33 plasmonic cavity lens (Figure 29c,d).

FigureFigure 28. ((aa)) Schematic Schematic structure structure of of plasmonic plasmonic cavity cavity lens lens lithography; lithography; ( (bb)) H-field H-field amplitude at at the the interfaceinterface ofof thethe Ag Ag superlens superlens and and photoresist photoresist as a functionas a function of the opticalof the wavelengthoptical wavelength and the transverse and the transversewavevector wavevector through the through plasmonic the cavity plasmonic lens structure, cavity insetlens structure, is the approximately inset is the mirror-symmetric approximately mirror-symmetricdistribution of surface distribution charges; of Simulationsurface charges; results Simulation of the object results with of (cthe,d) object 62 nm with and ( (ce,d,f) 62 45 nm nm andresolution. (e,f) 45 Reproducednm resolution. from: Reproduced (a–d), [55], from: Copyright (a–d),© [55],2009, Copyright Springer; © (e,f), 2009, [112 Springer;], Copyright (e,f),© [112],2013, OSA.Copyright © 2013, OSA. Micromachines 2016, 7, 118 22 of 32

Figure 29. (a,b) are the scanning electron (SEM) pictures and cross profile of the resist Figure 29. (a,b) are the scanning (SEM) pictures and cross profile of the resist pattern for half-pitch 32 nm, respectively; (c,,d)) are for half-pitch 22 nm. Inset in (c) (c) is is Fourier Fourier spectrum spectrum distribution. Reproduced from [24], [24], Copyright © 2015, AIP.

5.5. Resolution Enhancement Method for Plasmonic Lens Lithography Further improving the resolution of the plasmonic lens is a challenge due to the finite amplification ability for the evanescent wave. In addition, the associated concern of a high-quality lithography pattern also needs to be resolved. Fortunately, resolution enhancement techniques in conventional may be referred to as one solution. In 2011, we proposed the plasmonic phase-shifting mask (PSM) method and neighboring slot being filled by Ag and PMMA to induce the π-phase shift with the same transmittance amplitude [113]. The simulation result shows the resolution of plasmonic lithography is pushed to 30 nm with 30 nm exposure resist depth by the designed plasmonic PSM structure (Figure 30).

Figure 30. (a) Schematic structure of plasmonic lithography incorporating PSM; (b,c) Principle of plasmonic PSM; Simulation results of 30 nm resolution pattern (d) with PSM and (e) without PSM. Reproduced from [113], Copyright © 2011, OSA.

On the other hand, to significantly amplify the evanescent waves by SPPs modes, the plasmonic lens is usually used in the near-field region, where evanescent waves could contribute to the subwavelength resolution imaging. This, inevitably, delivers a very short working distance to the plasmonic lens, much smaller than the light wavelength. The higher resolution plasmonic lens has,

Micromachines 2016, 7, 118 22 of 32

Figure 29. (a,b) are the scanning electron microscope (SEM) pictures and cross profile of the resist pattern for half-pitch 32 nm, respectively; (c,d) are for half-pitch 22 nm. Inset in (c) is Fourier spectrum Micromachines 2016, 7, 118 23 of 33 distribution. Reproduced from [24], Copyright © 2015, AIP.

5.5. Resolution Resolution Enhancement Method for Plasmonic Lens Lithography Further improvingimproving the the resolution resolution of the of plasmonicthe plasmonic lens is alens challenge is a challenge due to the finitedue to amplification the finite amplificationability for the ability evanescent for the wave. evanescent In addition, wave. theIn addition, associated the concern associated of a concern high-quality of a high-quality lithography lithographypattern also pattern needs to also be resolved.needs to Fortunately,be resolved. resolutionFortunately, enhancement resolution enhancement techniques in techniques conventional in conventionalphotolithography photolithography may be referred may to be as referred one solution. to as one solution. In 2011, we proposed the plasmonic phase-shiftingphase-shifting mask (PSM) method and neighboring slot being filledfilled byby AgAg and and PMMA PMMA to to induce induce the theπ-phase π-phase shift shift with with the samethe same transmittance transmittance amplitude amplitude [113]. [113].The simulation The simulation result result shows shows the resolution the resolution of plasmonic of plasmonic lithography lithography is pushed is pushed to 30 nm to 30 with nm 30 with nm 30exposure nm exposure resist depth resist bydepth the designedby the desi plasmonicgned plasmonic PSM structure PSM structure (Figure (Figure 30). 30).

Figure 30. ((aa)) Schematic Schematic structure structure of of plasmonic lithography incorporating incorporating PSM; PSM; ( (b,,cc)) Principle of plasmonic PSM; Simulation results results of of 30 30 nm nm resolution resolution pattern pattern ( (dd)) with with PSM PSM and and ( (ee)) without without PSM. PSM. Reproduced from [[113],113], Copyright © 2011, OSA.

On the other hand, to significantly significantly amplify the evanescent waves by SPPs modes, the plasmonic lens is usually used in the near-fieldnear-field region, where evanescent waves could contribute to to the subwavelength resolution resolution imaging. imaging. This, This, inevitably, inevitably, delivers delivers a a very very short working distance to the plasmonic lens,lens, muchmuch smallersmaller than than the the light light wavelength. wavelength. The The higher higher resolution resolution plasmonic plasmonic lens lens has, has, the shorter working distance it exhibits. Suffering from the short working distance feature, the plasmonic lenses in experiments with deep subwavelength resolution are usually contacted with objects and/or recording medium, like mask and photoresist in superlens lithography, etc. The abovementioned works demonstrate the scheme of intimate contact between the plasmonic lens and the photoresist, which leads to the issue of recycling, using the small foot-print in lithography application. Besides, some of those methods seem complicated and challengeable for practical fabrication. This concern imposes great obstacles and challenges for the practical applications in lithography, microscopy and optical recording, etc. A new resolution enhancement technique that improves the image contrast and achieves non-contacted plasmonic lens application is more desirable. Moreover, it is demonstrated recently that the nano air gap distance (about 20–200 nm) of the plasmonic lens could be measured and controlled precisely with the help of some novel techniques, such as the air-bearing surface [27], the interferometric spatial phase imaging system (ISPI) [87], and the nano gap servo system [88], etc., indicating the possible access to non-contacted plasmonic lens applications and the requirement and significance of elongating the plasmonic lens’ air working distance. Conventional off-axis illumination (OAI) is widely used to improve resolution and depth of focus in projecting optics lithography. The SPI method would push the illumination wave vector from Micromachines 2016, 7, 118 24 of 33 propagating wave to evanescent wave, and shows the capability of improvement of resolution and elongation of air working distance. In our work, surface plasmon illumination (SPI), which means that the off-axis illumination wavevector is pushed to the evanescent wave, is proposed to elongate the air working distance of a “near-sighted” plasmonic lens [25,114–116]. The method is based on an SPI source excited by the prism or the bulk metamaterial and a plasmonic cavity lens in the form of an MIM structure. Numerical simulations concentrate on the imaging performance of a plasmonic cavity lens and a planar hyperlens, and demonstrate the air working distance is remarkably elongated in the resolution range from 30 to 100 nm (Figure 31). On the other hand, the modulation effect of electric field components in the Pr region plays a necessary role due to the inference behavior of diffraction lights from the deep subwavelength resolution pattern. It is believed that the proposed method helps to give a potential way for non-contacted plasmonic lens applications in lithography, microscopy and opticalMicromachines storage, 2016, etc.7, 118 24 of 32

Figure 31. ((aa)) Schematic Schematic structure structure of of SPPs SPPs cavity lens imaging under off-axis illumination (OAI); (OAI); Imaging contrast of dense line pattern as a function of air working distance and feature size in the case of ( b) conventional near-field near-field imaging and (c) plasmonic cavity lens wi withth OAI (illumination light

kx,inc,inc == 1.5 1.5k0k);0 );(d ()d Schematic) Schematic structure structure of of planar planar hyperlens hyperlens imaging imaging under under OAI; OAI; ( (ee,,ff)) are are the the simulation results of the structure inin (d)(d) underunder normalnormal andand off-axisoff-axis illumination,illumination, respectively;respectively; (g) SchematicSchematic structure ofof plasmonic plasmonic cavity cavity lens imaging lens underimaging surface under plasmon surface illumination plasmon (SPI); illumination (h,i) are simulated (SPI); imaging(h,i) are simulated results of theimaging L-shape results feature of underthe L-shape normal feature and off-axis under illumination,normal and off-axis respectively. illumination, The air workingrespectively. distance The inair (e,f,h,i) working is 40distance nm. Reproduced in (e,f,h,i) is from: 40 nm. (a–c), Reproduced [25], Copyright from:© (a–c),2015, [25], NGP; Copyright (d–f), [114 ©], Copyright2015, NGP; © (d–f), 2014, [114], Springer; Copyright (g–i), [©115 2014,], Copyright Springer; © (g–i), 2015, [115], Springer. Copyright © 2015, Springer.

Further, the lithography experiments are rendered to establish the air working distance elongation effect. The air working distance is controlled in experiments by fabricating the Cr spacer around the

Figure 32. SEM picture of (a) 60 nm resolution with 120 nm air working distance under kx,inc = 1.5k0, (b) 50 nm resolution with 50 nm air working distance under kx,inc = 1.5k0, (c) 45 nm resolution with

Micromachines 2016, 7, 118 24 of 32

Figure 31. (a) Schematic structure of SPPs cavity lens imaging under off-axis illumination (OAI); Imaging contrast of dense line pattern as a function of air working distance and feature size in the Micromachinescase of (2016b) conventional, 7, 118 near-field imaging and (c) plasmonic cavity lens with OAI (illumination light25 of 33

kx,inc = 1.5k0); (d) Schematic structure of planar hyperlens imaging under OAI; (e,f) are the simulation results of the structure in (d) under normal and off-axis illumination, respectively; (g) Schematic maskstructure pattern andof plasmonic air is the separationcavity lens medium imaging between under thesurface pattern plasmon and the illumination plasmonic cavity(SPI); lens. The photoresist(h,i) are simulated recording imaging results results are of shown the L-shape in Figure feature 32, whereunder normal the resolvable and off-axis pattern illumination, contains the 60 nmrespectively. half-pitch The dense air working lines [25 distance], 50 nm in feature-size (e,f,h,i) is 40 L-shapenm. Reproduced lines, 45 from: nm half-pitch(a–c), [25], Copyright dense lines © and 32 nm2015, half-pitch NGP; (d–f), dense [114], lines. Copyright © 2014, Springer; (g–i), [115], Copyright © 2015, Springer.

Figure 32. SEM picture of (a) 60 nm resolution with 120 nm air working distance under kx,inc = 1.5k0, Figure 32. SEM picture of (a) 60 nm resolution with 120 nm air working distance under kx,inc = 1.5k0, (b) 50 nm resolution with 50 nm air working distance under kx,inc = 1.5k0, (c) 45 nm resolution with (b) 50 nm resolution with 50 nm air working distance under kx,inc = 1.5k0,(c) 45 nm resolution with 20nm air working distance under k = 1.5k ,(d) 32 nm resolution with 40 nm air working distance x,inc 0 under kx,inc = 2.5k0. Reproduced from [25], Copyright © 2015, NGP.

6. Process Control and Patterns Transfer of Functional Structures As an optical lithography method, plasmonic lithography exhibits some common shortcomings, which are same as those occurrences in conventional proximity and projecting lithography, such as near-field proximity effect, shallow aspect depth for high resolution pattern, etc. Some solutions in conventional lithography could be applied to resolve the problems proposed in plasmonic lithography, as partly demonstrated in the following investigations.

6.1. Near-Field Proximity Corrections of Plasmonic Lens Lithography Resembling that in projection optical lithography, resist patterns in plasmonic lithography usually show some proximity aberrations like broadened and shortened lines pattern, distortions of rectangular pattern shape, etc., which mainly arise from the limited resolution of the plasmonic lens and variant optical aberrations in the imaging process, as well. To relieve this concern and improve the fidelity of image patterns to some extent, some near field proximity corrections could be done in the optimization of masks in plasmonic lithography. Further numerical simulations show that optical proximity corrections of mask patterns help to improve image fidelity of two-dimensional nano patterns in plasmonic lens imaging lithography [23]. As shown in Figure 33c, the line end shortening occurs in letter “P” and “N” and the corner rounding effect in “P”, “E”, and “N”. The simply corrected mask nano “PEN” patterns and the calculated image light distribution with modified mask are presented in Figure 33b,d with improved shortening and the corner rounding effects. It is believed that further complicated and careful masks design, with adjacent grooves and lines, etc., would bring considerably improved fidelity of the imaging light pattern. Micromachines 2016, 7, 118 25 of 32

20nm air working distance under kx,inc = 1.5k0, (d) 32 nm resolution with 40 nm air working distance

under kx,inc = 2.5k0. Reproduced from [25], Copyright © 2015, NGP.

6. Process Control and Patterns Transfer of Functional Structures As an optical lithography method, plasmonic lithography exhibits some common shortcomings, which are same as those occurrences in conventional proximity and projecting lithography, such as near-field proximity effect, shallow aspect depth for high resolution pattern, etc. Some solutions in conventional lithography could be applied to resolve the problems proposed in plasmonic lithography, as partly demonstrated in the following investigations.

6.1. Near-Field Proximity Corrections of Plasmonic Lens Lithography Resembling that in projection optical lithography, resist patterns in plasmonic lithography usually show some proximity aberrations like broadened and shortened lines pattern, distortions of rectangular pattern shape, etc., which mainly arise from the limited resolution of the plasmonic lens and variant optical aberrations in the imaging process, as well. To relieve this concern and improve the fidelity of image patterns to some extent, some near field proximity corrections could be done in the optimization of masks in plasmonic lithography. Further numerical simulations show that optical proximity corrections of mask patterns help to improve image fidelity of two-dimensional nano patterns in plasmonic lens imaging lithography [23]. As shown in Figure 33c, the line end shortening occurs in letter “P” and “N” and the corner rounding effect in “P”, “E”, and “N”. The simply corrected mask nano “PEN” patterns and the calculated image light distribution with modified mask are presented in Figure 33b,d with improved shortening and the corner rounding effects. It is believed that further complicated and careful masks design, with adjacent grooves and lines, etc., would bring considerably improved fidelity of the imaging light Micromachines 2016, 7, 118 26 of 33 pattern.

Figure 33. (a)) Schematic of pattern on mask; (b) OpticalOptical proximityproximity correctedcorrected mask design; Simulation results ( (cc)) before before OPC OPC and and ( (dd)) after after OPC. OPC. Reproduced Reproduced from from [23], [23], Copyright Copyright © © 2013, 2013, OSA. OSA.

6.2. High Aspect Ratio Pattern Transfer by Multiple LayersLayers Although the resistresist patternpattern depthdepth insideinside thethe photoresist photoresist of of plasmonic plasmonic lithography lithography is is improved, improved, it itis notis not always always deep deep enough enough for further for further transferring transfer toring bottom to bottom functional functional layers, usuallylayers, withusually a thickness with a thicknessranging from ranging tens from to hundreds tens to ofhundreds nanometers. of nanometers. Similar problems Similar occurproblems in commercial occur in commercial advanced advancedlithography lithography with ultra-deep with ultra-deep resolution andresolution greatly and reduced greatly short reduced focus depth,short focus like 193depth, nm like lithography. 193 nm lithography.In this case, theIn this multiple case, the resist multiple layers resist technique layers helpstechnique to realize helps patternto realize transfer pattern to transfer deep patterns. to deep Aspatterns. one example As one example of this method, of this method, about 25 about nm resist25 nm depth resist depth is obtained is obtained in the in plasmonic the plasmonic cavity cavity lens lenslithography lithography structure structure for 32 for nm 32 half-pitch nm half-pitch dense dense lines inlines the in case the of case single of single exposure. exposure. However, However, about about80 nm 80 thick nm resist thick lines resist pattern lines pattern with a half-pitchwith a half-pitch of 32 nm of would 32 nm be would achieved be achieved by subsequently by subsequently two-step two-step ion etching (Figure 34): (1) from top resist to a hard SiO2 layer; (2) from SiO2 hard mask to ion etching (Figure 34): (1) from top resist to a hard SiO2 layer; (2) from SiO2 hard mask to another anotherresist layer resist which layer is which not sensitive is not sensitive to lithography to lithography exposure exposure [24]. It is a[24]. somewhat It is a somewhat complex operation, complex operation,butMicromachines worthy but for2016 lithographyworthy, 7, 118 for lithography resolution down resolution to 32 nm down with to a 32 low-cost nm with lithography a low-cost setup. lithography The enhanced setup.26 of 32 Theresist enhanced depth in resist the plasmonic depth in the lithography plasmonic steplithography should notstep be should undervalued, not be undervalued, as it is hard as to it transfer is hard to transfer resist patterns with several nanometers thickness by the etching process. Moreover, the resist patterns with several nanometers thickness by the etching process. Moreover, the developed developed resist pattern accompanied with extra hard protection film may be used as the template resist pattern accompanied with extra hard protection film may be used as the template for further for further nanoimprinting. On the other hand, the resist pattern could be directly transferred to the nanoimprinting. On the other hand, the resist pattern could be directly transferred to the bottom Al bottom Al film and materials below by dry etching. film and materials below by dry etching.

Figure 34. (a) Schematic diagram of the resist pattern transfer for plasmonic lithography. SEM pictures Figure 34. (a) Schematic diagram of the resist pattern transfer for plasmonic lithography. SEM pictures of half-pitch 32 nm bottom layer resist pattern (b) top view and (c) cross sectional view. Scale bar in of half-pitch 32 nm bottom layer resist pattern (b) top view and (c) cross sectional view. Scale bar in (b,c), 100 nm. Reproduced from [24], Copyright © 2015, AIP. (b,c), 100 nm. Reproduced from [24], Copyright © 2015, AIP.

6.3. Fabrications of Functional Structures via Plasmonic Lithography Due to the rapid advance of plasmonic lithography, some functional structures with a definite design have been successfully fabricated by plasmonic reflective lens lithography, accompanied by some extra etching processes. Shown in Figure 35b is an SEM picture of a fabricated Ag metalens composed of a great number of nano slots with a size of 65 nm × 150 nm and variant orientations, which help to focus light into a small region with opposite polarization relative to the incident light [117]. Also obtained in our investigations, is included a nano polarizer (Figure 35c), nearly random patterns resembling logical integrated circuits (Figure 35a,d), and a logo pattern of our institute (Figure 27d) [23], etc. These demonstrations, undoubtedly, show the great compatibility of plasmonic lithography patterns and the promising and potential applications of plasmonic lithography in a variety of nano structures like holograms, vortex phase plates, bio-sensors and solar cells, etc.

Figure 35. SEM pictures of plasmonic lithography for nanostructure employed in (a) , (b) nanofocusing optical lens based on metasurface, (c) surface plasmon polaritons couplers,

Micromachines 2016, 7, 118 26 of 32 to transfer resist patterns with several nanometers thickness by the etching process. Moreover, the developed resist pattern accompanied with extra hard protection film may be used as the template for further nanoimprinting. On the other hand, the resist pattern could be directly transferred to the bottom Al film and materials below by dry etching.

Figure 34. (a) Schematic diagram of the resist pattern transfer for plasmonic lithography. SEM pictures Micromachinesof half-pitch2016, 732, 118 nm bottom layer resist pattern (b) top view and (c) cross sectional view. Scale bar in27 of 33 (b,c), 100 nm. Reproduced from [24], Copyright © 2015, AIP.

6.3. Fabrications of Functional Stru Structuresctures via Plasmonic Lithography Due to the rapid advance of plasmonic lithography lithography,, some functional structures with a definitedefinite design have been successfully fabricated by plasmo plasmonicnic reflective reflective lens lith lithography,ography, accompanied by some extra etching processes. Shown Shown in in Figure Figure 35b35b is an SEM picture of a fabricated Ag metalens composed of a great number of nano slots with a size ofof 6565 nmnm ˆ× 150 nm and variant orientations, which help help to to focus focus light light into into a small a small region region with with opposite opposite polarization polarization relative relative to the to incident the incident light [117].light [Also117]. obtained Also obtained in our ininvestigations, our investigations, is included is included a nano polarizer a nano polarizer (Figure 35c), (Figure nearly 35c), random nearly patternsrandom patternsresembling resembling logical integrated logical integrated circuits (Figure circuits 35a,d), (Figure and 35 a,d),a logo and pattern a logo of pattern our institute of our (Figureinstitute 27d) (Figure [23], 27 etc.d) These [23], etc. demonstrations, These demonstrations, undoubtedly, undoubtedly, show the great show compatibility the great compatibility of plasmonic of plasmoniclithography lithography patterns and patterns the promising and the promising and potential and potential applications applications of plasmonic of plasmonic lithography lithography in a varietyin a variety of nano of nano structures structures like likeholograms, holograms, vortex vortex phase phase plates, plates, bio-sensors bio-sensors and andsolar solar cells, cells, etc. etc.

Figure 35.35. SEMSEM pictures pictures of of plasmonic plasmonic lithography lithography for nanostructurefor nanostructure employed employed in (a) integratedin (a) integrated circuit, (circuit,b) nanofocusing (b) nanofocusing optical lensoptical based lens on based metasurface, on metasurface, (c) surface (c) plasmonsurface plasmon polaritons polaritons couplers, couplers, (d) data recording circuit. Feature size of patterns ranges from 30 to 60 nm. Reproduced from [117], Copyright © 2015, AIP.

7. Conclusions and Outlooks In a brief summary, the sub-diffraction feature of SPPs with a short propagation wavelength enables the design of a great variety of plasmonic structures, artificial materials and the plasmonic lens, and helps to realize optical lithography far surpassing the conventional diffraction limit of resolution without contributions from some additional manipulations like non-linear optic materials, threshold effect and double exposure, etc. Thus, the three types of plasmonic states—SPPs, BPPs and LSPs—exhibit different behaviors with respect to their propagation features, spatial distributions of modes, dispersion relations and electric field components, etc., and form the basics of engineering light in subwavelength scales and designing functional structures for interference, direct writing and imaging beyond the diffraction limit. The novel concepts, like the perfect lens, superlens, hyperlens, etc., were proposed and extensively investigated both in simulations and experiments, and their potential applications in nanolithography were demonstrated as well. A great deal of achievements has been made in recent years, with the motivation for the improvement of resolving ability, fidelity, control and feasibility in plasmonic nano lithography. For early investigations, most research works are mainly focused on the demonstrations of the sub-diffraction ability of plasmonic lithography, in the form of SPPs interference structures, the superlens, LSPs structures like nano metallic particles and the bowtie, etc. Further, due to the concerns about the limited resolution, area size, low aspect ratio of plasmonic lithography patterns, fixed 1:1 Micromachines 2016, 7, 118 28 of 33 transfer factor and physical contacting manner, etc., a great variety of methods from the aspects of plasmonic lens design, fabrication and process optimization and resolution enhancement were proposed and illustrated in experiments, including coupled SPPs mode interference in MIM structures, BPPs interference with demagnification factor, the plasmonic reflective lens, the plasmonic cavity lens and structured light illumination, the smooth plasmonic lens with doping and seeding films deposition methods, optimization of resist processing and combination with threshold effect, multiple resist layers, etc. Usually, those improvements rely on methods dealing with masks with appropriate illumination, pattern optimization, and reduction of negative factors associated with plasmonic lens structures, especially for light scattering and absorption in metal films. Furthermore, manipulations of imaging space, especially in the form of metal–dielectric–metal cavity structure, help to get much higher contrast, imaging fidelity and depth. Some auxiliary control techniques are employed, and deliver non-contacting, large-area and parallel plasmonic lithography accompanied by the help of the plasmonic lens design, like the flying plasmonic lens and some nano air gap measurement methods, relieving to some extent the practical application concerns of the physical abrasions of masks, precise metrology and efficiency, etc. Benefiting from those achievements, half-pitch resolution down to 32, 22, 16 nm and even below has been achieved in investigations, and the thickness of resist patterns was extended from a few nanometers to over one hundred nanometers, and pattern size in one sample to several millimeters and even centimeters. Some functional structures, like metasurface lens and polarizers, have been successfully fabricated by the plasmonic lithography method. Generally speaking, extra efforts have to be taken for the further development of plasmonic lithography. In comparison with traditional lithography, the compatibility, qualities, controlling ability and infrastructures of plasmonic lithography have not been fully built yet. Some points should be particularly emphasized and require further systematic investigations, like a non-contacting plasmonic lens, reliable control of plasmonic lens leveling and focusing methods, convenient plasmonic lithography and following the transfer process with wide latitudes, uniformity of control of large-area patterns, etc.

Acknowledgments: This work is supported by 973 Program of China (No.2013CBA01700) and National Natural Funds (61138002 and 61575204). Author Contributions: X.L. and C.W. conceived the structure of review article. C.W. and W.Z. collected the references and wrote the paper. Z.Z., Y.W., P.G. and Y.L. contributed the valuable discussion. Conflicts of Interest: The authors declare no conflict of interest.

References

1. Semiconductor Industry Association. International Technology Roadmap for Semiconductors, 2009 edition lithography; SEMATECH: Austin, TX, USA, 2009. 2. Wagner, C.; Harned, N. Euv lithography: Lithography gets extreme. Nat. Photonics 2010, 4, 24–26. [CrossRef] 3. Yang, J.K.; Cord, B.; Duan, H.; Berggren, K.K.; Klingfus, J.; Nam, S.-W.; Kim, K.-B.; Rooks, M.J. Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography. J. Vac. Sci. Technol. B 2009, 27, 2622. [CrossRef] 4. Duan, H.; Winston, D.; Yang, J.K.; Cord, B.M.; Manfrinato, V.R.; Berggren, K.K. Sub-10-nm half-pitch electron-beam lithography by using poly (methyl methacrylate) as a negative resist. J. Vacuum Sci. Technol. B 2010, 28, C6C58–C56C62. [CrossRef] 5. Wu, M.; Aziz, A.; Witt, J.; Hickey, M.; Ali, M.; Marrows, C.; Hickey, B.; Blamire, M. Structural and functional analysis of nanopillar spin electronic devices fabricated by 3D focused ion beam lithography. 2008, 19, 485305. [CrossRef][PubMed] 6. Si, G.; Zhao, Y.; Liu, H.; Teo, S.; Zhang, M.; Huang, T.J.; Danner, A.J.; Teng, J. Annular aperture array based color filter. Appl. Phys. Lett. 2011, 99, 033105. [CrossRef] 7. Chou, S.Y.; Krauss, P.R.; Renstrom, P.J. Imprint lithography with 25-nanometer resolution. Science 1996, 272, 85. [CrossRef] Micromachines 2016, 7, 118 29 of 33

8. Chou, S.Y.; Keimel, C.; Gu, J. Ultrafast and direct imprint of nanostructures in silicon. 2002, 417, 835–837. [CrossRef][PubMed] 9. Schvartzman, M.; Wind, S.J. Robust pattern transfer of nanoimprinted features for sub-5-nm fabrication. Nano Lett. 2009, 9, 3629–3634. [CrossRef][PubMed] 10. Kreindl, G.; Glinsner, T.; Miller, R. Next-generation lithography: Making a good impression. Nat. Photonics 2010, 4, 27–28. [CrossRef] 11. Alkaisi, M.; Blaikie, R.; McNab, S.; Cheung, R.; Cumming, D. Sub-diffraction-limited patterning using evanescent near-field optical lithography. Appl. Phys. Lett. 1999, 75, 3560–3562. [CrossRef] 12. Goodberlet, J.G.; Kavak, H. Patterning sub-50 nm features with near-field embedded-amplitude masks. Appl. Phys. Lett. 2002, 81, 1315–1317. [CrossRef] 13. Ito, T.; Yamada, T.; Inao, Y.; Yamaguchi, T.; Mizutani, N.; Kuroda, R. Fabrication of half-pitch 32 nm resist patterns using near-field lithography with a-Si mask. Appl. Phys. Lett. 2006, 89, 3113. [CrossRef] 14. Jung, Y.S.; Chang, J.; Verploegen, E.; Berggren, K.K.; Ross, C. A path to ultranarrow patterns using self-assembled lithography. Nano Lett. 2010, 10, 1000–1005. [CrossRef][PubMed] 15. Mirkin, C.A.; Zhang, H.; Weinberger, D.; Hong, S. Fabrication of sub-50 nm Solid-State Nanostructures Based on Nanolithography. U.S. Patent 7,291,284, 6 November 2007. 16. Salaita, K.; Wang, Y.; Mirkin, C.A. Applications of dip-pen nanolithography. Nat. Nanotechnol. 2007, 2, 145–155. [CrossRef][PubMed] 17. Genet, C.; Ebbesen, T. Light in tiny holes. Nature 2007, 445, 39–46. [CrossRef][PubMed] 18. Pendry, J.B. Negative refraction makes a perfect lens. Phys. Rev. Lett. 2000, 85, 3966. [CrossRef][PubMed] 19. Yao, H.; Yu, G.; Yan, P.; Chen, X.Z.; Luo, X.G. Patterning sub 100 nm isolated patterns with 436 nm lithography. In Proceedings of the Micro processes and Nanotechnology Conference, Tokyo, Japan, 29–31 October 2003. 20. Luo, X.G.; Ishihara, T. Sub 100 nm lithography based on plasmon polariton resonance. In Proceedings of the Micro Processes and Nanotechnology Conference, Tokyo, Japan, 29–31 October 2003; pp. 138–139. 21. Luo, X.; Ishihara, T. Surface plasmon resonant interference nanolithography technique. Appl. Phys. Lett. 2004, 84, 4780–4782. [CrossRef] 22. Fang, N.; Lee, H.; Sun, C.; Zhang, X. Sub–diffraction-limited optical imaging with a silver superlens. Science 2005, 308, 534–537. [CrossRef][PubMed] 23. Wang, C.; Gao, P.; Zhao, Z.; Yao, N.; Wang, Y.; Liu, L.; Liu, K.; Luo, X. Deep sub-wavelength imaging lithography by a reflective plasmonic slab. Opt. Express 2013, 21, 20683–20691. [CrossRef][PubMed] 24. Gao, P.; Yao, N.; Wang, C.; Zhao, Z.; Luo, Y.; Wang, Y.; Gao, G.; Liu, K.; Zhao, C.; Luo, X. Enhancing aspect profile of half-pitch 32 nm and 22 nm lithography with plasmonic cavity lens. Appl. Phys. Lett. 2015, 106, 093110. [CrossRef] 25. Zhao, Z.; Luo, Y.; Zhang, W.; Wang, C.; Gao, P.; Wang, Y.; Pu, M.; Yao, N.; Zhao, C.; Luo, X. Going far beyond the near-field diffraction limit via plasmonic cavity lens with high spatial frequency spectrum off-axis illumination. Sci. Rep. 2015, 5, 15320. [CrossRef][PubMed] 26. Srituravanich, W.; Fang, N.; Durant, S.; Ambati, M.; Sun, C.; Zhang, X. Sub-100 nm lithography using ultrashort wavelength of surface plasmons. J. Vacuum Sci. Technol. B 2004, 22, 3475–3478. [CrossRef] 27. Srituravanich, W.; Pan, L.; Wang, Y.; Sun, C.; Bogy, D.B.; Zhang, X. Flying plasmonic lens in the near field for high-speed nanolithography. Nat. Nanotechnol. 2008, 3, 733–737. [CrossRef][PubMed] 28. Wang, L.; Uppuluri, S.M.; Jin, E.X.; Xu, X. Nanolithography using high transmission nanoscale bowtie apertures. Nano Lett. 2006, 6, 361–364. [CrossRef][PubMed] 29. Barnes, W.L.; Dereux, A.; Ebbesen, T.W. Surface plasmon subwavelength optics. Nature 2003, 424, 824–830. [CrossRef][PubMed] 30. Maier, S.A. Plasmonics: Fundamentals and Applications; Springer Science & Business Media: New York, NY, USA, 2007. 31. Sarid, D. Long-range surface-plasma waves on very thin metal films. Phys. Rev. Lett. 1981, 47, 1927. [CrossRef] 32. Stegeman, G.; Hall, D.; Burke, J. Surface-polaritonlike waves guided by thin, lossy metal films. Opt. Lett. 1983, 8, 383–385. [CrossRef][PubMed] 33. Zayats, A.V.; Smolyaninov, I.I.; Maradudin, A.A. Nano-optics of surface plasmon polaritons. Phys. Rep. 2005, 408, 131–314. [CrossRef] Micromachines 2016, 7, 118 30 of 33

34. Wang, C.; Gao, P.; Tao, X.; Zhao, Z.; Pu, M.; Chen, P.; Luo, X. Far field observation and theoretical analyses of light directional imaging in metamaterial with stacked metal-dielectric films. Appl. Phys. Lett. 2013, 103, 031911. [CrossRef] 35. Guo, Z.; Zhao, Z.; Yan, L.; Gao, P.; Wang, C.; Yao, N.; Liu, K.; Jiang, B.; Luo, X. Moiré fringes characterization of surface plasmon transmission and filtering in multi metal-dielectric films. Appl. Phys. Lett. 2014, 105, 141107. [CrossRef] 36. Xiong, Y.; Liu, Z.; Zhang, X. Projecting deep-subwavelength patterns from diffraction-limited masks using metal-dielectric multilayers. Appl. Phys. Lett. 2008, 93, 111116. [CrossRef] 37. Liang, G.; Wang, C.; Zhao, Z.; Wang, Y.; Yao, N.; Gao, P.; Luo, Y.; Gao, G.; Zhao, Q.; Luo, X. Squeezing bulk plasmon polaritons through hyperbolic metamaterials for large area deep subwavelength interference lithography. Adv. Opt. Mater. 2015, 3, 1248–1256. [CrossRef] 38. Zhu, P.; Shi, H.; Guo, L.J. Spps coupling induced interference in metal/dielectric multilayer waveguides and its application for plasmonic lithography. Opt. Express 2012, 20, 12521–12529. [CrossRef][PubMed] 39. Xu, T.; Agrawal, A.; Abashin, M.; Chau, K.J.; Lezec, H.J. All-angle negative refraction and active flat lensing of ultraviolet light. Nature 2013, 497, 470–474. [CrossRef][PubMed] 40. Wood, B.; Pendry, J.; Tsai, D. Directed subwavelength imaging using a layered metal-dielectric system. Phys. Rev. B 2006, 74, 115116. [CrossRef] 41. Wang, C.; Zhao, Y.; Gan, D.; Du, C.; Luo, X. Subwavelength imaging with anisotropic structure comprising alternately layered metal and dielectric films. Opt. Express 2008, 16, 4217–4227. [CrossRef][PubMed] 42. Shekhar, P.; Atkinson, J.; Jacob, Z. Hyperbolic metamaterials: Fundamentals and applications. Nano Converg. 2014, 1, 1–17. [CrossRef] 43. Xu, T.; Zhao, Y.; Ma, J.; Wang, C.; Cui, J.; Du, C.; Luo, X. Sub-diffraction-limited interference photolithography with metamaterials. Opt. Express 2008, 16, 13579–13584. [CrossRef][PubMed] 44. Yang, X.; Zeng, B.; Wang, C.; Luo, X. Breaking the feature sizes down to sub-22 nm by plasmonic interference lithography using dielectric-metal multilayer. Opt. Express 2009, 17, 21560–21565. [CrossRef][PubMed] 45. Burrow, G.M.; Gaylord, T.K. Multi-beam interference advances and applications: Nano-electronics, photonic crystals, metamaterials, subwavelength structures, optical trapping, and biomedical structures. Micromachines 2011, 2, 221–257. [CrossRef] 46. Luo, X.; Ishihara, T. Subwavelength photolithography based on surface-plasmon polariton resonance. Opt. Express 2004, 12, 3055–3065. [CrossRef][PubMed] 47. Shao, D.; Chen, S. Surface-plasmon-assisted nanoscale photolithography by polarized light. Appl. Phys. Lett. 2005, 86, 253107. [CrossRef] 48. Ge, W.; Wang, C.; Xue, Y.; Cao, B.; Zhang, B.; Xu, K. Tunable ultra-deep subwavelength photolithography using a surface plasmon resonant cavity. Opt. Express 2011, 19, 6714–6723. [CrossRef][PubMed] 49. Liu, Z.-W.; Wei, Q.-H.; Zhang, X. Surface plasmon interference nanolithography. Nano Lett. 2005, 5, 957–961. [CrossRef][PubMed] 50. Liu, Z.; Wang, Y.; Yao, J.; Lee, H.; Srituravanich, W.; Zhang, X. Broad band two-dimensional manipulation of surface plasmons. Nano Lett. 2008, 9, 462–466. [CrossRef][PubMed] 51. Bezus, E.; Bykov, D.; Doskolovich, L.; Kadomin, I. Diffraction gratings for generating varying-period interference patterns of surface plasmons. J. Opt. A Pure Appl. Opt. 2008, 10, 095204. [CrossRef] 52. Sreekanth, K.V.; Murukeshan, V.M. Large-area maskless surface plasmon interference for one- and two-dimensional periodic nanoscale feature patterning. J. Opt. Soc. Am. A Opt. Image Sci. Vis. 2010, 27, 95–99. [CrossRef][PubMed] 53. Guo, X.; Du, J.; Guo, Y.; Yao, J. Large-area surface-plasmon polariton interference lithography. Opt. Lett. 2006, 31, 2613–2615. [CrossRef][PubMed] 54. Mehrotra, P.; Mack, C.A.; Blaikie, R.J. A detailed study of resonance-assisted evanescent interference lithography to create high aspect ratio, super-resolved structures. Opt. Express 2013, 21, 13710–13725. [CrossRef][PubMed] 55. Xu, T.; Fang, L.; Ma, J.; Zeng, B.; Liu, Y.; Cui, J.; Wang, C.; Feng, Q.; Luo, X. Localizing surface plasmons with a metal-cladding superlens for projecting deep-subwavelength patterns. Appl. Phys. B 2009, 97, 175–179. [CrossRef] 56. Dong, J.; Liu, J.; Kang, G.; Xie, J.; Wang, Y. Pushing the resolution of photolithography down to 15 nm by surface plasmon interference. Sci. Rep. 2014, 4, 5618. [CrossRef][PubMed] Micromachines 2016, 7, 118 31 of 33

57. Chen, X.; Yang, F.; Zhang, C.; Zhou, J.; Guo, L.J. Large-area high aspect ratio plasmonic interference lithography utilizing a single high-k mode. Acs Nano 2016, 10, 4039–4045. [CrossRef][PubMed] 58. Fischer, J.; Wegener, M. Three-dimensional optical laser lithography beyond the diffraction limit. Laser Photonics Rev. 2013, 7, 22–44. [CrossRef] 59. Zhang, Y.-L.; Chen, Q.-D.; Xia, H.; Sun, H.-B. Designable 3D nanofabrication by femtosecond laser direct writing. Nano Today 2010, 5, 435–448. [CrossRef] 60. Li, Y.; Liu, F.; Xiao, L.; Cui, K.; Feng, X.; Zhang, W.; Huang, Y. Two-surface-plasmon-polariton-absorption based nanolithography. Appl. Phys. Lett. 2013, 102, 063113. [CrossRef] 61. Li, Y.; Liu, F.; Ye, Y.; Meng, W.; Cui, K.; Feng, X.; Zhang, W.; Huang, Y. Two-surface-plasmon-polariton- absorption based lithography using 400 nm femtosecond laser. Appl. Phys. Lett. 2014, 104, 081115. [CrossRef] 62. Heltzel, A.; Theppakuttai, S.; Chen, S.; Howell, J.R. Surface plasmon-based nanopatterning assisted by gold nanospheres. Nanotechnology 2007, 19, 025305. [CrossRef][PubMed] 63. Deeb, C.; Bachelot, R.; Plain, J.r.m.; Baudrion, A.-L.; Jradi, S.; Bouhelier, A.; Soppera, O.; Jain, P.K.; Huang, L.; Ecoffet, C. Quantitative analysis of localized surface plasmons based on molecular probing. Acs Nano 2010, 4, 4579–4586. [CrossRef][PubMed] 64. Srituravanich, W.; Fang, N.; Sun, C.; Luo, Q.; Zhang, X. Plasmonic nanolithography. Nano Lett. 2004, 4, 1085–1088. [CrossRef] 65. Huo, F.; Zheng, G.; Liao, X.; Giam, L.R.; Chai, J.; Chen, X.; Shim, W.; Mirkin, C.A. Beam pen lithography. Nat. Nanotechnol. 2010, 5, 637–640. [CrossRef][PubMed] 66. Seok, K.; Howon, J.; Yongwoo, K.; Jinhee, J.; Hahn, J.W. Resolution limit in plasmonic lithography for practical applications beyond 2x-nm half pitch. Adv. Mater. 2012, 24, OP337–OP344. 67. Wang, Y.; Srituravanich, W.; Sun, C.; Zhang, X. Plasmonic nearfield scanning probe with high transmission. Nano Lett. 2008, 8, 3041–3045. [CrossRef][PubMed] 68. Srisungsitthisunti, P.; Ersoy, O.K.; Xu, X. Improving near-field confinement of a bowtie aperture using surface plasmon polaritons. Appl. Phys. Lett. 2011, 98, 223106. [CrossRef] 69. Liu, Z.; Steele, J.M.; Srituravanich, W.; Pikus, Y.; Sun, C.; Zhang, X. Focusing surface plasmons with a plasmonic lens. Nano Lett. 2005, 5, 1726–1729. [CrossRef][PubMed] 70. Fang, Z.; Peng, Q.; Song, W.; Hao, F.; Wang, J.; Nordlander, P.; Zhu, X. Plasmonic focusing in symmetry broken nanocorrals. Nano Lett. 2010, 11, 893–897. [CrossRef][PubMed] 71. Chen, W.; Abeysinghe, D.C.; Nelson, R.L.; Zhan, Q. Experimental confirmation of miniature spiral plasmonic lens as a circular polarization analyzer. Nano Lett. 2010, 10, 2075–2079. [CrossRef][PubMed] 72. Li, J.; Yang, C.; Li, J.; Li, Z.; Zu, S.; Song, S.; Zhao, H.; Lin, F.; Zhu, X. Plasmonic focusing in nanostructures. Plasmonics 2014, 9, 879–886. [CrossRef] 73. Chen, W.; Nelson, R.L.; Zhan, Q. Efficient miniature circular polarization analyzer design using hybrid spiral plasmonic lens. Opt. Lett. 2012, 37, 1442–1444. [CrossRef][PubMed] 74. Yang, S.; Chen, W.; Nelson, R.L.; Zhan, Q. Miniature circular polarization analyzer with spiral plasmonic lens. Opt. Lett. 2009, 34, 3047–3049. [CrossRef][PubMed] 75. Ma, C.; Liu, Z. A super resolution metalens with phase compensation mechanism. Appl. Phys. Lett. 2010, 96, 183103. [CrossRef] 76. Ren, G.; Lai, Z.; Wang, C.; Feng, Q.; Liu, L.; Liu, K.; Luo, X. Subwavelength focusing of light in the planar anisotropic metamaterials with zone plates. Opt. Express 2010, 18, 18151–18157. [CrossRef][PubMed] 77. Thongrattanasiri, S.; Podolskiy, V.A. Hypergratings: in planar anisotropic metamaterials. Opt. Lett. 2009, 34, 890–892. [CrossRef][PubMed] 78. Song, M.; Wang, C.; Zhao, Z.; Pu, M.; Liu, L.; Zhang, W.; Yu, H.; Luo, X. Nanofocusing beyond the near-field diffraction limit via plasmonic fano resonance. Nanoscale 2016, 8, 1635–1641. [CrossRef][PubMed] 79. Pan, L.; Park, Y.; Xiong, Y.; Ulin-Avila, E.; Wang, Y.; Zeng, L.; Xiong, S.; Rho, J.; Sun, C.; Bogy, D.B. Maskless plasmonic lithography at 22 nm resolution. Sci. Rep. 2011, 1, 175. [CrossRef][PubMed] 80. Wang, Y.; Yao, N.; Zhang, W.; He, J.; Wang, C.; Wang, Y.; Zhao, Z.; Luo, X. Forming sub-32-nm high-aspect plasmonic spot via bowtie aperture combined with metal-insulator-metal scheme. Plasmonics 2015, 10, 1607–1613. [CrossRef] 81. Yin, X.; Fang, N.; Zhang, X.; Martini, I.B.; Schwartz, B.J. Near-field two-photon nanolithography using an apertureless optical probe. Appl. Phys. Lett. 2002, 81, 3663–3665. [CrossRef] Micromachines 2016, 7, 118 32 of 33

82. Hong, M.; Huang, S.; Luk’yanchuk, B.; Chong, T. Laser assisted surface nanopatterning. Sens. Actuators A Phys. 2003, 108, 69–74. [CrossRef] 83. Pires, D.; Hedrick, J.L.; De Silva, A.; Frommer, J.; Gotsmann, B.; Wolf, H.; Despont, M.; Duerig, U.; Knoll, A.W. Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science 2010, 328, 732–735. [CrossRef][PubMed] 84. Garcia, R.; Knoll, A.W.; Riedo, E. Advanced scanning probe lithography. Nat. Nanotechnol. 2014, 9, 577–587. [CrossRef][PubMed] 85. Zhou, J.; Wang, C.; Zhao, Z.; Wang, Y.; He, J.; Tao, X.; Luo, X. Design and theoretical analyses of tip–insulator–metal structure with bottom–up light illumination: Formations of elongated symmetrical plasmonic hot spot at sub-10 nm resolution. Plasmonics 2013, 8, 1073–1078. [CrossRef] 86. Kim, Y.; Kim, S.; Jung, H.; Lee, E.; Hahn, J.W. Plasmonic nano lithography with a high scan speed contact probe. Opt. Express 2009, 17, 19476–19485. [CrossRef][PubMed] 87. Wen, X.; Traverso, L.M.; Srisungsitthisunti, P.; Xu, X.; Moon, E.E. Optical nanolithography with λ/15 resolution using bowtie aperture array. Appl. Phys. A 2014, 117, 307–311. [CrossRef] 88. Kim, T.; Lee, W.-S.; Joe, H.-E.; Lim, G.; Choi, G.-J.; Gang, M.-G.; Kang, S.-M.; Park, K.-S.; Min, B.-K.; Park, Y.-P. High-speed plasmonic nanolithography with a solid immersion lens-based plasmonic optical head. Appl. Phys. Lett. 2012, 101, 161109. [CrossRef] 89. Smith, D.R.; Padilla, W.J.; Vier, D.; Nemat-Nasser, S.C.; Schultz, S. Composite medium with simultaneously negative permeability and permittivity. Phys. Rev. Lett. 2000, 84, 4184. [CrossRef][PubMed] 90. Shelby, R.A.; Smith, D.R.; Schultz, S. Experimental verification of a negative index of refraction. Science 2001, 292, 77–79. [CrossRef][PubMed] 91. Jacob, Z.; Alekseyev, L.V.; Narimanov, E. Optical hyperlens: Far-field imaging beyond the diffraction limit. Opt. Express 2006, 14, 8247–8256. [CrossRef][PubMed] 92. Rho, J.; Ye, Z.; Xiong, Y.; Yin, X.; Liu, Z.; Choi, H.; Bartal, G.; Zhang, X. Spherical hyperlens for two-dimensional sub-diffractional imaging at visible frequencies. Nat. Commun. 2010, 1, 143. [CrossRef] [PubMed] 93. Han, S.; Xiong, Y.; Genov, D.; Liu, Z.; Bartal, G.; Zhang, X. Ray optics at a deep-subwavelength scale: A approach. Nano Lett. 2008, 8, 4243–4247. [CrossRef][PubMed] 94. Luo, C.; Johnson, S.G.; Joannopoulos, J.; Pendry, J. All-angle negative refraction without negative effective index. Phys. Rev. B 2002, 65, 201104. [CrossRef] 95. Shalaev, V.M.; Cai, W.; Chettiar, U.K.; Yuan, H.-K.; Sarychev, A.K.; Drachev, V.P.; Kildishev, A.V. Negative index of refraction in optical metamaterials. Opt. Lett. 2005, 30, 3356–3358. [CrossRef][PubMed] 96. Dolling, G.; Enkrich, C.; Wegener, M.; Soukoulis, C.M.; Linden, S. Low-loss negative-index metamaterial at telecommunication . Opt. Lett. 2006, 31, 1800–1802. [PubMed] 97. Valentine, J.; Zhang, S.; Zentgraf, T.; Ulin-Avila, E.; Genov, D.A.; Bartal, G.; Zhang, X. Three-dimensional optical metamaterial with a negative refractive index. Nature 2008, 455, 376–379. [CrossRef][PubMed] 98. Lezec, H.J.; Dionne, J.A.; Atwater, H.A. Negative refraction at visible frequencies. Science 2007, 316, 430–432. [CrossRef][PubMed] 99. Yao, J.; Liu, Z.; Liu, Y.; Wang, Y.; Sun, C.; Bartal, G.; Stacy, A.M.; Zhang, X. Optical negative refraction in bulk metamaterials of nanowires. Science 2008, 321, 930–930. [CrossRef][PubMed] 100. Melville, D.; Blaikie, R. Super-resolution imaging through a planar silver layer. Opt. Express 2005, 13, 2127–2134. [CrossRef][PubMed] 101. Chaturvedi, P.; Wu, W.; Logeeswaran, V.; Yu, Z.; Islam, M.S.; Wang, S.; Williams, R.S.; Fang, N.X. A smooth optical superlens. Appl. Phys. Lett. 2010, 96, 043102. [CrossRef] 102. Liu, H.; Wang, B.; Ke, L.; Deng, J.; Choy, C.C.; Zhang, M.S.; Shen, L.; Maier, S.A.; Teng, J.H. High contrast superlens lithography engineered by loss reduction. Adv. Funct. Mater. 2012, 22, 3777–3783. [CrossRef] 103. Liu, H.; Wang, B.; Ke, L.; Deng, J.; Chum, C.C.; Teo, S.L.; Shen, L.; Maier, S.A.; Teng, J. High aspect sub-diffraction-limit photolithography via a silver superlens. Nano Lett. 2012, 12, 1549–1554. [CrossRef] [PubMed] 104. Chen, W.; Chen, K.; Thoreson, M.D.; Kildishev, A.; Shalaev, V.M. Ultrathin, ultrasmooth, and low-loss silver films via wetting and annealing. Appl. Phys. Lett. 2010, 97, 211107. [CrossRef] Micromachines 2016, 7, 118 33 of 33

105. Logeeswaran, V.; Kobayashi, N.P.; Islam, M.S.; Wu, W.; Chaturvedi, P.; Fang, N.X.; Wang, S.Y.; Williams, R.S. Ultrasmooth silver thin films deposited with a germanium nucleation layer. Nano Lett. 2008, 9, 178–182. [CrossRef][PubMed] 106. Lee, K.; Park, H.; Kim, J.; Kang, G.; Kim, K. Improved image quality of a ag slab near-field superlens with intrinsic loss of absorption. Opt. Express 2008, 16, 1711–1718. [CrossRef][PubMed] 107. Bagley, J.Q.; Tsang, L.; Ding, K.H.; Ishimaru, A. Optical transmission through a plasmon film lens with small roughness: Enhanced spatial resolution of images of single source and multiple sources. JOSA B 2011, 28, 1766–1777. [CrossRef] 108. Wang, H.; Bagley, J.Q.; Tsang, L.; Huang, S.; Ding, K.-H.; Ishimaru, A. Image enhancement for flat and rough film plasmon by adding loss. JOSA B 2011, 28, 2499–2509. [CrossRef] 109. Huang, S.; Wang, H.; Ding, K.-H.; Tsang, L. Subwavelength imaging enhancement through a three-dimensional plasmon superlens with rough surface. Opt. Lett. 2012, 37, 1295–1297. [CrossRef] [PubMed] 110. Guo, Z.; Huang, Q.; Wang, C.; Gao, P.; Zhang, W.; Zhao, Z.; Yan, L.; Luo, X. Negative and positive impact of roughness and loss on subwavelength imaging for superlens structures. Plasmonics 2014, 9, 103–110. [CrossRef] 111. Arnold, M.D.; Blaikie, R.J. Subwavelength optical imaging of evanescent fields using reflections from plasmonic slabs. Opt. Express 2007, 15, 11542–11552. [CrossRef][PubMed] 112. Xu, F.; Chen, G.; Wang, C.; Cao, B.; Lou, Y. Superlens imaging with a surface plasmon polariton cavity in imaging space. Opt. Lett. 2013, 38, 3819–3822. [CrossRef][PubMed] 113. Yao, N.; Lai, Z.; Fang, L.; Wang, C.; Feng, Q.; Zhao, Z.; Luo, X. Improving resolution of superlens lithography by phase-shifting mask. Opt. Express 2011, 19, 15982–15989. [CrossRef][PubMed] 114. Zhang, W.; Yao, N.; Wang, C.; Zhao, Z.; Wang, Y.; Gao, P.; Luo, X. Off axis illumination planar hyperlens for non-contacted deep subwavelength demagnifying lithography. Plasmonics 2014, 9, 1333–1339. [CrossRef] 115. Zhang, W.; Wang, H.; Wang, C.; Yao, N.; Zhao, Z.; Wang, Y.; Gao, P.; Luo, Y.; Du, W.; Jiang, B. Elongating the air working distance of near-field plasmonic lens by surface plasmon illumination. Plasmonics 2015, 10, 51–56. [CrossRef] 116. Huang, Q.; Wang, C.; Yao, N.; Zhao, Z.; Wang, Y.; Gao, P.; Luo, Y.; Zhang, W.; Wang, H.; Luo, X. Improving imaging contrast of non-contacted plasmonic lens by off-axis illumination with high . Plasmonics 2014, 9, 699–706. [CrossRef] 117. Luo, J.; Zeng, B.; Wang, C.; Gao, P.; Liu, K.; Pu, M.; Jin, J.; Zhao, Z.; Li, X.; Yu, H. Fabrication of anisotropically arrayed nano-slots metasurfaces using reflective plasmonic lithography. Nanoscale 2015, 7, 18805–18812. [CrossRef][PubMed]

© 2016 by the authors; licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC-BY) license (http://creativecommons.org/licenses/by/4.0/).