<<

Ellipsometry for cSiGe Metrology

Saiqa Farhat, Srinivasan Rangarajan, Timothy J. Dawei Hu, Ming Dai Mcardle, Michael Steigerwalt Films Metrology Division 300mm East Fishkill KLA Tencor Corp. IBM Corp San Jose, CA Hopewell Jn, NY, USA

Abstract— In this paper we report the effectiveness of sensitive to the and thickness of the films in optical ellipsometry in measuring thickness and Germanium % the stack. Next, the elliptically polarized will pass through of channel SiGe on SOI substrate used in advanced node high the analyzer and become linear polarized light again. Finally, performance devices. the detector will receive the linear polarized light signal. The value tanΨ and cosΔ are extracted as a function of . Keywords—cSiGe, Ellipsometry, Thickness, Ge These are called the measured spectra. Concentration, metrology.

I. INTRODUCTION Optical metrology of film thickness is the “work-horse” technique in semiconductor fabrication for control of a wide variety of processes. The tools and their technology are well established, providing low cost of ownership (COO) to manufacturers by giving fast and reliable feedback to their processes. In this study we demonstrate the successful implementation of optical metrology for cSiGe process control replacing a X-ray diffraction technique. The performance of SiGe channel in devices is dependent on film thickness and %Ge. X-ray diffraction (XRD) technique measures the change Figure 1: SE measurement schematic in lattice spacing of the strained silicon which is well correlated with %Ge in the film [1]. The technique is slow and new, posing challenges in manufacturing. Optical metrology on the other hand is a model based technique relying on the ability to B. Test Material model the refractive index variation of the film caused by Epitaxial growth of SiGe in the channel is dependent on varying amounts of Ge. process parameters. The Ge and Silane flow rates determine the stoichiometry while the temperature and deposition times II. METROLOGY TOOL AND MODEL determine the thickness of the film. A set of wafers with predictable variations of the film thickness and composition A. Ellipsometer centered around the target process specification was generated Broadband Spectroscopic Ellipsometry (BBSE) technology is using these process variables Table 1. widely used in thickness measurement; in this study cSiGe thickness and %Ge is measured by this technology. A high performance inline films metrology tool operating in the wavelength range 235nm~800nm that meets the tight process tolerances required for thickness, refractive index and stress measurements in modern semiconductor fabs is used in this study. An ellipsometer is designed to measure intensity ratio and Ψ phase shift (tan and cosΔ) between S polarized light and P * Thickness is for calibration with XRD polarized light. The basic optical path is shown in Figure 1. Broadband spectroscopic unpolarized light (235nm ~800nm) Table 1. Samples with designed variations for modeling is generated in the light source; linear polarized light can be and calibration. Thickness and Ge% are normalized to target. obtained after passing through the and the light interacts with the layer stack on the wafer; after that, the linear C. Modeling and recipe light will become elliptically polarized light carring intensity The metrology method used in this study is model based ratio and phase shift (tanΨ and cosΔ) information which are spectroscopic ellipsometry (SE). The tanΨ and cosΔ spectra

978-1-4799-3944-2/14/$31.00 ©2014 IEEE 42 ASMC 2014 are measured with the SE tool over a wavelength range from 250nm to 800nm using a broadband light source. A model spectra derived using predefined thickness and optical dispersions (T, n, k) for each of the distinct materials in the measured region is compared to the measured spectrum. A regression algorithm arrives at the solution for thickness and refractive index of the film layers using chi squared fitting across the model and measured spectrum. The film layers modeled for the particular application of measuring channel SiGe on SOI substrate is shown in Figure 2. a)

Figure 2. Film stack modeled in the recipe b) All the modeling and analysis of spectra is performed using Figure 3. a) Spectra from samples with same thickness an off-line analysis software. The analysis of the spectra from (normalized 1.0) and varying Ge% b) Spectra from samples designed samples shows sensitivity to changes in thickness and with same Ge% (normalized 0.98) and varying thickness. % Ge. Figure 3. Optical response to thickness variation is more apparent than that to Ge% change because of the low nominal Ge dose and small variations being studied, thus making the metrology very challenging. The variation in Germanium content in silicon is observed as variation in the optical dispersion of the film (n,k) Figure 4. In order to report the Ge% a lookup table is established based on the correlation between the optical dispersion and Germanium content[2]. It is challenging to de-convolve the effects of thickness of cSiGe and superficial silicon and the Germanium content in the measured spectrum. A multi-pass regression is implemented that allows feeding forward the sub-layer thicknesses between passes to simplify the measurement of the layer of interest. For accurate reporting of Ge% the dispersions are correlated to reference XRD results. XRD is a more direct technique that measures the lattice spacing changes in Si due to substitution by Ge. But for a viable measurement the technique requires a much thicker film compared to the typical cSiGe film. This required parallel generation of thicker films in the set of designed samples spanning the Ge range for this calibration.

Figure 4. N and K dispersion curves for different Ge% in Table 1.

43 ASMC 2014 III. RESULTS demonstrate that the measurement will be sensitive to process variations and correlates with physical process variables as A. Measurement Precision observed in Fig. 6 and 7. This is essential for establishing The measurement was evaluated on a fleet of 5 tools for process controls. long term repeatability and matching. The data was collected a by measuring the same patterned wafer on 21 sites of size 60um x 70um. The long term repeatability results had to be corrected for trending due to oxidation of the wafer by applying a linear correction factor. From Fig. 5 and table 2 it is clearly established that the tool has good repeatability and matching performance.

Table 2. 3Sigma stability and offset on 3 tools as a percentage of the normalized value of the parameter. b

a

Figure 6. Correlation of optical measurements to process

conditions a) Ge Flow Rate b) Deposition Time b

Figure 5a & b. Wafer normalized thickness and Ge% over multiple days as measured on a fleet of 3 tools.

Figure 7. Correlation of %Ge measured optically to XRD measurement on equivalent samples. B. Measurement Sensitivity The set of wafers for recipe development were designed to encompass the variations that might occur in a channel SiGe cSiGe channel thickness is found to be the most important deposition process. We can show results from these wafers to process parameter affecting threshold voltage Vth [3]. Figure 8

44 ASMC 2014 shows Vth decreasing with increasing cSiGe thickness as Figure 9. Optical Ge% correlates with Ieff due to the measured on wafers with designed variation. Fig. 9 shows effect of modification. excellent correlation of Ieff with Ge% measured optically. With increasing Ge% (lower band gap) the device is able to support CONCLUSION a higher drive current. The optical response to changes in thickness and Ge% of thin SiGe films on SOI though subtle can be well characterized. Careful setup of regression in the recipe enables repeatable and sensitive measurement suitable for process control. This metrology can enable the control of significant device parameters like Vth and Ieff that are impacted by cSiGe thickness and Ge concentration.

REFERENCES

[1] Judson R. Holt, Anita Madan, Eric C. T. Harley, Matt W. Stoker, Teresa Pinto, Dominic J. Schepis, Thomas N. Adam, Conal E. Murray, Stephen W. Bedell and Martin Holt. Observation of semiconductor device channel strain using in-line high resolution X-ray diffraction. J. Appl. Phys. 114, 154502 (2013) [2] O. Fursenko, J. Bauer, P. Zaumseil, Y. Yamamoto, B. Tillack. Figure 8. Optical cSiGe thickness correlates with pFET concentration control of SiGe layers by spectroscopic ellipsometry, Thin Solid Films 517 (2008) 259-261. threshold voltage providing a tool to control and optimize [3] C. Ortolland, D. Jaeger, T.J. Mcardle, C. Dewan, R.R. Robison1, K. device performance. Zhao, J. Cai2, P. Chang, Y. Liu, V. Varadarajan,G. Wang, A.I. Chou, D.P. Ioannou, P. Oldiges, P. Agnello, S. Narasimha, V. Narayanan & G. Freeman 2nd Generation Dual-Channel Optimization with cSiGe for 22nm HP Technology and Beyond. IEDM Dec., 2013

45 ASMC 2014