Workshop Proposal

Organizer(s)

Name: FERRARI Philippe Name: GAQUIERE Christophe Affiliation: RFIC-Lab University Grenoble Affiliation: IEMN, University of Lille, France Alpes, France Email: [email protected] Email: [email protected] lille1.fr

Workshop Title: Recent Advances in SiGe BiCMOS: Technologies, Modelling and Circuits for 5G, radar and imaging

Topic: mm-wave integrated circuits

Workshop Abstract (the abstract should be between a quarter and half a page long in font size 10, single column, about 1500 to 3000 characters with spaces): In this workshop, the recent advances in SiGe BiCMOS technology for mm-wave applications will be illustrated by many examples of technology development, designs, from components to circuits and systems. The SiGe BiCMOS most advanced technologies in Europe will first be presented, from STMicroelectronics, Infineon and IHP. In particular, the last advances concerning 푓푚푎푥/푓푡 frequencies will be highlighted. SiGe technology will be compared to standard advanced CMOS technologies to highlight the advantages/drawbacks of each technology family. Next, specific characterization techniques for frequencies in mm-wave range will be presented, from small- (linear) to large signal (nonlinear) conditions. Some examples of components compact modelling will be illustrated. High-frequency and low-frequency noise issues, along with ageing issues, will also be addressed. Then, circuit and system level presentations will highlight design techniques in SiGe BiCMOS technologies. Circuits and systems addressing many different frequencies will be presented, for 5G applications (28 GHz and 60 GHz), back-hauling and automotive radars in E-band, and future applications like imaging above 100 GHz, with several designs at 120-140 GHz and 300 GHz, respectively.

In particular, beam-forming applications, which is a hot topic today for future point to point communications systems, will be developed. As for the technologies, circuit- and system-level comparison will also be carried out between SiGe BiCMOS and standard CMOS (including FDSOI), to highlight pro & cons of each technology.

Important Information - Accepted workshops will be scheduled to one of the workshops days of the EuMW 2019 week. The assignment of the workshops in a given day will be conducted to satisfy the planning constraints. Hence, organizers are expected to inform their speakers accordingly. - Workshop fee waivers will be granted to the workshop organizers (two maximum) and workshop speakers (one per presentation) upon reception of the presentation slides (1 color slide per A4 page in pdf format) before the deadline 5th July 2019. The fee waivers are not guaranteed once the deadline has passed. - Please note that, in this case, the fee waiver applies ONLY to the specific workshop and NOT to other events taking place during the week, therefore: Workshop speakers and workshop organizers must register and pay the fees for the other events they wish to attend (EuMC, EuMIC, EuRAD, conferences, WS, SC, …).

I have read and understood the above important information and transmitted this information to all the Speakers who also understood: Yes

Complete the rest of the form only if your answer to the above question is Yes.

2

Speakers 1. Speaker's Name: Pascal CHEVALLIER Confirmed: yes Affiliation: STMicroelectronics

Presentation Title: Towards 600 to 700 GHz fMAX SiGe BiCMOS platforms in Europe Speaker’s Email: [email protected] Abstract: Most advanced BiCMOS technologies exhibit +500 GHz fMAX SiGe HBTs in 0.13-µm CMOS and ~400 GHz in 90- nm and 55-nm CMOS, while a 720 GHz fMAX HBT, not compatible with CMOS, was demonstrated. This talk will present the process developments work done in Europe by IHP, Infineon Technologies and STMicroelectronics in the frame of TARANTO European project, with the objective to maintain Europe at the forefront of BiCMOS by developing nanoscale platforms targeting 600 GHz fMAX SiGe HBTs and to evaluate the feasibility of integrating a 700 GHz fMAX HBT in CMOS. This encompasses research activities on advanced transistor architectures, related process steps, and bipolar / CMOS integration schemes. The investigated CMOS nodes go from 0.13-µm bulk to 28-nm FD-SOI. 600-GHz fMAX 90-nm and 55-nm BiCMOS platforms from Infineon Technologies and STMicroelectronics, respectively, are available for circuits design. In addition feasibility of advanced CMOS / BiCMOS heterogeneous integration will be discussed.

2. Speaker's Name: Ned CAHOON Confirmed: yes Affiliation: Global Foundry, Germany Presentation Title: Silicon Technologies for mm-Wave Applications Speaker’s Email: [email protected] Abstract: The mm-Wave era is upon us and opens up many opportunities for differentiated silicon Integrated Circuit technologies including SiGe BiCMOS, Partially-Depleted (PD) SOI and Fully-Depleted (FD) SOI. In addition to the requisite high transistor ft/fmax needed for operation at mm-Wave frequencies, each of these technologies has unique attributes and strengths that have been optimized for specific application requirements and can be leveraged to provide a solution advantage. In this talk, we will discuss the application requirements and technology trade-offs for several mm-Wave applications of interest, including 5G, mm-Wave imaging, broadband satellite communications and ADAS radar.

3

3. Speaker's Name: Sébastien FREGONESE Confirmed: yes Affiliation: University of Bordeaux Presentation Title: On-wafer small signal characterization beyond 100GHz for compact model assessment Speaker’s Email: [email protected] Abstract: The presentation about “On-wafer small signal characterization beyond 100GHz for compact model assessment” will give an overview about different issues that may arise when facing high frequency S-parameter measurements, such as:  Test structures design for on-wafer TRL, design of de-embedding structures  Benchmark of off-wafer SOLT vs on-wafer TRL  Investigation of GSG-probe design  Analysis of coupling with neighbor structures  Band continuity investigation  Application to HBT measurements up to 500 GHz The talk will conclude with a comparison of measurements to the HiCuM compact model with emphasis on NQS effects.

4. Speaker's Name: Christophe GAQUIERE Confirmed: yes Affiliation: University of Lille Presentation Title: Noise and power characterization in mm-wave and sub mm-wave frequencies Speaker’s Email: [email protected] Abstract:

5. Speaker's Name: Sorin P. VOINIGESCU Confirmed: yes Affiliation: University of Toronto Presentation Title: Design Techniques and Technologies for Next Generation Fiberoptics Systems and High Temperature (> 10 K) Quantum Processors. Speaker’s Email: [email protected]

4

Abstract: The next generation of 128 Gbaud fiberoptic circuits, instrumentation and high-temperature quantum computing processors will require transistor technologies with adequate power gain beyond 300 GHz. In this presentation I will compare SiGe BiCMOS, 22nm FDSOI CMOS and InP HBT technology performance and 100+GS/s DACs and ADCs in these technologies. Finally, I will discuss the need for a SiGe BICMOS technology with 700+ GHz fMAX SiGe HBTs and the most aggressively scaled (< 12nm) FDSOI MOSFETs.

6. Speaker's Name: Cristell MANEUX Confirmed: yes Affiliation: University of Bordeaux Presentation Title: Advances in Aging Compact Model for Hot Carrier Degradation in SiGe HBTs under Dynamic Operating conditions for reliability-aware circuit design Speaker’s Email: [email protected] Abstract: Aggressive miniaturization of SiGe heterojunction bipolar transistors (HBTs) has enhanced the frequency performance of the transistors while sacrificing on the operating current density and breakdown voltages. This has posed as a major concern in terms of long-term reliability since modern transistors are required to operate closer and even beyond their safe-operating areas. One of these reliability issues is hot-carrier degradation that predominantly limits the lifetimes of modern SiGe HBTs, the underlying mechanism of which is trap generation at the emitter-base spacer oxide interface through Si-H bond-breaking followed by non-ideal base current degradation. Generation of interface traps can be correctly described by reaction–diffusion (R-D) model for long-term aging. Besides, the analytic form of R-D model is quite suitable for circuit design while preserving the physical basis of degradation. In compact model implementation, an approximate solution of the R-D rate equation is commonly used in which the time-dependence of the degradation is governed by a power law (~tn). Though it offers simplicity, this power law does neither account for the saturation of the degradation characteristics after long-term aging (when the trap density approaches the total number of available dangling bonds), nor for the initial phase when the generation process dominates (~t). To circumvent specific limitations of these models, the presentation will discuss a complete analytical solution of the R-D model, which captures all phases of degradation in one single analytic form, thus enabling physics- based compact modelling owing to its design-friendly implementation. This compact model also takes into account for the dynamic stress condition, i.e., the invariance in time is ensured for the degradation under variable stress conditions. The model implementation is proposed to account for dynamic stress conditions, while featuring the same R-D model framework. The presentation will : - illustrate the model formulation and implementation; - describe the three European SiGe HBT technologies (Infineon, STMicroelectronics, IHP) and their respective stress bias conditions followed by aging test results and model validation; - discuss the evolution of extracted model parameters with bias.

5

7. Speaker's Name: Fabien PASCAL Confirmed: yes Affiliation: University of Montpellier Presentation Title: Low Frequency Noise modelling of BiCMOS SiGe HBTs Speaker’s Email: [email protected] Abstract: In addition to the well-known High Frequency noise parameters such as the Noise Factor, the low- frequency noise (LFN) is a critical design constraint. The LFN is upconverted to the phase noise causing spectral broadening which have a direct impact on the voltage controlled oscillators (VCOs), mixers and amplifiers. Hence, LFN places a fundamental limit on the spectral purity of RF and systems. Moreover, due to its sensibility to defects, traps or generation-recombination centers, LFN is used as a tool to investigate defects in advanced electronic materials and devices. As a consequence, it can be used to probe some technological steps during the developments process. For all these reasons, it is necessary to provide a compact model for the LF excess noise sources (1/f noise component and in a lesser extend generation-recombination noise component) which will be useful for the circuit designers and to perform numerous measures to give noise sources statistics to the technologists. This presentation will describe the technique used to measure the low frequency noise for both the input and the output of the bipolar transistor. The Base and the Collector current noise characteristics will be investigated along with their compact model in SiGe:C HBTs issued from 0.13 µm and 55 nm BiCMOS technologies. In particular, the modeling of the 1/f noise level versus the base and the collector bias currents (IB, IC) and versus the geometrical parameters will be presented. And finally, some results concerning the effect of X-ray irradiation and electrical stress will be discussed.

8. Speaker's Name: Amin ARBABIAN / Mahmoud SAWABY Confirmed: yes Affiliation: University of Stanford Presentation Title: Speaker’s Email: [email protected] / [email protected] Abstract:

9. Speaker's Name: Björn DEBAILLIE Confirmed: yes Affiliation: IMEC Contributors: IMEC, FAU, KIT, IHP, Infineon and

6

Presentation Title: Can the RF radio foster the 140GHz spectrum? Speaker’s Email: [email protected] Abstract: Our connected society increasingly relies on broadband connectivity, always and everywhere. The mobile data consumption is expected to increase the upcoming years at an annual rate of more than 50% because mobile services and applications are increasingly content intensive. Current mobile (3G/4G) and Wi-Fi (802.11 a/b/g/n) technologies are very popular, but their frequency bands of operation are increasingly overcrowded and congested. To sustain broadband connectivity evolution, mm-wave frequency bands should be used in addition to the typical radio frequency bands. The “beyond 5G” radio spectrum around 140 GHz is particularly interesting because the available bandwidths of several tens of GHz offers ultra-high of 50 Gbps and more. Operating at these mm-wave frequency bands, however, poses severe challenges on the radio system. The radio system should support the ultra-high bandwidth and data-rates while providing a high performance and power efficiency to transceive complex modulation signals over considerable distances. Mm-wave RF front-end designs show however that the attainable output power decreases with increasing operating frequency, while the propagation characteristics are more challenging compared to lower frequency spectrum.

This presentation will discuss the main challenges and solutions to develop compact and integrated 140GHz RF radio systems, which supports high throughputs over a considerable link distance. A diversity of aspects will be tackled such as processing technology, circuit architecture and design, beamforming and topologies, and up to integration and packaging. The discussion will be supported by a practical 140GHz RF radio system development performed in TARANTO by IMEC, FAU, KIT, IHP, Infineon and Nokia. Hardware realizations may be demonstrated.

10. Speaker's Name: Wolfgang TEMPL Confirmed: yes Affiliation: Nokia Bell-Labs Contributors: Infineon, KIT, BUW, IHP, FAU, NSN Presentation Title: A mm-Wave Repeater for mm-Wave Fixed Wireless Access Speaker’s Email: [email protected] Abstract: This presentation will discuss a light weight high efficient mm-wave beamforming repeater for 5G fixed wireless access solutions. Simulations of mm-wave networks show that the introduction of repeaters can improve considerably the efficiency and of mm-wave fixed access networks. Based on a frequency conversion principle the concept of the proposed design is intentionally kept as lean as any possible avoiding largely complex signal processing in order to allow for low cost deployment. Initial outdoor over-the-air tests with a simplified setup proved that a sufficient signal quality meeting 3GPPP requirements can be met easily by our concept over long fronthaul link lengths up to several 100 m’s. At the heart of the project is beside the integrated frequency converters a beam steering transceiver unit realized together with project partners within frame of ECSEL project TARANTO. This unit comprises 32 transceiver chips each integrating four beam-steering transceivers operating at 28 GHz and is hooked up to a dual polarized 64-antenna array.

11. Speaker's Name: Nils POHL Confirmed: yes Affiliation: Ruhr-Universität Bochum, Germany Contributors: RUB - IFAG - INRAS - JKU - DICE Presentation Title: Advanced Circuits and Systems for radar sensors in modern SiGe

7

Speaker’s Email: [email protected] Abstract: The improvements in SiGe technologies results in hetero-bipolar transistors with much higher cut-off frequencies. Within this presentation, first circuit and system results for radar application based on Infineon’s new B12HFC technology under development will be presented and compared to current B11HFC results. Due to the high expected maximum oscillation frequency (fmax) of 600 GHz, one the one hand current radar frequencies e.g. at 77 GHz and 120 GHz benefit in terms of their performance especially in efficiency and noise behavior. On the other hand new operation frequencies of 300 GHz and higher are enable and will be investigated.

12. Speaker's Name: Alessandro FONTE Confirmed: yes Affiliation: SIAE Microelettronica Contributors: SIAE, ST, URM1, UNIMORE, POLIMI, UNICAL Presentation Title: mmW SiGe SoC: E & D band TRX front-end for P2P radio links Speaker’s Email: [email protected] Abstract: Nowadays the modern society is immersed in wireless networking as, for example, cellular networks and wireless networks which have been greatly developed over the past twenty years. Consumers will continue to desire higher data rates for services (i.e. audio, video, social media, etc) while demanding lower delays and constant connectivity on their wireless devices. In order to satisfy the high capacity requested for both 4G and, in the near future, 5G mobile services, new generation of mm-wave Point- to-Point (P2P) radio links have to be developed. In that context, the possibility to use high-speed HBTs (for mm-wave section) and MOSFET devices (ideal for bias control functions), typical of SiGe BiCMOS processes, played a key role allowing a remarkable cost reduction and improving the integration capability.

This presentation will show several aspects of the design of receiver and transmitter for the “Advanced multi-GigaBit E-band radio” for mm-wave P2P radio links, such as: high-level specification, architecture and circuit definition, integration issues, sub-blocks design and measurements as well as antenna topology choice. These developments have been carried out within the ECSEL project “TARANTO”, by SIAE Microelettronica, ST Microelectronics, Università degli studi di Roma La Sapienza, Università degli studi di Modena e Reggio Emilia, Politecnico di Milano, Università di Calabria and Università degli studi di Pavia.

13. Speaker's Name: Markus GRÖZING Confirmed: yes Affiliation: University of Stuttgart Contributors: URM1, USTUTT, USAAR, MICRAM, NOKIA Presentation Title: D/A and A/D Conversion Key ICs for Broadband Communications Speaker’s Email: [email protected]

8

Abstract: High-speed analog-to-digital (ADC) and digital-to-analog converters (DAC) are key components for the core data network. As network traffic is still growing at an enormous rate, ultra-broadband converters are of uttermost importance. Especially the analog front-end section of these converters, i.e. the analog input of ADCs and the analog output of DACs, imposes severe challenges on the circuit design. SiGe- BiCMOS the technology of choice for the implementation of the these analog front ends, because SiGe bipolar transistors offer both extremely high cutoff frequencies needed for the converter bandwidth as well as large scale integration capability as needed for the complex converter circuitry. The EU/ECSEL project TARANTO does research on both the device speed enhancement as well as on advanced circuit topologies and designs for ultra-broadband analog front-end circuits for AD and DA conversion. This presentation will present solutions for implementing ultra-broadband ADC and DAC front-ends and discuss the main challenges for the corresponding circuit design. We will show practical circuit designs realized in TARANTO by URM1, USTUTT, USAAR and MICRAM as well as a test setup from NOKIA. For the data link receiver, we present very broadband SiGe BiCMOS analog front-end circuits for synchronous (STI) and asynchronous (ATI) time interleaving of AD converters. The STI front-end applies current mode integrating samplers whereas the ATI front-end applies mixers and filters. For the data link transmitter, an ultra-high-speed single-core SiGe BiCMOS DAC core will be shown. Moreover, we introduce the concept of D/A time interleaving with analog multiplexer circuits. Finally, we present a testbed for the test of a STI ADC front-end.

Method of Presentation: Slides

9

Material to be Distributed to Attendees (if any):

10