SINGAPORE Volume 15 SEMICONDUCTOR T05SS0291A VOICE

STRENGTHENING AND GROWING THE SEMICONDUCTOR LOCAL ECOSYSTEM

The Automation GlobalFoundries New Lumileds - and Robotics S$5B Fab Commitment to Consortium to ramp up in 2023 Sustainability

Foreword by Executive Director

y the time this edition is investment into a new 300mm annual Semiconductor Business published, Singapore is well facility in Singapore. Targeted to be Connect. B underway to meet our target operational by 2023, what this means of fully vaccinating two-third of is job creation and a further boost Speaking of which, we will be the population by early August. As to our local ecosystem, in tandem organizing our flagship SSIA Summit the nation adjusts to new norms with Singapore’s vision to grow the and Semiconductor Dinner this year! brought about by COVID-19 becoming manufacturing sector by 50% over the Keeping a close eye on the pandemic endemic, we reflect on the past year next decade. and safety measures, but optimistic – and consider the semiconductor about the nation’s vaccination rate, industry fortunate. Our semiconductor industry will grow we hope to be able to bring everyone significantly in the coming years, together by end September. Themed Multiple factors, including an and we must be ready to support “Building a Smart Nation with increased demand for consumer this growth. We see potential to fill Innovation and Technology”, we look electronics and new technologies gaps in talent cultivation, training forward to discussing mega trends such as 5G gaining traction, have and upskilling. We want to optimize that will drive our industry’s growth, led to a surge in demand for technological advancement in areas and most importantly, engage in semiconductor chips globally. While such as AI and automation to future- much-missed physical networking this has caused a global shortage and proof our workforce. SSIA is finalizing (within safe measures, no doubt). impacted many related industries our pipeline of initiatives, and will I take this opportunity to thank the relying on semiconductor chips in their share details in due time. companies which have pledged their products, many countries now realize sponsorship, and welcome more to the importance of semiconductors, Additionally, we recognize the reach out to us. More information on not just economically, but even from need for a more robust local SSIA Summit and Semiconductor their national security standpoint. ecosystem to support the industry. Dinner 2021 is available on SSIA The pandemic made many website. With your strong support, I Some countries have been investing multinational companies realize their am sure this event will be a success! heavily to further grow and support reliance on local firms, and the need the semiconductor industry, such as in to help them grow. With this in mind, I look forward to catching up with you the US and . Others are ramping SSIA is fronting a committee pooling partners and industry leaders at the up their commitment. We see fabs the industry’s MNC leaders as well event, and in the meantime, stay safe around the world addressing the chip as partners such as EDB and ESG. We and healthy! shortage by expanding both short and have also started scaling up relevant long term capacity. GlobalFoundries platforms to bring international and Best regards recently announced their S$5B local entities closer, such as our Wee Seng

EDITORIAL TEAM SSIA BOARD

EXECUTIVE DIRECTOR COMMUNICATIONS SENIOR PROJECT Andrew Chong (Chairman) Ang Wee Seng MANAGER EXECUTIVE Brian Tan (Vice-Chairman) [email protected] Lynda Lim Rebecca Foo [email protected] [email protected] Jerome Tjia (Secretary) STRATEGIC Jennifer Teong (Treasurer) PROGRAMS DIRECTOR HR MANAGER EXECUTIVE ASSISTANT KC Ang (Board Member) Julie Koh Patsy Tan Cindy Chong Rajan Rajgopal (Board Member) [email protected] [email protected] [email protected] Chen Seok Ching (Board Member) DEPUTY DIRECTOR OF SENIOR BUSINESS DESIGNED BY Terence Gan (Board Member) PROJECTS DEVELOPMENT EXECUTIVE Superskill Graphics Pte Ltd Soh Lip Leong (Board Member) Daphne Leong Jasmine Tai www.superskill.com [email protected] [email protected]

Vol 15 Singapore Semiconductor Voice 3 GROWING THE SEMICONDUCTOR CONTENTS ECOSYSTEM Semiconductor Business 20 Connect 2021 Foreword by Executive SME Local Ecosystem 03 Director 24 Improvement Committee Partnering With Industry SSIA UPDATES 25 for Success Soh Lip Leong: “Coming Together is the Hunting As A Pack Beginning. Keeping Together 06 26 is Progress. Working Together is Success” WinTech Nano Technologies - Labless Vision Enabling the 08 32 Digital World Asia’s Technology Boom - Transforming the Enabling WLCSP Full Turnkey 09 Post-Pandemic Future 34 Solution Within The Island Temasek Polytechnic Advanced Manufacturing Centre - SSIA Welcomes 10 Enabling Talents and Solutions New Members for the Industry 35 With the Right Advice, You Can 12 Put Your Possibilities to Work INDUSTRY Virtual Career Talk: Opportunities GlobalFoundries New S$5B 17 in the Manufacturing Sector 36 Singapore Fab Ready in 2023 Upcoming Electronics & Industry Chipmakers Achieve Higher Relevant Courses Equipment Availability with 18 38 Lam’s WCO Lumileds - Commitment 40 to Sustainability Meeting Environmental Social Governance Goals with Water 42 Recycling & Reuse ITAP 2021 - 43 An Inspired Vision Semiconductor Tradewinds 44 May/June 2021 Henkel Adhesives Electronics - A Partner in 46 Advanced Packaging Solution Arizona Becomes 48 "U.S. Semiconductor Central” 6G and Beyond: 50 The Journey Starts Now

PEOPLE

Wisdom of a Homegrown 52 Tech Start-Up Expert Intel and ITE Collaboration: DISCLAIMER Building Digital Readiness for The Singapore Semiconductor Voice is the official Singapore Competitiveness publication of SSIA. All rights are reserved and no part 54 of this publication may be reproduced without the expressed written consent of SSIA and the publisher. Celebrating the Launch of While every effort has been made to ensure the SWE@SG on International information in this publication is accurate and up to 56 Women in Engineering Day date, the secretariat team will not be responsible for the errors made as a result of information received. HR Tech Festival Asia Online Opinions expressed are that of writers and do not 2021: Defining HR’s Roadmap necessarily represent the views and opinions of SSIA or 58 for 2022 the publisher. SSIA UPDATES

As the borders shut down, the traditional source of labour just disappeared and Soh Lip Leong: companies need to contend with that. The third pillar is logistic capabilities. This looks beyond Singapore because if your parts come from the Philippines, , China, Vietnam Hunting As A Pack or anywhere else in the world, there are many touch points along the way that are facing In the shadow of the COVID-19 pandemic, companies disruption,” Soh adds. large and small are regrouping to plan for more stable times. Joining the SSIA board at this pivotal moment, ams Solutions to these challenges will be unique OSRAM Sensors Singapore Senior VP and GM Soh Lip Leong for each company but Soh emphasizes is setting his sights on supporting SMEs wade through that a vital tool is knowing where to seek the aftereffects of the pandemic and bolstering them to assistance and information. “Trying to know or regional heights. remembering everything is not as important as knowing where to obtain information. The " f you look from a strategic perspective, Covid-19 basically Singapore government has various bodies shut down borders which badly affected a lot of SMEs that support SMEs. Thus, a list should be made I and even some MNCs. From the very start, ams OSRAM available for SMEs to know who to reach out to managed to implement initiatives to protect our people and our for information,” Soh says. revenue. Last year, even amid this pandemic, the company broke productivity and efficiency records,” Soh says.

Learning from the ams OSRAM's strategy may aid other corporations and SMEs as countries all over the world continue to battle fresh outbreaks and vaccine supply disruptions. “Our strategy was based on three pillars. The first being supply chain continuity. We must ensure that delivery and set up of machines/ equipment and delivery of raw materials are all on time. Those with external manufacturing partnerships must ensure that your sub-assembly is prompt. Second is human resources availability.

6 Singapore Semiconductor Voice Vol 15 SSIA UPDATES

“SMEs are diverse with many different needs. It is our role to listen to their needs, aggregate them and bring these needs to the relevant ministries who can address them. As MNCs have a “louder voice”, being a bridge between SMEs and the government is also a crucial role that SSIA plays.” he says.

Looking beyond the pandemic, Soh proposes two key areas to move Singapore SMEs to regional levels. “We hope to instill a broader mindset in local SMEs to treat the semiconductor ecosystem as a bigger corporation that they are a part of. SMEs often see everyone else as a competitor therefore sharing, learning and benchmarking have not been practiced often. Big players have deeper pockets but for smaller players, you need to go in as a team; collaborate and hunt as a pack,” Soh says.

Second is to focus on diversifying what SMEs can offer. “Business is so interconnected now that you cannot rely on just one thing, be it technology, capability or innovation. For example, if you look at automation today, it cannot be just about bringing in a fabricator or a machine integrator.

To move forward, a company needs to look at how they can use all the data from these new machines to generate more things, be it artificial intelligence (AI) or what I prefer to call computer- integrated manufacturing,” he says.

Having worked at various stages of the supply chain in his career, covering cross-continental responsibilities whilst leading diversified teams, Soh feels that his experience combined with SOURCE OF CONTENTS that of the other SSIA board members, can benefit local SMEs at this time in terms of depth, regions, single responsibilities and end-to-end accountability. “SSIA can walk with these SMEs in their journey to grow and reach regional heights,” he says.

Vol 15 Singapore Semiconductor Voice 7 SSIA UPDATES

WinTech Nano Technologies - Labless Vision On 17 May 2021, Mr Ang Wee Seng, Executive Director of SSIA, was invited as a Guest of Honor to grace the celebratory They have grown into a successful and event of WinTech Nano-Technology, which have been significant local company that supports featured on the Fortune Times Magazine. This remarkable our industry. milestone featuring Mr Li Xiaomin, the President of WinTech Nano-Technology as front page was a recognition of the The semiconductor industry remains a bright achievements of Labless Vision which WinTech Nano has spot in our economy despite the pandemic. brought to the Semiconductor Industry. The sector is fortunate to have performed well and is set to continue its robust growth he Labless Vision is indeed a great inspiration and well into the next decade due to the strategy for our industry. This vision allows semiconductor digitalization trends, driven by technologies T companies to focus on their core business while entrusting such as 5G, 6G, AI, IoT, EV and many more. the laboratory services to 3rd party companies such as WinTech Nano. This in turn allows the reduction in operating cost for the An important factor to grow our industry company, and most importantly, leveraging the advancement of is not just the heavy investment into large technologies which WinTech Nano can offer. multinational companies, but also the strengthening and growth of the industry’s Over the past few years, WinTech Nano has been providing local ecosystem. the trustable lab analytical solution, a key service to the semiconductor industry here in Singapore and the region. In line with the national call, SSIA is committed to help build a strengthened semiconductor local ecosystem to emerge stronger and make Singapore a global semiconductor hub. Growing local companies, such as WinTech Nano, will be crucial to the growth of our industry here and the region.

SOURCE OF CONTENTS

8 Singapore Semiconductor Voice Vol 15 SSIA UPDATES

strengthen the local ecosystem, so as to ensure there is sufficient support for the industry.”

Gavekal Dragonomics' technology analyst Dan Wang said that China could be the most vulnerable. "China has seen that the U.S. is almost a monopolist in certain semiconductor technologies, especially on semiconductor production equipment,” he said. "China is probably five to 10 years behind on all different types of segments, and the main question Clockwise from top left: moderator Kenji Kawase, Julie Koh, Dan Wang now is how quickly China can close and Mitsunobu Koshiba speak during an online panel discussion on June 29. that gap where the U.S. has become much more hostile. And Chinese tech companies are now trying very, Asia’s Technology Boom - Transforming very hard to close this gap.” the Post-Pandemic Future Mitsunobu Koshiba, chairman emeritus at Japanese materials FT Live and Nikkei Asia presented Asia’s Technology Boom – Panel : supplier JSR Corp., said the Semiconductors: Meeting a global shortage, on 29 June 2021. industry needs to face the "inconvenient truths” of rapid s Julie Koh, Strategic Programs Director of SSIA was invited to growth, including the massive join the panel discussion, together with Mr Mitsunobu Koshiba, amounts of energy required to M Chairman Emeritus of JSR Corporation and Dan Wang, Technology operate the most advanced Analyst of Gavekal Dragonomics; and the session was moderated by Mr chipmaking equipment. Koshiba Kenji Kawase, Chief Business News Correspondent, Nikkei Asia. said he is looking for "some creative way to overcome this dilemma, A global shortage of semiconductors that power such digital technologies -- such as quantum computing.” from smartphones and data centers to cars -- is now in focus. Experts said the dearth, combined with U.S.-China tensions and tighter border controls For the original Nikkei Asia article, during the pandemic, is prompting countries to rethink their supply chain. it is available in https://asia.nikkei. com/Business/Startups/ "We are always taking the approach of collaboration and regionalization, considering how big Singapore is,” said Julie Koh, strategic programs director To watch the event recordings, it at Singapore Semiconductor Industry Association. "The semiconductor is available Video-on-Demand companies have also recognized that it is very important that we grow and until 31 December 2021. Please click https://asiatechboom.live. ft.com/agenda to watch.

SOURCE OF CONTENTS

Vol 15 Singapore Semiconductor Voice 9 SSIA UPDATES

commitment to future-proof Temasek Polytechnic Advanced Singapore’s manufacturing sector by providing the necessary Manufacturing Centre - skills training. In addition, MOUs were also signed between TP and members Enabling Talents and Solutions of its Industry Partners Network, comprising trade associations for the Industry and key industry partners, including the Singapore Semiconductor Temasek Polytechnic (TP) has set up a S$7 million TP Advanced Industry Association (SSIA) and Manufacturing Centre (TP AMC) on its campus. It was officially opened Singapore Precision Engineering & by Minister for Education, Mr Chan Chun Sing, on 18 June 2021. Technology Association (SPETA), as well as Arcstone Pte Ltd, I-empowered and data driven, TP AMC is an authentic advanced Singapore, M8M Pte Ltd, OMRON manufacturing environment that comes complete with a nerve Electronics, PDS Pte Ltd, SMC, A centre, a production shop floor and an intralogistics automation SMT Technology and Swisslog. system. It is also a ‘live’ advanced manufacturing model factory that is Through these MOUs, the parties capable of autonomously assembling six different customisable products. pledged their commitment to joint capability and knowledge In conjunction with the launch, a Memorandum of Understanding (MOU) development, training, as well as was signed between the five local polytechnics and the Advanced the transformation of companies Manufacturing Training Academy (AMTA), deepening the collective in this sector.

10 Singapore Semiconductor Voice Vol 15 SSIA UPDATES

The TP AMC provides applied and as OMRON, Fujitsu and Swisslog, skills-based training, and serves students will also be involved in as a model for best practices Proof of Concept (POC) projects, as and solutions in advanced part of their final-year Major Project manufacturing for companies. It and internship programme. is well-equipped with the right expertise and resources to support In addition to providing students companies in their Industry 4.0 and adult learners with experiential transformation effort, taking training, TP AMC also provides reference from the Singapore staff with opportunities to pursue Smart Industry Readiness Index multi- and inter- disciplinary Nerve Centre (SIRI) in doing so. applied research projects in line with industry trends. For instance, Here, TP students as well as adult TP is working with OMRON and learners will get to experience several system integrators to double core emerging technologies such the payload of an Autonomous as Applied Artificial Intelligence, Intelligent Transporter for warehouse Advanced Robotics, Cyber-Physical and cleanroom applications as Systems, Industrial Internet of well as shop floor digitalization Things (IoT), Augmented Reality, for connecting up to 100 moulding Virtual Manufacturing & Digital machines in collaboration with Twin, and Operation Technology Beyonics. The polytechnic has also Cybersecurity. Working in multi- teamed up with partners such as Intralogistics Automation System disciplinary teams, they will be SSIA, SPETA, and OMRON, to offer a directly involved in the factory’s series of hands-on courses and set learning and working in a multi- operations involving high speed up the POC project test-bedding disciplinary industry setting and inspection of product quality on the laboratory. These programmes prepare them for the new digital fly, IoT monitoring of equipment are expected to benefit more than economy. At the same time, the availability and inventory status, 2,000 adult learners from about Centre will build a sustainable talent workplace safety and health within 180 companies in the advanced pipeline in advanced manufacturing the factory, as well as the integrity manufacturing sector within a to support the national manpower of the IT network hinging on AI- period of 3 years. needs for Industry 4.0. powered surveillance and cyber- secured systems. Guided by experts TP AMC will give both TP staff and ENQUIRIES from leading companies such students first-hand experience of For enquiries and requests for a tour of the TP AMC model factory, email us at contactamc@ tp.edu.sg

For more details, please scan the QR code and visit our website.

SOURCE OF CONTENTS

Advanced Manufacturing Centre Cyber-Physical Systems at the Production Shop Floor

Vol 15 Singapore Semiconductor Voice 11 SSIA UPDATES

MR CHOK YEAN HUNG With the Right Advice, Board Member, AEM Holdings Ltd

What made you interested to You Can Put Your join the WSG's volunteer Career Advisors initiative? Possibilities to Work Being retired and having benefited from the Electronics SSIA is supporting Workforce Singapore’s volunteer Career and Semiconductor industry, Advisors (vCA) initiative, which provides individuals (especially volunteering into this initiative is a mature workers) with peer-level support and career guidance as way of giving back to this industry they navigate professional pathways to advance their careers, or and the society. transition to other jobs. Through this initiative, I hope to be We have invited our vCAs in the electronics and semiconductor able to help shine a torch into this sector to share their personal career journey and how advisees can industry, attracting more fellow connect and benefit from their industry experience. workers coming in and participate

12 Singapore Semiconductor Voice Vol 15 SSIA UPDATES

in its growth in Singapore. With stages of my career. They are either people are unable to navigate the current pandemic situation, my peers, supervisors, customers opportunities in this field due to this WSG’s vCA initiative is indeed or even business friends. Each of misconception, lack of guidance timely, where me and other fellow them has imparted their learning and confidence. My aim is to help as advisors from this industry can and wisdom, helping me to build my many people as I can to understand help workers from many other foundation to excel. their situation and ease their entry suffering industries to appreciate into the electronics career. the electronics & semiconductor The key was really the willingness industry’s needs and potentially to listen and learn from others' As a vCA, what experience/ build their career here. experience as at times we can be guidance would you like to blindsided. I remembered one of provide for your advisee(s)? As a vCA, what experience/ them saying “Ego and believing only guidance would you like to in legacy methods will ultimately I would like my advisees to have provide for your advisee(s)? fail you”. This has always reminded an open mind to appreciate me to listen and challenge myself alternatives. I would also encourage Prior to offering guidance to to do better. them to embrace new opportunities advisees, it is probably key to know with their whole heart and with their aspirations and core areas MR SHANTONU confidence. Lastly, advisees should of competency. Only from there, BHADURY try to inculcate a culture of lifelong appropriate guidance can be given, Design Engineering learning as career landscape is be it the type of complementary Director, IoT constantly evolving, which requires skillsets needed or WSG’s various Products, Silicon Labs continuous upskilling. programmes to enhance individuals’ market value to enable them to What made you interested to Do you have a mentor/ advisor progress in their careers. join the WSG's volunteer Career in your career journey? Could Advisors initiative? you share with us about it Do you have a mentor/ advisor and how this experience has in your career journey? Could The opportunities, challenges supported your career’s growth? you share with us about it and outlook in the electronics/ and how this experience has semiconductor industry have I have been blessed with excellent supported your career’s growth? evolved and become more diverse. managers, who also mentored me The barrier to entry has also been throughout my career. My mentors I am blessed to have many going up in certain sectors. As a continuously challenged me with individuals who have given me result, even with electronic industry different responsibilities, career advice or mentored me at various having a big presence in Singapore, goals and guided me throughout the journey. This helped me to build my confidence, develop strong work ethic and to feel empowered to take tactical and strategic decisions.

Any other ideas you would like to share about joining this programme?

This program is currently geared towards mid-career professionals who are looking to pivot. I am hoping that this program can be extended to help students at the cusp of choosing their career and to guide them to make a more informed choice.

Vol 15 Singapore Semiconductor Voice 13 SSIA UPDATES

MS JULIE KOH Strategic Programs Director, Singapore Semiconductor Industry Association

What made you interested to join the WSG's volunteer Career Advisors initiative?

The COVID-19 pandemic has impacted people’s life and livelihood. With the Semiconductor and Electronics industry strengthening and hiring, it is a wonderful opportunity to help people understand more of the opportunity in this industry and join the sector. vCA is voluntary and my way of giving back to the society and the Semiconductor industry.

As a vCA, what experience/ President and CEO of Denselight industry. The vCA initiative helps guidance would you like to Semiconductor. Back then as a young them overcome some of the provide for your advisee(s)? Manager, I was coached to expand my challenges faced by individuals who role, progress from being a technical have spent decades in the same role I would like to help others expert to managing customers and and industry to shift to a completely understand that with technological suppliers, increasing my span of new industry. disruptions, which is accelerated by responsibility. The growth experience COVID-19 and digitalisation, it has taught me to focus on people, their As a vCA, what experience/ impacted many industries and jobs. strength, and developing them to guidance would you like to It is important that we understand their fullest potential. provide for your advisee(s)? the trend and growth, work towards upskilling, staying relevant and MR VINOD NARANG I would like to guide the advisee(s) remaining employable. I hope to Senior Engineering on transferrable skills that can empower jobseekers to be aware of Manager, Product be applied in the semiconductor/ what they really wish to do in their Development electronics industry, such as critical career, what they are passionate Engineering, Global thinking, analytical reasoning, about, and work towards it. Operations, AMD deeper communication skills and teamwork. Do you have a mentor / advisor What made you interested to in your career journey? Could join the WSG's volunteer Career I also plan to act as a channel to you share with us about it Advisors initiative? various placement services being and how this experience has offered by the WSG including supported your career’s growth? The business transformation has relevant workshops like art of accelerated, and many industries interviewing, resume writing, etc. I am fortunate to have met many are being transformed rapidly. wonderful mentors in my career. One vCA volunteers help guide the Do you have a mentor/ advisor who has made a profound influence individuals in the transition from in your career journey? Could in my career is Mr Rajan Rajgopal, other industries to the electronics you share with us about it

14 Singapore Semiconductor Voice Vol 15 SSIA UPDATES

creation to the industry at large, and also to young graduates entering the workforce.

As a vCA, what experience/ guidance would you like to provide for your advisee(s)?

While different industries demand different skillsets, the individual contributor or team player shall always bring his/her creativity and tenacity to the job. Such pride and passion are sometimes missing in the organization. With experience, as a vCA, I hope to share other perspectives on such less-explored areas so that we can all extract the self-motivation that shall keep us striving for meaningful achievements in our long careers.

Do you have a mentor/ advisor in your career journey? Could and how this experience has semiconductor technologies which you share with us about it supported your career’s growth? almost test the laws of Physics. I and how this experience has hope more individuals can join this supported your career’s growth? Many years back, we had a industry which has become the leadership training that had a big foundational technology for the Throughout my 28 years in the influence on me. After the training, advancement of our society. workforce, I have the privilege to I kept in touch with our coach. know 2 mentor bosses. Both can see Whenever I had some complex MR DENNIS FOO “through” people and bring out the questions or wanted some guidance, Vice President best in them. Encouraged by their I would seek his advice. He would Corporate Sales, helicopter view of even the worst gently nudge me, point in few Manufacturing situations and not losing sight of directions and let me find the best Integration what is happening on the ground, answer for myself. He greatly helped Technology Ltd I have been emulating them all me at many critical junctures and these years to achieve a blessed I am really thankful for his advice! What made you interested to personal development and climb I hope to provide similar help to join the WSG's volunteer Career the career ladder. advisee(s) to find and charter Advisors initiative? their own paths, giving me huge To connect with one of the volunteer satisfaction! I have been volunteering as an NTUC Career Advisors, please visit https:// YCN Career Guide, an SIT Mentor, a go.gov.sg/vcai-app Any other ideas you would PSB Academy Brand Ambassador, like to share about joining this and 1st VP and 2nd VP in NTU Alumni SOURCE OF CONTENTS programme? Club Management Committee in the last 8 years. The WSG's The main motivation is to contribute volunteer Career Advisors initiative to society in a small and meaningful is a meaningful outreach platform volunteer Career Advisors manner. I am passionate where like-minded volunteers can initiative about working on advanced bring experience sharing and value

Vol 15 Singapore Semiconductor Voice 15

SSIA UPDATES

On initiatives, Mr Chok Yean Hung, a board member of AEM holdings, introduced the volunteer Career Advisors (vCA) program which links job seekers to industry professionals whom they can tap on for advice and to facilitate career progression, followed by Ms Sek Mun Foong of Innowave Tech sharing her experience coming from a non-technical background and transitioning to a Project Engineer role through the Speakers clockwise from top left: Mr Chok Yean Hung (AEM Holdings), Ms Julie Koh training provided by her company (SSIA), Ms Daphne Leong (SSIA) - Moderator, Mr Martin Leo (HP), Mr Ong Eng Hui under the Professional Conversion (Skyworks), Ms Sek Mun Foong (Innowave Tech) Programme (PCP) initiative.

During the Q&A session, many attendees were keen to hear about Virtual Career Talk: Opportunities employability for mid-career job seekers and senior staff. The in the Manufacturing Sector panel of speakers agreed that having transferable skills and a The electronics and manufacturing industry has been thriving despite willingness to learn were traits that the COVID-19 pandemic, with several companies in Singapore set to hiring managers looked out for as expand in the second half of 2021. To shed light on the vacancies in the technical skills can always industry, SSIA, with the support of Workforce Singapore (WSG), hosted be acquired through training. a webinar on 29 Jun 2021 titled Virtual Career Talk: Opportunities in For those thinking of coming back the Manufacturing Sector. to the industry after a break, Mr Chok advised linking up with a he webinar covered two main topics – the growth opportunities in vCA who can help identify the the industry and the initiatives from WSG that job seekers can tap skills gap that can be filled to T on. Ms Julie Koh, Strategic Programs Director of SSIA, opened with an increase employability. overview of the Semiconductor and Electronics ecosystem and future hiring trends, followed by presentations by Mr Martin Leo from HP Inc and Mr Ong We hope that the attendees have Eng Hui from Skyworks Global Pte Ltd on the various job opportunities and a better understanding of the work culture at their companies. opportunities in the industry now and wish them all the best in their job search!

To connect with a vCA, go to www.go.gov.sg/vcai-app To learn more about PCP, go to https://ssia.org.sg/pcp/ To view vacancies in the industry, go to https://ssia.org.sg/jobs-listing/

SOURCE OF CONTENTS

Screenshot from the presentation on volunteer Career Advisors

Vol 15 Singapore Semiconductor Voice 17

Arizona Semiconductor ecosystem and its opportunities Arizona Semiconductor ecosystem and its opportunities

GROWING THE SEMICONDUCTOR ECOSYSTEM

Not only are we focusing on improving the innovation and productivity, we are also collaborating with AMTA - Advanced Manufacturing Training Academy, to help companies understand the gap and opportunity towards Industry 4.0 and also the trainings needed to upskill and reskill the workers for the upgraded jobs.

Mr Tan Yew Kong, Vice-President of Operations, GlobalFoundries Singapore, encouraged the team: “As we advanced with Industry 4.0, it is important to develop capability courses to support the growth of the local semiconductor ecosystem”.

“Strong collaboration across the SME Local Ecosystem semiconductor ecosystem is critical to advance innovation in the thriving semiconductor industry. We look Improvement Committee forward to more engagements and collaborations with our suppliers and The SME local ecosystem Both Mr Chen Kok Sing, Corporate partners,” said Mr Chen Kok Sing. improvement committee was Vice-President and Singapore started in October 2020, led by a Country Manager of Micron and Together, we will emerge team of industry leaders with the Mr CS Chua, President and stronger – through innovation and objective to strengthen the local Managing Director of Infineon collaboration. semiconductor ecosystem through Technologies, applauded all three closer collaboration between SMEs for their transformation List of organizations semiconductor manufacturers journey leveraging Industry 4.0 participating in the SME Local and their suppliers. technologies to automate and Ecosystem Improvement digitalize. For instance, Aircond Committee he committee invited 3 Network, with the data from representative suppliers their workflow digitalization • Aircond Network T / SMEs who service the project, will be able to assess • Applied Materials semiconductor industry, namely other problems or opportunities • Denselight Kinetics, Richport and Aircond for further improvement. • GlobalFoundries Network, to understand the • Infineon challenges that they faced to With this learning, the committee • Kinetics support the Fab Operations during wants to extend this improvement • Micron the pandemic. It was concluded that experience to other local SME suppliers • Richport automation and digitalisation will supporting our Semiconductor • Singapore Semiconductor help to improve their productivity industry. Semiconductor Business Industry Association by at least 20%, making the tasks Connect 2021 is the platform to less manual and repetitive, thus support this sharing with the rest of SOURCE OF CONTENTS improving customers’ support, the network - Riding the wave of as well as making the role more Industry 4.0 towards Intelligent appealing to attract local talents to Manufacturing. Inviting the network take up jobs in the industry. to ride along this transformation.

24 Singapore Semiconductor Voice Vol 15 GROWING THE SEMICONDUCTOR ECOSYSTEM

Another fully customized solution adopts an agile and nimble strategy is the Autonomous Smart Detector based on customers’ needs. Partnering (ASD). Mounting their proprietary array of advanced sensors onto Their strong local partnerships any type of AGV, the ASD can be also enable them to overcome with programmed to patrol any area supply challenges, considerably within a factory across different reducing lead time – a key terrains. Equipped with Innowave concern for the industry today. Tech’s advanced computer vision They also participated in several Industry for technology, the ASD detects various important SSIA programs, like the environment and equipment SSIA Automation Supplier Day for conditions, including flooding and business matching and Professional Success smoke. Conversion Program (PCP) upskill/ reskill initiatives, allowing them to stay deeply engaged with the As Singapore begins the process industry. of booting up her economy, the need for strategic, long- Innowave Tech understands what it term partnerships between means to prove to their customers semiconductor manufacturers that in such challenging times, they and their suppliers is now more are in it together. With increasing critical than ever. collaboration with customers and suppliers, they are in a great nnowave Tech understands position to continue supporting the the seriousness of this semiconductor industry. I shift – speed is critical and any business that can lead the Fig. 1 Autonomous Smart Detector pack early will ultimately reap significant rewards. As such, they A significant result of their have partnered with their customers partnerships with key industry (manufacturing Fabs, OSAT, IDM) members is the development of to develop customized end-to-end their Automatic Optical Inspection products and solutions that not only (AOI) tools. Leveraging on their address customers’ pain points, domain expertise in computer but more importantly provide key vision and automation, Innowave strategic advantages. Tech has developed and retrofitted several types of AOI tools - based These include their popular on incredibly specific customers’ InnoGlas, an augmented reality requirements! These include defect (AR) smart glasses solution that, types, defect sizes, UPH, wafer among many custom computer sizes, macro and micro inspection, vision applications, enables remote with full integration to their collaboration/assistance. enterprise systems. Fig. 2 Automated Macro/Micro Remote assistance allows Fab or While their experience in fab inspection station tool vendors’ engineers to monitor operations and technology and assist technicians from development cannot be denied, the SOURCE OF CONTENTS anywhere in the world. Engineers key factor in winning support from are no longer required to be on-site customers is their flexibility. From for troubleshooting, maintenance, product design and deployment and repairs, greatly improving time timeline to business models and and cost efficiency. payment methods, Innowave Tech

Vol 15 Singapore Semiconductor Voice 25 GROWING THE SEMICONDUCTOR ECOSYSTEM

Cross Fab Visit GlobalFoundries and ST (17 Feb) “Coming Together is the Beginning. Keeping Together is Progress. Working

Cross Fab Visit Skyworks and ST (3 Mar Together is Success.” and 10 Mar) Building a new advanced fully The Automation and Robotics Consortium automated Fab is not an easy feat. Converting an existing fully installed 200mm Fab to be autonomous Back in 2014, the Automation and Robotics Consortium was started by automated is a whole new set of GlobalFoundries Singapore, SSMC and ST Microelectronics, with the challenges due to fully build up publication of the white paper and further expanded to today’s many space constraint. SSMC shared that more companies joining it, with the common vision to share Industrial they have overcome the challenge 4.0 automation and robotics learning across Manufacturing Fabs in and upgraded the Automated Singapore. Seven years have progressed and the team is continuing its Material Handling System (AMHS) in strong momentum with great collaborations across the semiconductor their 200mm line. It was remarkable industry, to collectively improve the productivity of the Fabs here. to see the engineering innovation that goes into the realization o begin, GlobalFoundries took the lead to showcase its success of robotics connectivity and stories on the implementation of wireless, self-charging AMRs automation integration. The new T (Autonomous Mobile Robots) running on its 200mm manufacturing AMHS transforms production lots floor. The members re-iterated that this sharing was for the common movement within machines, across good of the semiconductor community, not to restrict the use of the tools and bays, between the main IP application, so as not to reinvent the wheel. Through the years, the fab and the cleanroom extensions. companies have been very munificent with sharing of their experiences, This significantly optimized the shop organizing cross Fab visits, fostering exchange of ideas for innovation floor efficiency while increasing and improvement. The consortium currently consists of companies like manpower productivity and GlobalFoundries, HP Inc, Lumileds, RF360, Siltronic, Skyworks, manufacturing quality. To make SSMC and STMicroelectronics; and supporting partners EDB and SSIA. things happen, they emphasize not

26 Singapore Semiconductor Voice Vol 15 GROWING THE SEMICONDUCTOR ECOSYSTEM

only on the integrated approach AI-powered of the Industry 4.0 technologies, software but also the strategic partnership solution and strong collaboration with for defect automation suppliers and partners, review and including academia. classification for yield In another meeting, Mr Dominic acceleration Tay, Engineering Director of and quality STMicroelectronics shared on STM improvement implementation of in-process in the Fabs, control, to monitor and optimize the OSATs, line performance. It was amazing and IDMs. how the team used STM’s control Ms Akanksha Jagwani, SixSense Dr Marvin Lee, Vice President, box STM32, with various types of co-founder and CEO, presented the Semiconductors of Singapore IoT sensors, enabling readout of implementation journey of classifAI Economic Development Board, process output to control the line (Auto Defect Classification) at commented: “It is remarkable to performance. The inline control GlobalFoundries fabs in Singapore. see how different players in the was not only implemented in the It was highly encouraging to deep local semiconductor industry are production line, but also extended dive on follow-up topics such as capable of self-organizing and to the facility with real-time how to make the data AI-ready, coming together during this industry detection. Everyone was curious transfer learnings from a fab to upcycle, when competition is keen, how STM set up such a team for this another of an organization, and the to share best practices in addressing in-line real-time process control cloud deployment compatibility various technical challenges. This transformation. It was done by their of classifAI. Hope this session demonstrates the desire amongst internal team, who learnt and trial encourages other fabs to also our industry players to create a on the job. As they progress and gain embark on the AI journey and win-win environment for everyone success for each process in-line automate their defect classification and speaks to the maturity of control, they extend and build on using the best of the machine and our local ecosystem. The regular the learning to the next process. It human world. cross-sharing sessions also serve as is important that the leader and the a useful platform for local solution team have the vision and passion to Mr Cedric Poirel, Director of providers to showcase their tools make it happen. Kudo to the team. Engineering at Qualcomm RF360, and capabilities, fostering greater finds the consortium helpful; partnerships with the MNCs through This is also a wonderful as a platform for knowledge technology adoption.” platform where suppliers were sharing on challenges and best invited to share their solutions practice, with professionals This Automation and Robotics to fix customer’s problems. from the same industry. After Consortium is a wonderful platform GlobalFoundries invited SixSense, the meeting, he was able to to share with the rest of our a startup company that offers an trigger some actions like starting Semiconductor network. Appreciate potential collaboration with the technical leaders from the the company SixSense on various companies, their leadership Artificial Intelligence applied and collaboration, driving towards to Auto Defect Classification. Industry 4.0 transformation, striding He was thankful to the forward to bigger success. team for organizing such a consortium, which is very open and flexible. SOURCE OF CONTENTS

Not forgetting to mention Automation and the support rendered by Robotics Consortium EDB to the consortium.

Vol 15 Singapore Semiconductor Voice 27 INFICON SSIA Voice Full Page Ad Nov 2020_FNL.indd 1 10/15/20 1:24 PM CEI is a valued EMS partner to many Fortune 500 corporations and leading technology companies.

Our focus is on high-mix, mid-to-low-volume contract manufacturing services (PCBA, Cable Harness, Box Build, Equipment Build, Control/Electrical Panel) and equipment integration. CEI also designs and builds wafer handling equipment as an OEM and provides custom automation solutions.

CEI Pte Ltd design and build its own brand of equipment as an OEM to meet the semiconductor manufacturing industry needs for automation, hands off production, with a focus on wafer handling using robotics - Such as Equipment Front-End Module (EFEM), Wafer Sorter/Packing and Unpacking Systems, Wafer UV Eraser Systems, Wafer Macro & Micro Inspection Systems, 3rd Optical Inspection Systems and AMR/AMT – autonomous mobile robot material handler/transporter. CEI Pte Ltd also takes on customized automation project, working closely with customer’s equipment team to realize their automation needs.

Contacts

TY Heng (PCBA)

E-mail: [email protected] Phone +65 6481 1882

Joseph Tan (Equipment Manufacturing and Cable Harness)

E-mail: [email protected] Phone +65 6481 1882

Sabu Mohd Ayub (CEI Proprietary Equipment)

E-mail: [email protected] Phone +65 9845 8786

Low Teck Ming (CEI Proprietary Equipment)

E-mail: [email protected] Phone +65 9618 3274 www.cei.com.sg

ISO9001:2015 ISO14001:2015 AS9100D ISO13485:2016 Electronics AC7120 UL508A

INFICON SSIA Voice Full Page Ad Nov 2020_FNL.indd 1 10/15/20 1:24 PM

Deionized Water Recycling Unit DWR1722

Ultra-compact DI water recycling unit with extraordinary energy and water conservation

Multi-function ultra-compact DI water recycling unit The DWR1722 is a DI water recycling unit for dicing saws with functions for DI water production, water temperature adjustment, filtration, and removal of suspended solids such as cutting particles.

Providing environmentally friendly performance The high recycle rate (99.5% with zero wastewater) exceeds what is possible with conventional recycling units and greatly reduces city water consumption. Since this unit can be installed adjacent to dicing saws, piping can be shortened and water temperature fluctuations as the water runs through the piping can be minimized.

Enabling efficient introduction into your facility Different from the conventional large scale DI water recycling facilities, this unit can be introduced efficiently in accordance with the number of dicing saws installed. For the DWR1722, the RO unit and other functions have been reclassified as options, for a simplified standard specification. DWR1722

CC Filter

: The CC Filter and ion Easy maintenance exchange resin can be replaced with a one-touch coupler connection.

CC Filter: The DISCO original CC Filter provides both high filtration performance and long life time. DWR1722 Suspended solids filtered out by the CC Filter can be disposed of easily together with the filter.

Easy operation: Operation of the DWR1722 can be linked with a dicing saw (optional). This reduces the load on the operator and the possibility of human error

* Notes: - A separate water supply at a controlled temperature is necessary in order to cool the chiller unit. The DWR1722 can handle two dual spindle dicing saws or four single spindle dicing saws. When producing DI water from city water, an RO film unit (option) is required. For further information please contact your local sales representatives.

www.disco.co.jp Contact :- [email protected]

Power of Choice Modern laser technology can achieve outstanding results in almost any manufacturing process while making it efficient use of resources. When it comes to developing new products, you can rely on light to provide the right tool for your production environment. With its long history in laser sources for manufacturing, TRUMPF has developed lasers, optics and processes for a wide variety of industries.

Lasers can be used for “hot” processes as continuous wave and nano second lasers as well as for “cold” processes with femto and pico second lasers. Different wave lengths rounding up the flexibility of TRUMPF lasers to find the sharpest tool for your manufacturing needs.

Endless Opportunities : Semicon Spotlight Join us in our Semicon Spotlight, focusing on photonic technologies in the semicon industry. Register for the event via the QR code and experience presentations on the fascinating technologies of the semicon industry, insightful discussions and live chats with our experts on 27th July, 2021 from 2 PM to 4 PM.

www.trumpf.com GROWING THE SEMICONDUCTOR ECOSYSTEM

R&D investment around 10% of miniaturization with performance, equipment sales for many years. and we have methodically built up Enabling This has helped make us a partner of the industry’s most comprehensive choice, and innovation has been key product portfolio of AP solutions to our success. across our portfolio. These support the Digital a whole spectrum of customer Our progress is guided by a simple, needs, including 2.5D, 3D-IC, fan-in compelling Vision - “Enabling and fan-out wafer-level packaging, World The Digital World”, capturing the and system-in-package (SiP). key role we play in enabling the Our AP solutions are delivering ASMPT serves a manufacture of many of the 21st industry leading capabilities to diverse array of century’s key technologies. customers, who serve in high end industry-leading end-user markets like CPU, GPU, semiconductor Our two business segments - XPU and SiP applications. and electronics Semiconductor Solutions (ICD, manufacturers Optoelectronics & CIS) and SMT Optoelectronics (LEDs) Robin Ng, Group globally. As the Solutions (Printing & Placement) CEO, ASM Pacific leading global - have strong leadership positions With LED technology in displays Technology hardware and and provide a uniquely well- from the smallest wearables to (ASMPT) software solutions positioned portfolio for customers largest video walls, the market provider for semiconductor globally, helping them experience is demanding better and sharper and electronics assembly, our superior value from our solutions picture quality across the board. customers (chipmakers, foundries, and services. ASMPT is a major player in Mini/ IDMs, fabless, OSATs and more) MicroLED technology space, use our tools to manufacture Let me highlight a couple of these. our broad suite of solutions the many complex electronic positioned to capture significant components in all modern ASMPT’s AP solutions market opportunities when they electronic and computing devices. fully actualise. A typical smartphone can contain These are helping create the vital components enabled by no less components powering large, high- ࡟ MiniLEDs - our innovation has than six of our product lines, growth application areas such as: focused on developing high- from mainstream bonders, accuracy machines that enable CIS tools, LED tools, to various ࡟ Automotive electrification – in ultra-small die processing Advanced Packaging (AP) solutions CPU, GPU and XPU capability in both laser that intricately create, combine ࡟ Data Centres, high-speed singulation and die placement. and connect the many modules computing, cloud computing & AI This is compelling for meeting and systems serving various ࡟ GPUs for increasingly advanced increasingly demanding product device functions. Virtual Reality and Augmented requirements for automotive Reality devices displays, computer notebooks, e have progressively ࡟ Complex components within and TV & video wall applications. developed various smart wearable devices Some key customers in Asia are W pioneering technologies ࡟ Others: including 5G entering into mass incorporation of and solutions, often partnering infrastructure, factory robotics, our technology into their products. very closely with customers. Within telemedicine, and connected ࡟ MicroLED represents next- our 2,000 strong global R&D team homes generation display capabilities across 11 R&D centres worldwide with many advantages over (with Singapore a major R&D node), Advanced Packaging (AP) traditional LCD technology, e.g. we have generated more than 1500 significantly greater contrast, technology patents (and counting). A wide range of current and response, durability and potential AP markets are enabled especially energy efficiency. Our consistent commitment to by ASMPT’s AP solutions. AP Presently expensive and slow R&D is clear, with our average technologies help balance to produce, our innovation has

32 Singapore Semiconductor Voice Vol 15 GROWING THE SEMICONDUCTOR ECOSYSTEM

involves infusing AI capabilities into ‘smart assembly lines’ that enable equipment to independently examine and analyse data, make decisions and act based on those decisions. At highest level, this will deliver data-driven closed-loop insights and automation without human intervention.

The core of our AIoT platform is a software engine that uses advanced machine learning algorithms and ASMPT’s own AI edge node capabilities to process manufacturing data and unlock a range of benefits. We are progressively integrating AIoT solutions into our broad product portfolio. ASMPT's AI of Things Approach Looking Ahead

ASMPT’s exposure to long- term sustainable market trends towards increased silicon content across devices and systems, e.g. 5G infrastructure, automotive electrification, consumer electronics, industrial systems, ASMPT’s Ubiquity and Growing Demand Across Key End-Market Applications computers, displays, will help fuel growth, with our solutions focused on developing mass (‘gang’) bonding methods that enable increasingly used for new quality at significant scale, to the order of thousands or even millions of market applications. units (depending on dice size/pitch) in a single pass process. Another example of innovation is our process control capability that allows Our position in core markets, extraordinary yield values of up to 99.9999%. We are working with some commitment to innovation and key players this area. enduring customer relationships bode well for our future. In this Artificial Intelligence of Things (AIoT) journey, we will always be looking for good people to join us. I believe Our deep, broad industry process experience and expertise makes us we are just at the cusp of an era uniquely positioned to develop a compelling proposition for electronics of massive connectivity, speed, manufacturing to leverage growing opportunities for AI and advanced data and possibility. analytics to support digitisation efforts in manufacturing. SOURCE OF CONTENTS With some IoT capabilities already in our smart manufacturing solutions, we worked with some customers to connect their production lines to integrate information collection and analysis.

Marrying these capabilities with machine learning, ASMPT’s ‘AI of Things’ approach represents a fundamental paradigm shift in manufacturing. It

Vol 15 Singapore Semiconductor Voice 33 GROWING THE SEMICONDUCTOR ECOSYSTEM

In recent news, chip shortage World Class Full Turn Key continues to dent new electric vehicle rollout, what is your WLCSP Solution Provider view of the demand and supply of semiconductor?

Asif: The market clearly underestimated the demand for semiconductors, especially for the automotive sector. The automotive market took a significant hit during the first half of 2020 due to COVID19 but the fast recovery during the second half caught many by surprise. The semiconductor companies were also unprepared for the recent acceleration of the sales of electric vehicles. With the demand surging from almost all other market segments as well, the whole semiconductor supply chain is struggling to keep up with the demand not only from the automotive customers but across almost all product markets.

Interestingly, COVID19 had some unintended and perhaps unforeseen, positive consequences for some of the key sectors of the semiconductor market. As companies implemented ‘working from home’ policy for better part of Scan to view our website, utacgroup.com 2020, which is still being continued in most countries, it requires employees to have PCs and laptops, UTAC-2021-CSRAdvert-10Feb-FA.indd 1 10/2/21 4:01 PM significantly increasing the sale of PCs and peripheral equipment. In Enabling WLCSP Full Turnkey 2020, 275 million PCs were shipped, almost a 5% increase from 2019 - highest year over year growth for PC Solution Within The Island shipment during the last ten years. Similarly, due to travel restrictions, Asif Chowdhury is the Senior Vice President of people staying at home got more Marketing and Corporate Business Development at involved in on-line shopping, social UTAC Group located in Singapore. He is also the head media and watching TV series and of UTAC Japan, in charge of Japan sales and business movies in outlets such as Netflix development. Over thirty years of experience in the and Amazon, soaring the demand semiconductor industry, he has worked in various for servers and storage which in turn aspects of semiconductor assembly and test industry including created a significant demand in package design and development, product and business line semiconductor devices, particularly management, R&D and business development. for power products. This created

34 Singapore Semiconductor Voice Vol 15 GROWING THE SEMICONDUCTOR ECOSYSTEM

a demand that out-stripped the back-end operation and now our wafer bumping capacity in the supply across the semiconductor enables us to provide our customer coming years. supply chain. With the dip of one-stop full turnkey solution automotive demand in first half of within the island. We have won What is the opportunity for the 2020, the capacity was allocated several new opportunities in the local workforce? towards some of these other new facility for full turnkey as well market sectors. We believe that as wafer bumping services. Some Asif: We retained most of the the chip shortage, especially for of our existing customers for WLCSP employees when we acquired the the automotive sector, will likely backend are working closely with us wafer bumping facility. We plan to continue through the end of 2021 to do full turnkey in Singapore. increase our capacity through next at a minimum. year. We are also investing in new With the new facility technology related to wafer Understand that UTAC has expansion, how do you think services such as plasma dicing. recently acquired / built a the local SMEs and suppliers can Hence, we plan to hire local new WLCSP facility, how will support UTAC more? semiconductor talents as we this support the immediate grow our wafer bumping business customer demand? Asif: The semiconductor supply in Singapore and introduce new chain is global. However, many advanced process technology. Asif: In January of this year, we of the equipment and material acquired a wafer bumping facility suppliers have significant SOURCE OF CONTENTS in Singapore from a Taiwanese presence in Asia and with many OSAT. We have been doing WLCSP of the SMEs having their Asia back-end processing in Singapore headquarter based in Singapore. for many years including wafer It is very helpful to have this probe. So, the bumping facility direct access to key suppliers nicely complements our WLCSP especially as we plan to increase

SSIA Welcomes New Members INDUSTRY

Virtual Groundbreaking Ceremony on June 22, 2021, attended by GOH Minister S Iswaran and H.E. Khaldoon Khalifa Al Mubarak among others

at all its manufacturing sites in the U.S., Germany and, starting with the GlobalFoundries construction of phase one of its 300mm Fab expansion, Singapore. Transport Minister and Minister-in-charge of Trade Relations Mr S Iswaran New S$5B attended the virtual groundbreaking ceremony in June as the guest-of- honor. He was joined by Managing Director and Group CEO of Mubadala Investment Company H.E. Khaldoon Khalifa Al Mubarak among other Singapore Fab dignitaries as well as key GF executives.

“GlobalFoundries’ investment of over 5 billion Singapore dollars will Ready in 2023 significantly increase its foundry capacity. The semiconductor industry has been in the spotlight in the last year because of the surge in demand Celebrating GF’s first shovel for chips,” said Minister S Iswaran. “It will add another 1,000 jobs directly, in the ground in over a and many more indirectly through the supporting ecosystem of suppliers, decade in Singapore – this contractors and service providers. Many of these are skilled jobs which meet will be the first step of its the aspirations of Singaporeans. Beyond jobs, these investments also enhance plan to expand its global the vibrancy of our economy and help us stay relevant to the world.” manufacturing footprint to meet increasing worldwide “We are committed to partnering industry leaders such as customer demand. GlobalFoundries to address the global demand for semiconductors, especially in growth areas such as artificial intelligence and 5G. The he global demand for semiconductor industry is a key pillar of Singapore’s manufacturing semiconductor chips is sector, and GlobalFoundries’ new fab investment is testament to T growing at an unprecedented Singapore’s attractiveness as a global hub for advanced manufacturing rate, with worldwide semiconductor and innovation. It will help GlobalFoundries’ customers to strengthen revenue projected to increase 2.1 the resilience of their supply chains, and also add to the vibrancy of our times in the next eight years. To economy through the creation of good jobs for Singaporeans and business meet that demand, GlobalFoundries opportunities for our local enterprises,” said Dr Beh Swan Gin, Chairman of has planned capacity expansions the Singapore Economic Development Board.

36 Singapore Semiconductor Voice Vol 15 INDUSTRY

end-markets in the automotive, 5G mobility and secure device segments with long-term customer agreements already in place.”

“With construction already underway, our new Fab adjacent to GF Singapore Woodlands campus has more than 23,000 square meters of cleanroom space is planned to ramp up in 2023. This new Fab will be the most advanced wafer manufacturing facility in Singapore featuring GF’s feature-rich technologies and solutions,” said Mr KC Ang, Senior Vice President of Global Fab Construction of GlobalFoundries’ new Singapore Fab is underway Operations, GlobalFoundries. “This will add a further 450,000 wafers per year, bringing the total capacity in our Singapore operations to approximately 1.5 million (300mm equivalent) wafers per year.”

"On behalf of SSIA, I would like to congratulate GlobalFoundries on their new Fab here in Singapore. This new 300mm facility will provide jobs for Singaporeans and further support the growth of our local ecosystem” said Mr Ang Wee Seng, Executive Director of Singapore Semiconductor Industry Association (SSIA).

Semiconductor chips are more pervasive than ever, becoming one of humankind’s most vital GF Singapore Campus located in the Woodlands Wafer Fab Park resources. From smartphones and automobiles to technology in schools and hospitals, modern society can no longer survive without them. GF is a trusted provider to more than 250 customers worldwide and is investing, in partnership with these customers and regional governments, to expand the capacity of its global manufacturing footprint to help right the demand-supply imbalance.

GF is currently the only semiconductor manufacturer of scale with a global footprint and this investment will play an integral role in meeting the challenge of the global Artist impression of GF’s new Singapore Fab semiconductor shortage.

“GF is meeting the challenge of the global semiconductor shortage SOURCE OF CONTENTS by accelerating our investments around the world. Working in close collaboration with our customers and the Government of Singapore is a recipe for success that we are pioneering here and looking forward to replicating in the U.S and Europe,” said GF CEO, Mr Tom Caulfield. “Our new facility in Singapore will support fast-growing

Vol 15 Singapore Semiconductor Voice 37 INDUSTRY

they can be a source of contamination and they have the potential to change the chamber induction or conductance which can affect the plasma processing. Build-up is mostly managed through sophisticated plasma clean cycles but at some point, the chamber will need scheduled preventative maintenance. Preventative maintenance has seen a dramatic increase in steps and required precision. In the year 2000 there were typically around 35 steps needed for maintenance, nowadays this can exceed 150 steps and the precision required is so much higher. As a consequence, the failure rate of maintenance can often be as high as 30%, which is not only a loss of production, but a significant cost increase in wasted spare parts and test wafers.

Reactive Maintenance Repair equipment when it Chipmakers Achieve Higher has failed Equipment Availability Preventative with Lam’s WCO Maintenance Perform tasks periodically Preventative Maintenance with the goal of preventing equipment failure “What is wet, but dry?” might sound like a riddle, and the answer for plasma process tools are “wet cleans,” an important type of preventative maintenance. The term “wet clean” comes from the Wet Clean Optimization early days of the industry when preventative maintenance meant disassembling the reaction chamber, submerging the quartz parts Lam’s solution is a service offering called in acids, rinsing, drying and then reassembling the chamber. In ‘Wet Clean Optimization,’ or WCO, which today’s high precision maintenance for process tools the only helps eliminate human variability and wet chemistry usually used is a lint-free wipe, moistened with increases the success rate of preventative deionized-water, but the name persists. So, maintenance is called maintenance. WCO has been adopted on a “wet,” but is actually “dry.” significant number of etch and deposition chambers worldwide solving several customer The goal of preventative maintenance is to keep the equipment problems, such as increasing production and running to specifications and to minimize any unplanned significantly reducing wasted spending. downtime, which is typically very disruptive, expensive and results This holistic solution combines specialized in lost production. Regularly changing the engine oil, for example, tooling, multi–media step-by-step is key to maximizing the life and performance of a car. Over the instructions in local languages, data course of etching thousands of wafers, polymers of the removed analytics, and support from an expert to material build up on the plasma process chamber surfaces. diagnose problems with maintenance and to The polymer build-up needs to be managed for two reasons; drive continuous improvement.

38 Singapore Semiconductor Voice Vol 15 INDUSTRY

Specialized Tooling: Having the Scenarios reduction in maintenance time right tools at hand greatly increases variability. productivity and efficiency, as well as To better understand the power of worker safety. The WCO service cart WCO, let’s take a look at a couple Summary ensures the right tools, both standard of scenarios. and custom, are available where and WCO is an approach to help when they are needed. Chipmaker A was experiencing customers ensure they are unpredictable wet clean successful and self-sufficient with Multi-media Step-by-Step performance of its plasma etch their preventative maintenance. This Instructions: The step-by-step tools, which was affecting tool is enabled through the integration procedures and easy to follow availability. The fab manager of Lam’s proprietary software, data documentation are invaluable to understood it was due to the high analytics and certified video-based the maintenance teams who are workforce turnover, but was unable cleaning procedures. WCO is part commonly faced with the challenges to improve the situation with of a larger portfolio of Equipment associated with a high turnover of in-house training or other actions. Intelligence® productivity solutions, technicians performing preventative With WCO, new employees simply all built on a foundation of Lam tool maintenance – this can be as high as followed the step-by-step on- knowledge and data. 100% over 18 months. screen instructions in their local language and consistent WCO Data Analytics: A key part of WCO wet clean performance was ࡟ Quickly achieve is the included data analytics, quickly achieved. Yield excursion consistent wet which takes wet cleaning data and rates decreased, and tool uptime clean performance transforms them into information that increased – both from faster ࡟ Improve tool can be used. A critical requirement wet clean times and from fewer productivity to be able to drive continuous unscheduled tool down situations. ࡟ Increase tool improvement is to have quality uptime analytics to identify the areas in need Chipmaker B was ramping up a ࡟ Decrease yield excursions of most improvement and a way to new chamber type and wanted to measure and quantify improvements. achieve high productivity as quickly SOURCE OF CONTENTS as possible. By implementing the Expert Support: A specialist best-known methods provided by engineer drives accountability, WCO, staff were quickly trained. investigates mistakes and initiates The new chambers rapidly achieved systematic improvements. high, consistent uptimes and a

Vol 15 Singapore Semiconductor Voice 39 INDUSTRY Lumileds - Commitment to Sustainability Lumileds Singapore Pte. Ltd., 190 Yishun Avenue 7, Singapore 768925. Lumileds is a global light engine leader, Website: www.Lumileds.com delivering innovative, high-quality and sustainable LEDs and automotive lighting in 2015 and Public Utilities Board (PUB) awarded Lumileds with to enable the inventors of next generation Watermark Awards “GOLD for Water Efficient Building” in 2012, illumination to create truly breakthrough 2013 and 2016. products. Lumileds is committed to protecting health and safety of our employees, contractors, customers and visitors; minimizing the impact of our environmental footprint; and delivering quality products that enable the transition to a low-carbon, green economy. Our approach is to integrate EHS aspects into our business processes and decision making for innovations, operations and supplier management.

Recognition for Sustainability efforts

Lumileds Singapore has been recognized for Process Nitrogen Plant its continuous improvement on sustainability management and performance over the years. Energy Conservation Programs Lumileds was the first manufacturing plant awarded with Building Control Authority (BCA)’s PROCESS NITROGEN PLANT INSTALLATION AND OPTIMIZATION: “GREEN MARK PLATINUM” award in 2014, for Lumileds used to haul in liquid nitrogen almost daily, to support its green credentials and it was subsequently usage in production tools. To make things greener (reduction of renewed in 2018 and recently in 2021. CO2 emission from LN2 tanker) and cost effective, on site Nitrogen Gas generation plant which offers a low cost, reliable, flexible and efficient means to deliver consistent, high quality gaseous nitrogen with cryogenic purity was installed.

With a capacity of 1800CMH (2 X 900CMH Compressors) the plant can be operated in two running modes. Energy usage in Mode-1 (1 compressor) & Mode-2 (2 compressors) are 350KW & 690KW respectively. Earlier, there was a need to run the plant in Mode-2 when nitrogen usage crosses 1050CMH. To prolong the need to operate the plant in Mode-2, Lumileds reduced the nitrogen usage onsite and increased the nitrogen generation National Environmental Agency (NEA) of capacity of the compressors from 900CMH to 1030CMH, by Singapore recognized Lumileds with “ENERGY increasing the plant extraction ratio from 0.38 to 0.395. That has EFFICIENCY NATIONAL PARTNESHIP (EENP)” shifted Mode-2 operation to 1200CMH which delays the need to award for ‘Excellence in Energy Management’ run the 2nd compressor.

40 Singapore Semiconductor Voice Vol 15 INDUSTRY

0.65KW/RT 0.63KW/RT

5.8oC 7.0oC

Chilled water temperature (oC)8 3 4 5 6 7 8 9 10 11 12 13 14 15

MEES Level (kW/RT) 0.71 0.70 0.69 0.68 0.67 0.66 0.65 0.64 0.63 0.62 0.61 0.59 0.58

According to major chiller suppliers, the chiller efficiency can differ by 2-5% for each 1˚C deviation in chilled water supply temperature

PROCESS VACUUM PUMP fresh air intake) in achieving overall System were UPGRADING: cooling load savings of 100RT. The installed to Lumileds used to have 11set of oil cooling load study also helped treat the PFC sealed rotary vane process vacuum in optimizing the supply air duct gases (C4F8, pumps located at different floor configuration by re-engineering the SF6, N2O) levels, to supply vacuum pressure of duct work which in turn optimized in the waste -25inHg to production tools through AHUs/MAUs cooling capacity and air stream process vacuum network at level resulting in shutting down of AHUs/ from CVDC 2, 3 and 4, with the total energy MAUs. Installation of UV-C tubes at production consumption of 52KW. After the AHUs/MAUs cooling coil improved the tools, in order vacuum load profile study, it was efficiency of AHUs/MAUs by keeping to reduce the found that the system configuration the coil clean from bio fouling which overall carbon Plasma Abatement was inefficient. Lumileds explored in turn reduces the pressure drop emission System alternative technology and adopted across the coil and reduces the before 2 units of VSD screw compressor downtime for maintenance. discharging to atmosphere. with better operating efficiency Plasma Abatement System with of 0.018KW/CMH over the fixed Through the air supply optimization the Destruction Removal Efficiency speed rotary vane of 0.024KW/ program, an energy savings of 70KW (DRE) of 95%, is helping Lumileds CMH @ peak load of 2210CMH. This with an estimated cost saving of Singapore, in reducing the carbon installation also helped Lumileds $90,000 per annum was achieved. emission by >90%, from the initial in eliminating smaller individual level of 63.4KTons CO2. vacuum pumps attached to the Chiller Plant Optimization: production equipment by supplying After the completion of Plant Lumileds is committed to vacuum from the central network. Cooling load optimization, Lumileds provide a safe & healthy workplace Achieved an overall energy savings has increased the chilled water through compliance & risk of 35KW, with an estimated cost leaving temperature set points for management and evaluation of savings of $45,000 per annum. Chillers, from 5.8deg C to 7.0deg environmental impact over the C gradually, which improved the life cycle of a product, taking PLANT COOLING LOAD Chilled water plant efficiency from steps towards energy efficiency, OPTIMIZATION: 0.65KW/RT to 0.63KW/RT, which more effective use of materials, Lumileds took a holistic approach in exceeds well above the Minimum extension of lifetime and reducing or optimizing the plant cooling load, Energy Efficiency Standards (MEES) eliminating hazardous substances. starting from air supply optimization of 0.67KW/RT @7.0deg C, set by NEA Also, by setting challenging to chilled water system optimization. (refer to the table). targets and promote a Plan-Do- The air supply optimization was Check-Act systematic approach achieved through minimizing Carbon Emission reduction at all levels in the organization to air leakages from pressurised ensure continual improvement. cleanroom, door gaps to corridors Plasma Abatement System: using cost effective door seal and Lumileds Singapore embarked SOURCE OF CONTENTS by performing building cooling on carbon emission reduction in load study followed by AHUs/MAUs the manufacturing operation to optimization (reducing fan speed/ minimize the contribution to global shutting down AHUs/ reducing warming. Plasma Abatement

Vol 15 Singapore Semiconductor Voice 41 INDUSTRY

wastewater, or developing advanced water reuse strategies, Meeting Environmental Social or offering water footprint audit services.

Governance Goals with Water A common misconception that people have about environmentally Recycling & Reuse friendly technologies, such as those for water reclamation and recycling, is that such solutions require high CAPEX to implement and What is Environmental impact of emerging issues — such involve greater effort and OPEX to Social Governance (ESG) and as water scarcity. Businesses maintain. This impression is largely why is it important for the are also motivated to adopt ESG contributed by reverse osmosis and semiconductor industry to practices as it affects their ability ultrafilter membrane technologies. address sustainability? to secure financing, as banks have However, water recycling through increasingly incorporated it as non-membrane processes tends to Environmental Social Governance an investment criteria to manage be less costly and can better meet (ESG) can be defined as corporate their risks. a company’s sustainability and cost governance and its impact on social efficiency goals. In fact, Veolia’s and sustainability Semiconductor manufacturing non-membrane solutions — such matters. Good ESG requires large volumes of ultrapure as the Actiflo® clarifier, Hydrotech practices can help water. We have seen TSMC taking discfilter, and Service Deionization — companies build a proactive stance on wastewater offer up to 95% recovery, consumes resilience treatment and water reuse in extremely low energy, and requires by getting tackling the recent drought in minimal chemicals. This allows them Taiwan 2 months ago. In a recent businesses to save on energy and ready news report, TSMC will gradually chemical consumption, reduce for the ramp up the treatment capacity of the need for clean-in-place or industrial wastewater and aim to chemical enhanced backwashes, generate 67,000 tons of water daily and to address their ESG goals for the chip making process by 2024. simultaneously.

How can Veolia help To learn how we can help you meet manufacturers achieve their your sustainability goals, visit www. ESG goals? veoliawatertechnologies.com/ asia/ or contact Mehbub Khan, at Veolia’s proven technologies [email protected]. are designed to deal with the significant challenges faced by the semiconductor industry — whether it is providing large volumes of ultrapure water and process water, managing

ABOUT THE AUTHOR

Mehbub Khan Asia Pacific Market Manager, Microelectronics, Veolia Water Technologies

42 Singapore Semiconductor Voice Vol 15 INDUSTRY

Board (EDB), Enterprise Singapore (ESG), JTC Corporation (JTC), ITAP 2021 - An Inspired Vision SkillsFuture Singapore (SSG) and the Singapore Tourism Board In an ever-changing and challenging landscape, manufacturers (STB) along with their regional are in urgent need of Industry 4.0 solutions to help future-proof and counterparts as well as global build a resilient business. Over the next decade, the ASEAN industry leaders and key players in manufacturing bloc is forecast to grow at an average annual rate of the region’s business ecosystem. It 4.9 per cent per annum to reach US$4.9 trillion. Despite setbacks in the will forge ahead with a hybrid event, region, Vietnam, Thailand, Singapore, Malaysia, and Indonesia have maximising physical and digital seen a high bounce-back-ability due to adaptability and integration spaces with regional outreach of technology solutions for cost and quality considerations. and physical showcase that bring together stakeholders and targeted nto its 4th edition, the Industrial Transformation ASIA-PACIFIC – a audience groups for networking, HANNOVER MESSE event happening from 22-24 November 2021, is an knowledge transfer opportunities to I essential milestone for businesses to build a good core of talent and prepare, adopt and sustain efforts tech capabilities and, more importantly, to sustain these efforts vis-à-vis for the Future of Manufacturing. evolving customer needs. Find out more at https://industrial- With ‘Stepping Up Capabilities with Industry 4.0’ as the driving theme, transformation.com/. the event focuses on driving transformation to help businesses to START, SCALE and SUSTAIN their journey in industry 4.0, starting from People to SOURCE OF CONTENTS Technology, the event aims to inspire and prepare future workforces with the right technologies and skillsets.

Organised by Constellar and Deutsche Messe, the international partner and organiser of the world-renowned Hannover Messe, this event is strongly supported by various government agencies, including Singapore’s Agency for Science, Technology and Research (A*STAR), Economic Development

Vol 15 Singapore Semiconductor Voice 43 INDUSTRY

Semiconductor Tradewinds May/June 2021

As we approach the half way point in 2021, it has been a good year so far for the semiconductor industry, with semiconductor demand outstripping supply across almost all segments, and the outlook still looks good.

lobal sales of semiconductors increased 3.6% in Q1 2021 G compared to Q4, rising to US$123 billion according to the Semiconductor Industry Association. This is up around 20%, compared to Q1 2020 when the pandemic hit. Intel retained its top spot as the leading UMC, GlobalFoundries and SMIC among the top 5 foundries semiconductor manufacturer in terms of according to Trendforce. Only Samsung and GlobalFoundries revenue in Q1 but Samsung gained on Intel posted a revenue drop for 1Q21 due to Samsung’s Austin Fab and may take top spot in Q2 due to the power outage and the GF’s sale of Fab3E to VIS, respectively. resurging memory market. The equipment market had a good Q1 with global equipment Trendforce reported that revenues of the top 10 largest billing increasing 21% compared to Q4 to packaging/testing OSAT (outsourced semiconductor assembly US$23.6 billion with South Korea reporting the and test) companies reached US$7.17 billion in 1Q21. ASE biggest increase in equipment spending. took top spot with 23.5% market share followed by Amkor, JCET, SPIL and PTI. IC Insights has raised its 2021 forecast, predicting the global IC market will exceed Boom in Fab Construction Announced US$500 billion for the first time this year, and they are predicting further growth in The automotive chip shortage caused most major automotive 2022 and 2023 with sales exceeding US$600 makers around the world to continue scheduling short production billion in 2023. line shutdowns due to the shortage of chips. Despite the shortage of chips, automakers worldwide are expected to sell around 87 Trendforce is forecasting the overall LED million cars this year, almost equaling the number of cars sold in market will grow 8.8% this year to US$16.5 pre-pandemic 2019. The chip shortage is also impacting many billion mainly due to strong demand from other segments with components limiting supply of everything automotive/mini LED applications. from mobile phones to consumer electronic goods.

The top 10 foundries managed 1% quarter on This shortage has spurred a great increase in the amount of capex quarter growth in the traditionally slow Q1 being announced worldwide. Most foundries and OSATs have with total revenue of US$22.7 billion, and Q2 announced plans to increase capacity of existing lines this year. revenue is forecast to increase a further 1~3% In addition, according to SEMI, there are 29 new Fabs that are QoQ. TSMC took top position in the foundry planned to start construction either this year or next, 19 of which market with 55% market share with Samsung, will start construction in 2021, most of which will be 300mm Fabs.

44 Singapore Semiconductor Voice Vol 15 INDUSTRY

China and Taiwan will account for to around 1.5 million wafers/ Senate in June. In addition, a the majority of Fabs built with 8 year. Whilst in Malaysia, Austrian bipartisan group of U.S. senators new Fabs planned in each country company AT&S have announced in June proposed a 25% tax over the next 2 years, with America they will build a new US$2.1 billion credit for investments in accounting for a further 6 Fabs. Half PCB & IC substrate production semiconductor manufacturing. of the Fabs built will be foundries plant in Kulim, Kedah. Construction with memory Fabs accounting for a will start in 2nd half of 2021 with South Korea unveiled the further 4. production targeted for 2024. In K-semiconductor blueprint offering addition, equipment supplier LAM US$450 billion in government All this new construction bodes Research is scheduled to start subsidies and tax incentives very well for the equipment operations at its new US$250 until 2030 aimed at keeping industry over the next few years. million semiconductor equipment South Korea's position as a chip Whilst most equipment will only production facility in Penang in the powerhouse. start to be installed from 2023 2nd half of this year. onwards, the next few years will Whilst in China, President Xi has also see high equipment demand Governments jostle to attract appointed Vice Premier Liu He to from manufacturers increasing future Semiconductor lead the development of ‘third- the capacity of existing lines. It is Manufacturing Investment generation’ chips, as he renews expected that equipment spending China’s push to make China self- will exceed US$140 billion/year for As the chip shortage has highlighted sufficient in semiconductors. the next few years. the dependance on Asia for chip manufacturing, governments Outlook In South East Asia, construction around the world continue to try on 2 new large semiconductor to attract new semiconductor Looking ahead the semiconductor facilities have been announced. manufacturing investment market outlook overall looks very GlobalFoundries has started locally. The US continues to inch good for 2021 and the current strong construction of a new US$4 billion forward to approve its bill to fund chip demand should continue at 300mm Fab at its Woodlands US$52 billion in grants for US least until the end of the year. With campus in Singapore. The fully based semiconductor-related semiconductors increasing capex automated new Fab will have manufacturing and R&D programs. this year to increase capacity, the capacity for 450,000 wafers/year The US bill has undergone another outlook for the equipment industry when it is fully on line creating name change and is now known as also looks good and should continue 1000 new jobs, and increase the US Innovation and Competition for the coming years with the GlobalFoundries Singapore capacity Act which was passed in the boom in Fab construction breaking ground this year and next starting to come on line from 2023 onwards. The strong demand creates lots of supply chain issues but it is a good problem to have.

ABOUT THE AUTHOR

Mark Dyson Head of Global Subcon Manufacturing of Osram Optoelectronics

Vol 15 Singapore Semiconductor Voice 45 INDUSTRY

capital expenditure investment in specialized equipment to run the process. With the semi-sintering technology, the industry can have a high thermal conductivity material which could be processed in standard atmospheric pressure just like a polymer-based die attach material. The semi sintered material is also able to meet automotive grade 0 reliability and is an ideal material for emerging high-power electronics.

What are some of the advanced packaging trends you are seeing in the semiconductor industry?

From a material standpoint, for the automotive segment, the Henkel Adhesives trend is tipping towards ultra- high thermal conductivity for solder replacement. Solder had Electronics – served the semiconductor industry well for power packages and Cu clip packages for years, however, environmental concerns and A Partner in Advanced reliability limitations have resulted into search for alternative solution. Packaging Solution Semiconductor sensors manufacturing have become Today, we have Kevin Tan of Henkel Electronics, Head of Sales for extremely important. In sensors Singapore, Malaysia, and Indonesia in the electronics business packaging, high refractive index unit. He oversees the business maintenance in the three countries material is an increasingly and formulate the strategies to achieve sustained growth important trend particularly in for the company. devices that relates to light sensing. In this segment, a high degree of What are the latest technology that Henkel customization for adhesive material Electronics Business Unit is bringing to the is required as every device has industry? slightly different criterion.

Henkel Electronics brings innovative adhesive The consumer segment is more solutions to accelerate electronics evolution. The adventurous in terms of packaging latest is the Semi-Sintering paste technology trends and is the most active which is a polymer resin matrix blended in wafer level packing to drive with sintering material. This technology miniaturization and achieve Moore’s is set to be a game changer in the high law. Fan-in/out Liquid compression thermal conductivity realm. Traditional molding and wafer backside full sintering material requires attachment coating materials are key enablers to either Gold or Silver surface and high for this trend.

46 Singapore Semiconductor Voice Vol 15 INDUSTRY

ORGANIC DIE ATTACH for innovation. As a global company, we have demonstrated great leverage for business continuity even during times of crisis. Our procurement and business support team spans throughout the globe, giving us great adaptability to the ever-changing supply conditions, hence giving our customers a peace of mind.

Traditional die attach with silver flakes. Our dedication to innovation sets us Where do you see the industry Limitations in thermal conductivity apart from competitors, spending a moving for Henkel Electronics? significant portion of retain capital SEMI SINTERING on Research and Development. The mega trends in the industry are Customers see us more as a the advent of electronic vehicles solutions provider rather than a (EV), 5G telecommunications material supplier as we co-develop and integrated electronics. The the whole process from application number of electronic components to testing with them. In EVs is exponential compared to traditional vehicles. The Who are some of Henkel components also have more Electronics's customers and functionalities, requiring complex the reason for their long-term packaging methodology. Die partnership? thickness is getting thinner due to miniaturization and hence die Dense Silver structure with Almost all the top Integrated Device attach solutions with controlled “micropores” filled with Resin. Improve Manufacturers are our customers bond line thickness and without compatibility to different surfaces and for more than twenty years. Their overflow is becoming ever critical. excellent thermal cycling performance long-term partnership with Henkel Optics in electronics is also gaining stems from our dedication on prominence because they are FULL SINTERING customized development for our used in Radars and Cameras in customers. We set up regular electronic vehicles and these entail roadmap sharing sessions to novel adhesive solutions. For 5G understand package development telecommunications, the industry direction from our customers, which is moving toward higher switching we then translate into specific speeds using SiC and GaN dies. quality characteristics required from This results in drastic increased the adhesive perspective. demands for heat dissipation which in turn translate into higher thermal We reach out into our chemistry conductivity gap pads/fillers. To toolbox and work with the summarise, the industry is moving customers to provide an entire towards technology improvement Dense silver structure with micropores. solution for them. In addition, during in Thermal, Optics and Thin Die Micro-pores could cause reliability the evaluations of our material, attach solutions. issues during thermal cycling Henkel also sends field engineers to the customer line to define and SOURCE OF CONTENTS What is Henkel's edge? optimize the process together with the customer engineers. Henkel has been in the adhesive In summary, Henkel Electronics market with strong reputation for customers value the customized reliability and a proven track record innovation that we provide.

Vol 15 Singapore Semiconductor Voice 47 INDUSTRY

in the nation, strong public ASU plans to build on this growth even further. In the fall, the university sector support and the engaged will launch Fulton’s newest school, the School of Manufacturing participation from industry Systems and Networks, which will prominently focus on the growing partners. semiconductor sector. ARIZONA BECOMES Recently, labor market analyst With renewed attention from the public and policymakers alike, there Emsi ranked the Phoenix metro may be no better time for advanced manufacturing investments in “U.S. SEMICONDUCTOR CENTRAL” area No. 1—again—on its 2020 Arizona. Talent Attraction Scorecard for the region’s ability to attract and THE SEMICONDUCTOR TALENT As manufacturers from Detroit to Bengaluru look to the world’s largest In 1980, Intel launched its Fab retain high-quality workers. This semiconductor makers to fill the high demand for microchips, those 6 and Assembly Test facility in ranking was driven by Phoenix’s EPICENTER IS IN ARIZONA companies are increasingly looking at one place: Arizona. Chandler. Among Intel’s fabs No. 1 regional competitiveness score and 18% growth in skilled Already a top-five state for semiconductor employment, Arizona currently in the state is Fab 42, #1 #1 jobs. has made global headlines lately for landing two record-breaking the company’s largest chipmaking County for Attracting and Fastest-Growing semiconductor projects. factory in the U.S. and said to be Retaining High Quality County: Maricopa County the most advanced in the world. Workers: Maricopa County (U.S. Census Bureau, 2019) In May 2020, Taiwan Semiconductor Manufacturing Company (TSMC) TOP PROGRAMS With its latest expansion, Intel will (Emsi, 2020) FOR announced it had selected Phoenix as the site of the company’s new employ nearly 16,000 Arizonans TOP ENGINEERS fabrication facility. The company’s $12 billion investment is projected and will have invested more than to create more than 1,600 high-tech jobs and helped make Arizona the $50 billion in the state. #1 MOST INNOVATIVE Net Migration: Phoenix Metro Area number one U.S. state for Foreign Direct Investment in 2020. UNIVERSITY #1 (U.S. Census Bureau, 2019) Additional semiconductor industry ahead of Stanford & MIT More recently, leading U.S. chipmaker Intel announced in March 2021 a leaders operating in the state new $20 billion investment to build two new semiconductor fabs east include NXP, ON Semiconductor, 2ND MOST ENGINEERING of Phoenix, leading to 3,000 new jobs. Intel’s expansion in Chandler, Qualcomm, Microchip, Benchmark GRADUATES #2 #2 State for Job Growth State with the Fastest-Growing Arizona—where it operates four high-tech fabs already—represents the Electronics, and Broadcom. with a master’s or doctorate largest private sector investment in state history. degree in the western (Bureau of Labor Population in the U.S. In total, more than 200 United States Statistics, 2019) (U.S. Census Bureau, 2020 estimates) HISTORIC ARIZONA ANNOUNCEMENTS AIM TO MEET semiconductor manufacturing HEIGHTENED DEMAND establishments currently employ NEARLY 9,000 more than 22,000 people in GRADUATES Announced just ten months apart, these massive projects sent the state. It should come as in relevant fields for SMART POLICY DRIVING FURTHER INVESTMENTS shockwaves around the world and placed Arizona at the epicenter of the semiconductor no surprise, then, that Forbes the race to meet heightened chip demand. industry in 2019 In June, the U.S. Senate passed the United States Innovation and recently labeled Arizona, “U.S. Competition Act of 2021 with bipartisan support. The legislation How does one state land not one but two of the world’s largest Semiconductor Central.” includes $52 billion to boost domestic semiconductor manufacturing semiconductor investments to date? Industry leaders point to a robust NEARLY 25,000 STUDENTS enrolled in and research and has been a priority of President Joe Biden as well as and high-tech workforce, ample energy, plenty of open space along A WORKFORCE FUELED BY Arizona State University congressional leaders. with miles of modern transportation infrastructure, an environment INNOVATION engineering programs relatively free of natural disasters, and a thriving innovation ecosystem With thousands of new jobs in the (170% increase since 2010) Arizona also has taken recent steps to improve the state’s already top- that includes some of the world’s top research universities. A strong semiconductor industry coming ten status for business investment. In June, legislative leaders and the base of semiconductor talent and expertise also plays a central role. online over the next few years, Driving this talent growth are state’s governor, Doug Ducey, passed historic tax reform legislation that reduces rates for all taxpayers and decreases commercial and industrial As far back as the 1950s, Motorola was developing groundbreaking the United States’ second fastest universities such as Arizona State property taxes. transistors at its research and development lab in Phoenix. Motorola’s growing state stands ready to University (ASU), which has been operations would expand to three manufacturing sites across the meet the workforce demands of named America’s most innovative Combined with the state’s decades-long leadership in the global metro area. today and tomorrow. university six years in a row by U.S. semiconductor industry, these reforms have Arizona poised for further News and World Report. explosive manufacturing growth. ARIZONA’S RECENT RECORD BREAKING PROJECTS Arizona is home to one of the world’s most robust and At ASU’s Fulton School of In a world marked by SOURCE OF CONTENTS diverse workforces. The state’s Engineering, the largest uncertainty, there’s one thing $20 BILLION $12 BILLION interconnected talent pipeline engineering school in the country, business leaders and investors INVESTMENT WITH INVESTMENT WITH includes world class public nearly 25,000 students are can count on: Arizona’s impact 3,000 PROJECTED 1,600 PROJECTED NEW JOBS NEW JOBS universities, some of the largest enrolled in engineering programs on the semiconductor industry community college districts —a 170% increase since 2010. is only just beginning.

48 Singapore Semiconductor Voice Vol 15 INDUSTRY

in the nation, strong public ASU plans to build on this growth even further. In the fall, the university sector support and the engaged will launch Fulton’s newest school, the School of Manufacturing participation from industry Systems and Networks, which will prominently focus on the growing partners. semiconductor sector. ARIZONA BECOMES Recently, labor market analyst With renewed attention from the public and policymakers alike, there Emsi ranked the Phoenix metro may be no better time for advanced manufacturing investments in “U.S. SEMICONDUCTOR CENTRAL” area No. 1—again—on its 2020 Arizona. Talent Attraction Scorecard for the region’s ability to attract and THE SEMICONDUCTOR TALENT As manufacturers from Detroit to Bengaluru look to the world’s largest In 1980, Intel launched its Fab retain high-quality workers. This semiconductor makers to fill the high demand for microchips, those 6 and Assembly Test facility in ranking was driven by Phoenix’s EPICENTER IS IN ARIZONA companies are increasingly looking at one place: Arizona. Chandler. Among Intel’s fabs No. 1 regional competitiveness score and 18% growth in skilled Already a top-five state for semiconductor employment, Arizona currently in the state is Fab 42, #1 #1 jobs. has made global headlines lately for landing two record-breaking the company’s largest chipmaking County for Attracting and Fastest-Growing semiconductor projects. factory in the U.S. and said to be Retaining High Quality County: Maricopa County the most advanced in the world. Workers: Maricopa County (U.S. Census Bureau, 2019) In May 2020, Taiwan Semiconductor Manufacturing Company (TSMC) TOP PROGRAMS With its latest expansion, Intel will (Emsi, 2020) FOR announced it had selected Phoenix as the site of the company’s new employ nearly 16,000 Arizonans TOP ENGINEERS fabrication facility. The company’s $12 billion investment is projected and will have invested more than to create more than 1,600 high-tech jobs and helped make Arizona the $50 billion in the state. #1 MOST INNOVATIVE Net Migration: Phoenix Metro Area number one U.S. state for Foreign Direct Investment in 2020. UNIVERSITY #1 (U.S. Census Bureau, 2019) Additional semiconductor industry ahead of Stanford & MIT More recently, leading U.S. chipmaker Intel announced in March 2021 a leaders operating in the state new $20 billion investment to build two new semiconductor fabs east include NXP, ON Semiconductor, 2ND MOST ENGINEERING of Phoenix, leading to 3,000 new jobs. Intel’s expansion in Chandler, Qualcomm, Microchip, Benchmark GRADUATES #2 #2 State for Job Growth State with the Fastest-Growing Arizona—where it operates four high-tech fabs already—represents the Electronics, and Broadcom. with a master’s or doctorate largest private sector investment in state history. degree in the western (Bureau of Labor Population in the U.S. In total, more than 200 United States Statistics, 2019) (U.S. Census Bureau, 2020 estimates) HISTORIC ARIZONA ANNOUNCEMENTS AIM TO MEET semiconductor manufacturing HEIGHTENED DEMAND establishments currently employ NEARLY 9,000 more than 22,000 people in GRADUATES Announced just ten months apart, these massive projects sent the state. It should come as in relevant fields for SMART POLICY DRIVING FURTHER INVESTMENTS shockwaves around the world and placed Arizona at the epicenter of the semiconductor no surprise, then, that Forbes the race to meet heightened chip demand. industry in 2019 In June, the U.S. Senate passed the United States Innovation and recently labeled Arizona, “U.S. Competition Act of 2021 with bipartisan support. The legislation How does one state land not one but two of the world’s largest Semiconductor Central.” includes $52 billion to boost domestic semiconductor manufacturing semiconductor investments to date? Industry leaders point to a robust NEARLY 25,000 STUDENTS enrolled in and research and has been a priority of President Joe Biden as well as and high-tech workforce, ample energy, plenty of open space along A WORKFORCE FUELED BY Arizona State University congressional leaders. with miles of modern transportation infrastructure, an environment INNOVATION engineering programs relatively free of natural disasters, and a thriving innovation ecosystem With thousands of new jobs in the (170% increase since 2010) Arizona also has taken recent steps to improve the state’s already top- that includes some of the world’s top research universities. A strong semiconductor industry coming ten status for business investment. In June, legislative leaders and the base of semiconductor talent and expertise also plays a central role. online over the next few years, Driving this talent growth are state’s governor, Doug Ducey, passed historic tax reform legislation that reduces rates for all taxpayers and decreases commercial and industrial As far back as the 1950s, Motorola was developing groundbreaking the United States’ second fastest universities such as Arizona State property taxes. transistors at its research and development lab in Phoenix. Motorola’s growing state stands ready to University (ASU), which has been operations would expand to three manufacturing sites across the meet the workforce demands of named America’s most innovative Combined with the state’s decades-long leadership in the global metro area. today and tomorrow. university six years in a row by U.S. semiconductor industry, these reforms have Arizona poised for further News and World Report. explosive manufacturing growth. ARIZONA’S RECENT RECORD BREAKING PROJECTS Arizona is home to one of the world’s most robust and At ASU’s Fulton School of In a world marked by SOURCE OF CONTENTS diverse workforces. The state’s Engineering, the largest uncertainty, there’s one thing $20 BILLION $12 BILLION interconnected talent pipeline engineering school in the country, business leaders and investors INVESTMENT WITH INVESTMENT WITH includes world class public nearly 25,000 students are can count on: Arizona’s impact 3,000 PROJECTED 1,600 PROJECTED NEW JOBS NEW JOBS universities, some of the largest enrolled in engineering programs on the semiconductor industry community college districts —a 170% increase since 2010. is only just beginning.

Vol 15 Singapore Semiconductor Voice 49 INDUSTRY

While current mobile networks engage two senses — sight and sound — 6G could lay the foundation for the “Internet of Senses”, which will rely on virtual/augmented reality and artificial intelligence to expand the spectrum of digital sensory experiences. For instance, 6G’s extremely low latency may realistically recreate tactile sensations that take our bodies milliseconds to register.

Prof Quek says that there is much work to be done before 6G becomes a reality. “6G will be commercially available in 2030, but we do not yet have a clear picture of the technology—this is the biggest challenge that all researchers are 6G and Beyond: The facing now,” he explained.

As the fifth-most influential research Journey Starts Now institution in telecommunications globally, SUTD is set to play a key At the International Workshop on Future Communications, experts role in driving future communications emphasised the key role of emerging technologies like 6G in driving research. “In our next phase of a more connected, digitalised world. growth, SUTD will be actively seeking out partners to identify and seize t the International Workshop on Future Communications, opportunities, leveraging upon our leading scientists across the industry and academe discussed 6G unique value proposition in twinning networks and the possibilities offered by emerging technologies. technology and entrepreneurship in A co-advancing innovation,” explained DON’T LET THE PANDEMIC STOP YOU Aside from 6G, speakers also delved into topics ranging from blockchain- empowered Internet of Things networks to optimal design frameworks Prof Yeo Kiat Seng, SUTD Associate for machine-to-machine communications. The workshop was organised Provost for Research and International FROM REACHING YOUR CLIENTS. by the Singapore University of Technology and Design’s (SUTD) Office of Relations during his opening address Research, on 23 and 24 June 2021. at the workshop. “The future communications workshop will Beyond ultra- enable SUTD to build new networks to fast streaming, facilitate innovation going forward.” MEDIA KNOWS NO BOUNDARIES. there are several reasons driving To read the full article, please the need for visit SUTD website https://www. 6G, highlighted sutd.edu.sg/About/happenings/ SUTD’s Professor News/2021/7/6G-and-beyond-the- Tony Quek, journey-starts-now Head of the Information SOURCE OF CONTENTS Systems Technology and Prof Yeo Kiat Seng, Associate Provost for Research and Design pillar, in International Relations, SUTD, gave the opening address at his talk. the workshop. Elevate your content with us www.redinoxsolutions.com [email protected] 50 Singapore Semiconductor Voice Vol 15 Create. DON’T LET THE PANDEMIC STOP YOU FROM REACHING YOUR CLIENTS.

MEDIA KNOWS NO BOUNDARIES.

Elevate your content with us www.redinoxsolutions.com [email protected] Create. PEOPLE

We all have heard of the term intrapreneur, and if you want to be one, the question is, whom could you learn Wisdom of a from to ensure greater success? Well, I decided that an entrepreneur has the same skill sets that you should be emulating, so let's take a peek at how they think and Homegrown Tech bring an idea to market. interviewed Deb Mazumdar, the co- founder of Optimax Group Singapore I and Newton's Meter Singapore (www. Start-Up Expert newtonsmeter.com). He is a hands-on professional with an impressive 22 years of diversified global working experience with Dow Chemicals / Sino Chem, Asian Development Bank, and R.C.L. Besides, he has ten years of managing implementation of consulting services delivery and 11 technical patents.

So, he seemed the perfect person for me to find out "How are Tech Start-ups different from other Start-ups?”

He related there were three distinct differences.

The first was what the product looks like, that is, the proposed physical features of the proof of concept (P.O.C.). The second is the complexity of the engineering design, and thirdly thinking about how to scale the product and the associated manufacturing challenges from D.F.A. to D.F.M.

So as an intrapreneur, you have to think through in its entirety all the potential challenges that you might face, especially if you need to sell the idea to senior management.

Then I asked him what was needed to ensure the idea for a product was to be successful. He provided three more suggestions and something to watch out for.

First suggestion, have a clear proof of concept. Second is something not everyone pays attention to, ensure you have a team with the complementary skillsets for creating

52 Singapore Semiconductor Voice Vol 15 PEOPLE

Define your product / Idea:

࡟ Do you have a P.O.C? ࡟ Do you have the exact design details? ࡟ Do you know how you can scale the idea / product?

Ensuring Success of the Idea:

࡟ Do you have the right team with Complimentary Skillsets? ࡟ Do you have a set of Milestones and Timelines? ࡟ Do you have supporting data and analysis?

Structuring Your Team: the P.O.C. requirements. The third is what he called a "not so perfect" or rough start to finish timeline with milestones. Why ࡟ Do you have a small initial team? “not so perfect”, as things could change as unforeseen ࡟ Do you have a system for tracking challenges come up. expenses? ࡟ Do you have a lesson learned, Log? Then he cautioned, "a big watch out that one must avoid is waiting for perfect data, perfect analysis because by the time Whether you want to be an entrepreneur one gets the perfect analysis, (especially if it takes too long) the or intrapreneur, looks like you need a few situation might have changed." So even though the analysis must-haves that you need to put in place. or your data is perfect, it has little relevance in its usability and Otherwise, you will be halfway through and market timing. not have all the pieces in place and then go back and redo or backtrack on your project. Then my next question I asked was, "What is the best way to structure a tech startup?" and he immediately provided these suggestions:

1. Create A Team: But keep it small, a max of three 2. Divide the Work: Track with strict milestones against a timeline 3. Expense proofing: Ensure someone keeping a log of the ABOUT THE AUTHOR expenditure 4. Mistake proofing: Keep a lesson learned Log with an A3 report Stephen Krempl Global Speaker, Facilitator, Best So what can we learn from these suggestions that Deb has Selling Author and Business provided us? My thought is simple, create a checklist and think Communication Coach through if you have all these in place for your intrapreneurial www.kremplcommunications.com idea or startup.

Vol 15 Singapore Semiconductor Voice 53 PEOPLE

ITE students presenting their industry proof of concept projects to Intel®

Covid-19 and digitalization have changed the way we live, play, learn and work. 75% Intel and ITE of Singaporean firms are accelerating digitalization due to Covid-19 as per IDC Asia Pacific. Businesses are increasingly looking at using Artificial Intelligence (AI) to improve Collaboration: efficiency, reduce costs, multiply product and service offerings, and support decision- making. However, there are concerns about Building Digital the availability of talent and skills in this era of double disruption of Covid-19 and automation. As per Morning Consult – IBM Global AI index Readiness 2021, limited AI expertise or knowledge is identified as the biggest barrier to AI adoption by 39% of business leaders. Demystifying and democratizing AI skills for people will for Singapore be critical to rebuild economies and drive competitiveness. Broader digital access and readiness is the first step for digitalization to Competitiveness succeed and build an inclusive digital society. The industry, academia and government must come together to enable digitalization and local innovations necessary for the economic growth.

54 Singapore Semiconductor Voice Vol 15 PEOPLE

ntel's corporate purpose is to create ࡟ AI for Citizens: for developing awareness and understanding world-changing technology that of AI among the public. More than one thousand people I improves the life of every human on have already completed the micro-learning program since the planet. Intel strives to create a more its launch on 21 March 2021. Anyone interested can take the responsible, inclusive, and sustainable 4-hour program at https://www.ite.edu.sg/courses/course- future, enabled through technology, and the finder/course/short-course-ite-intel-ai-for-citizens-a- expertise and passion of its employees. Intel global-ai-public-awareness-program. is committed to helping make technology ࡟ AI for Youth: for empowering next-gen students on AI tech & fully inclusive and expanding digital social skills and getting these students AI-ready. More than readiness. Digital readiness encompasses the 3500 students have taken the course, and students regularly technical and social skills, a deep trust, and create technical solutions, and work on joint projects with the ability to use technologies responsibly industry partners such as using vision AI to ensure personal and effectively for broader socio-economic protection equipment is worn at construction site. benefits. To accomplish that, Intel has rolled ࡟ AI reskilling & upskilling for current workforce through a suite of out the Intel® Digital Readiness Program, Certificate of Competency (CoC) certifications: ITE has created which aims to empower wider non-technical a set of CoCs with Intel, which is supported with SkillsFuture audiences with digital skillsets, mindsets, funding, for upskilling the local industry workforce. Local SMEs toolsets and opportunities to use technology such as CosmoFuture and Humankind Design Pte Ltd have responsibly in an AI-fueled world. Intel is benefitted from these trainings. Furthermore, ITE is launching expanding the program to 30 countries, new Higher National ITE Certificate NITEC in Technology - AI enabling access to 30 thousand institutions, Applications in October 2021. and training 30 million people for current and future jobs by 2030 with the support of ITE has collaborated with Intel as trusted partners for addressing government and academia. Intel has to date Singapore’s growing technical ecosystem needs and offer trained and certified more than 150000 people joint certifications. Intel offers content, training, support, and across 8000 institutions in 15 countries. technology guidance, while ITE implements trainings and offers mentorship for target audiences. In Singapore, the Institute of Technical Education (www.ite.edu.sg) is an excellent Singapore has a golden opportunity to become one of the AI example of a digital readiness program capitals of the world and build lasting national competitiveness. partnership. Intel and ITE have a long- Intel and ITE are committed to help Singapore’s tech ecosystem standing relationship and have rolled out and local industry players build digital and AI readiness for that together multiple initiatives for Singapore purpose. For companies looking for AI skilling solutions, they can tech-ecosystem: contact ITE on [email protected].

ABOUT THE AUTHORS

Ansul Shonak Global Director, Digital Readiness Programs, Intel Corporation

Mong Song Goh Deputy CEO, Institute of Technical Education, Singapore

ITE student innovators presenting to ITE and industry on 16 March 2021

Vol 15 Singapore Semiconductor Voice 55 PEOPLE

Empowering Women Engineers in Singapore

The 23rd June is a special day dedicated to all women engineers as we celebrate INWED, International Women in Engineering Day. This Celebrating year, a group of passionate like-minded women came together to achieve one common goal, that is, to make INWED 2021 extraordinary the Launch of for women engineers in Singapore by launching the Society of Women Engineers Singapore, SWE@SG. SWE is no stranger to many, it is the world’s largest advocate and catalyst of change for women SWE@SG on in engineering and technology for nearly 70 years. With SWE closer to home, we are all truly excited about the endless potential International collaboration opportunities this platform can enable.

he 2.5 hours SWE@SG Launch event was a success. We had Women in nearly 400 participants from 68 companies and societies joined T us virtually. We are honored to have Dr Richard Kwok, President Engineering Day of The Institution of Engineers, Singapore (IES) to join our event as the Guest of Honor. The highlight of the event was the launch ceremony. We had the SWE@SG leadership team, including Ms Jamie Neo, President of SOURCE OF CONTENTS SWE@SG, Assoc Prof Sierin Lim, Vice President of SWE@SG (Academic), Dr Mousumi Bhat, Vice President of SWE@SG (Corporate), to join Dr Kwok on screen and did a countdown sequence together. It was also a special day for the four university affiliates NTU, NUS, SUTD and SIT that launched together on INWED. Themed as “All Together: Empowering SSIA is a supporting Women Engineers in Singapore”, the event featured experts from leading partner for the SWE@SG engineering firms and academia to discuss key industry policies, actions Launch Event to enable parity for women leadership in STEM, and trending topics on innovations, access and progress for all.

56 Singapore Semiconductor Voice Vol 15 PEOPLE

In the Innovation for All segment, our speakers shared about the latest innovations and current trends in technologies. We learned that innovation was both a skillset and a mindset that could be nurtured. Factors contributing to responsible innovation included understanding the needs of humanity and the changes in lifestyles, to keep abreast of the ever-changing policies, stability of supply chain and environment impact. In the second segment, Access for All, it was amazing to learn that went from chatting to pulling on our contacts and SWE@SG affiliate was many societies and corporates accredited in March! With the official launch on 23 June 2021, we wanted were actively supporting women to create a platform to empower women to succeed and advance in the initiatives in Singapore. Finally, in field of engineering and be recognized for their life-changing contributions Progress for All segment, SWE@SG as engineers and leaders. Placing our little red dot on the global SWE kicked off the “Gender Parity in STEM community, let us work together towards SWE’s vision of a world with Leadership” research. The presented gender parity and equality in engineering and technology.” said SWE@SG, data made it clear that now is President, Ms Jamie Neo. the time for all-hands on deck to address this issue. We ended the “Thank you all for the overwhelming support. Our journey has just begun. segment with an engaging panel We have only scratched the surface of the problem that is pervasive in discussion on the topic and actions leadership in STEM and look forward to starting our research in earnest so as to “propel women leadership in to provide a roadmap for parity in STEM leadership by 2030”, SWE@SG, Vice engineering”. President (Corporate), Dr Mousumi Bhat.

We caught up with the SWE “Singapore needs more women engineers, and now it is more important leadership team and here is what than ever that we empower them to Innovate by providing them Access and they have to say. ensuring their Progress! It takes the whole island of individuals with aligned missions to drive change for women in engineering in Singapore. From “Never under-estimate the industry leaders and professionals, university officials, faculty members, collective power of women-in- students, to members of our societies. SWE@SG will serve as an inclusive action! I am thankful to the founding platform, for industry and academia to come together to elevate the members, exco and committee engineering and technology profession as the career of choice for women. members whose passion brought We invite all of you to join us. Visit our website and social media channels for us together. Within a few weeks events, initiatives, and partnerships.” SWE@SG, Vice President (Academic), from early February, a couple of us Prof Sierin Lim.

Vol 15 Singapore Semiconductor Voice 57 PEOPLE

Asia Online 2021 is taking place fresh thinking to solve familiar from September 21-23 and is challenges, as organisations in the HR Tech Festival brought to you by the industry’s region plan the execution of their global leading HR media and event HR roadmaps in 2022. Asia Online organisers HR Tech Conference Las Vegas, HRE Magazine US, HRM Delving into key workforce issues Magazine and HRM Asia. such leadership, culture and 2021: Defining employee experience, these speakers The entirely virtual event will will examine how organisations can HR’s Roadmap allow you to hear new ideas on prepare for the new paradigm of how to breathe new life into your talent management, provide key HR strategies for 2022, and tips on how to build and lead HR for 2022 source new technologies from teams that are relevant in a disrupted the region’s largest gathering of world, predict how next generation Taking place from September 21- global solutions providers together HR will look like, and propose 23, Asia’s largest HR Tech event in one virtual expo. a people-centric approach to of 2021 will highlight the key employee experience. drivers of leadership, culture and Besides hosting the largest virtual employee experience in 2022. gathering of HR and business Scan the QR Code leaders from Asia, HR Tech Festival to register for HR s markets rebound and Asia Online 2021 will also feature an Tech Festival Asia companies demand better exciting lineup of some of the most Online 2021 and A results post pandemic, forward-thinking personalities and don’t miss your organisations are expediating plans authorities in the HR field. only opportunity to prepare themselves for next this season to year. During this time-critical Join distinguished names such as be part of a regional event which period in the year, HR leaders are Lars Schmidt, Author and Founder delves into in-depth discussions gathering their teams to start of Amplify; Josh Bersin, Global across key workforce issues such strategising and taking stock of Industry Analyst and Dean of leadership, culture, technology and the changing business needs as the Josh Bersin Academy; Lucy employee experience. they head into 2022. Adams, CEO of Disruptive HR; Jon Ingham, Director of the Strategic HR Visit https://www. Join us at the award-winning HR Academy; and more, as they discuss hrtechfestivalasia.com for event Tech Festival Asia, now in its 20th what will shape the future of work programme and speakers. year, where we will once again in 2022 and beyond. bring together the region’s biggest SOURCE OF CONTENTS HR community, global influencers Many of the speakers lined up for and industry experts together to HR Tech Festival Asia Online 2021 network, share and inspire. will be speaking exclusively in Asia Powered by ADP, HR Tech Festival for the first time, and will provide

58 Singapore Semiconductor Voice Vol 15

Follow us

60 PAYA LEBAR ROAD, #10-28 Now available on Singapore www.ssia.org.sg PAYA LEBAR SQUARE, SINGAPORE 409051 Magzter Digital Semiconductor Voice: Tel: 6977 9652 | Web: www.ssia.org.sg Magazine Store www.ssia.org.sg/voice PRICE: $6.00