<<

SpectroscopicEllipsometryAnalysisofNanoporousLowConstantFilms

ProcessedviaSupercriticalCO2forNextgenerationMicroelectronicDevices

______

Adissertation

PresentedtotheFacultyoftheGraduateSchool

UniversityofMissouriColumbia

______

InPartialFulfillment

OftheRequirementsfortheDegree

DoctorofPhilosophy

______

By

MaslinaT.Othman

Dr.ShubhraGangopadhyay,DissertationSupervisor

May2007

The undersigned, appointed by the dean of the Graduate School, have examined the dissertation entitled

Spectroscopic Ellipsometry Analysis of Nanoporous Low Dielectric Constant Films Processed via Supercritical CO2 for Next-generation Microelectronic Devices

presented by Maslina Tasrin Othman, a candidate for the degree of Doctor of Philosophy in Electrical and Computer Engineering, and hereby certify that, in their opinion it is worthy of acceptance.

______Professor Shubhra Gangopadhyay

______Professor Lex A. Akers

______Professor Suchismita Guha

______Professor Ping Yu

______Professor Rajesh Shende Acknowledgements

First and foremost I would like to thank my dissertation supervisor, Professor

ShubhraGangopadhyay,whoissupportedmeineverypossiblewaybeingherguidance andideas.ThankyouforguidingmethroughoutmyPhD’sstudies.WithoutherIwould nothaveaccomplishedthis.Whatshetaughtmeisfarbeyondthisresearchitself.

ItisalsoapleasuretoacknowledgetheassistanceofDr.JorgeLubgubanandhisfamily throughoutmyPhDprogram.

Mygratitudealsogoestothefacultyandstaffin the Department of Electrical

Engineering at the University of MissouriColumbia for contributing in one way or

anothertomystudies.IwouldalsoliketothanktoTamiBeattyandBettyBarfieldfor

helpingmeinmanywaysthroughmyundergraduate,masterandPh.Dstudies.

Lastbutnotleast,Iamverygratefultomyfamilyforsupportingmeinmany

ways. I am extremely thankful to my many friends who supported me through

difficultiesIencounteredduringmyresearchandstudies.

ii Table of Contents

Acknowledgements…………………………………………………………………..…...ii

ListofFigures………………………………………………………………………….....v

ListofTables………………………………………………………………………….....vii

Abbreviations……………………………………………………………………………viii

Abstract………………………………………………………………………..…………..x

Chapter1...... 1

1.1 THENEEDFORLOWKMATERIALS...... 1 1.2 POSSIBLEMATERIALUSEDASINSULATORLAYER ...... 3 1.3 INTRODUCINGPOROSITYTOLOWERKVALUE...... 5

1.4 SCCO2TOGENERATEPORES ...... 6 1.5 SCCO2PROCESSTOSOLVELOWKINTEGRATIONISSUES ...... 7 1.6 PROBLEMSINPOROUSFILMCHARACTERIZATION ...... 8 1.7 VASE™ASAGOODMEASURINGTECHNIQUETOPOROUSFILM...... 9 1.8 OBJECTIVEOFTHEDISSERTATION ...... 10 1.9 DISSERTATIONORGANIZATION...... 16 Chapter2...... 18

2.1 SUPERCRITICALCO2PROCESSTOGENERATEPOROUSFILM ...... 18 2.2 SUPERCRITICALORVAPORTREATMENTWITHHMDSTOREPAIRPOROUSFILM ...... 24 2.3 SUPERCRITICALORVAPORTREATMENTWITHTMCSTOREPAIRPOROUSFILM ...... 28 2.4 OTHERAPPLICATIONSUSINGSUPERCRITICALFLUIDTECHNOLOGY...... 30 Chapter3...... 32

3.1 OVERVIEW ...... 32 3.2 VARIABLEANGLESPECTROSCOPICELLIPSOMETRY...... 33 3.2.1 Basicconcepts:Howdoellipsometerswork? ...... 33 3.2.2 EllipsometerConfiguration ...... 35 3.2.3 /refractionattheplanarinterface ...... 36 3.2.4 VariableAngleSpectroscopicEllipsometry(VASE™)MeasurementandAnalysis...... 43 3.3 ANALYTICALMETHODUSINGELLIPSOMETRYTOCHARACTERIZETHINFILM..... 47 3.3.1 Cauchylayer...... 47 3.3.2 BruggemanEffectiveMediumApproximation(BEMA)layer ...... 47 3.3.3 Gradedlayer...... 49 3.3.4 Surfaceroughness...... 49 3.3.5 Siliconsubstrate ...... 50 3.4 ELLIPSOMETRYMODELINGFORPOROUSFILM ...... 50 3.5 FOURIERTRANSFORM(FTIR)...... 51 3.5.1 Absorbance,LambertBeer’sLaw ...... 52

iii 3.5.2 Quantitativespectralanalysis ...... 55 3.6 CAPACITANCEVOLTAGE(CV)ELECTRICALMEASUREMENT...... 59 Chapter4...... 60

4.1 OVERVIEW ...... 60 4.1.1 Fabricationofsacrificialporogenapproach ...... 62 4.1.2 Descriptionofsamplesusedinthisresearch ...... 63 4.2 THERMALANNEALINGPROCESS...... 64 4.3 SUPERCRITICALCO2PROCESS...... 65 4.3.1 HighPressureSCCO2ExperimentalSystem ...... 65 4.3.2 SCCO2treatmentprocedure...... 67 4.4 EXPERIMENTPARAMETERS ...... 69 Chapter5...... 70

5.1 OVERVIEW ...... 70 5.2 IMPLEMENTEDSPECTROSCOPICELLIPSOMETRYANALYTICALMETHODFORCHARACTERIZING POROUSTHINFILM...... 71 5.3 SCCO2WITHPULSINGMODETOREMOVEPOROGENEFFECTIVELY ...... 82 5.3.1 Overview...... 82 5.3.2 ExperimentalProcedure...... 82 5.3.3 ResultsandDiscussions...... 83 5.4 POROGENANDWATERREMOVALVIASCCO2 ...... 85 5.4.1 Overview...... 85 5.4.2 ExperimentalProcedure...... 86 5.4.3 ResultsandDiscussions...... 86 5.5 SILYLATION(TMCS)TORESTOREHYDROPHOBICITYINPOROUSFILMVIASCCO2...... 93 5.5.1 Overview...... 93 5.5.2 ExperimentalProcedure...... 94 5.5.3 ResultsandDiscussions...... 94 5.6 SPECTROSCOPICELLIPSOMETRYSTUDYOFTHEREDUCTIONINPOROSITYOFOPENPORE ORGANOSILICATEFILMSAFTERHEXAMETHYLDISILAZANETREATMENT...... 98 5.6.1 Overview...... 98 5.6.2 ExperimentalProcedure...... 99 5.6.3 Resultsanddiscussion ...... 102 5.7 CHARACTERIZATIONOFPLASMAEXPOSEDSAMPLESFOLLOWEDBYPOROGEN/WATERREMOVAL. 110 5.7.1 Overview...... 110 5.7.2 Experiment...... 110 5.7.3 Resultsanddiscussion ...... 111 Chapter6...... 116

6.1 CONCLUSION/FUTUREWORK...... 116

Reference………………………………………………………………………………118

Vita……………………………………………………………….……………………..124

iv List of Figures

Figure11.Moore’sLawpredictedexponentialincreasesinthenumberoftransistors integratedintoIntelprocessors[Reference:www.intel.com] ...... 2 Figure12Processflow(a)industryand(b)proposedinthisdissertation...... 15 Figure21.Aphasediagramshowingthedifferentphaseandsupercriticalregion...... 19 Figure22ThebehaviorofCO2inopenandclosedsealedvessel...... 20 Figure31.Interactionofpolarizedwithsampleofinterest...... 34 Figure32.RotatingAnalyzerEllipsometer,VariableAngleSpectroscopyEllipsometer VASE™(J.A.WoolamCo.Inc.)usedinthiswork...... 36 Figure33.Illustratesobliqueincident,refractedandreflectedbeamonplanarsurface frommedium0tomedium1...... 39 Figure34.Incidentbeamrefractedintofilmresultedinmultipleinternalreflectionand reflectedouttomedium0...... 43 Figure35.Flowchartofspectroscopyellipsometryanalysis...... 46 Figure36.IllustratesschemativerepresentativeofBruggemanEffectiveMedium Approximationtheory...... 48 Figure37.Showstheschematicrepresentativeof(a)singlelayerand(b)gradedlayerto describeafilm...... 49 Figure38.Illustrationofsurfaceroughnessonfilm...... 50 Figure39.Asimpleabsorptionexperimentshowingsomeincidentlightisbeen absorbedbysample...... 52 Figure310.ComparisonFTIRspectrabetween(a)absorptionand(b)transmissionasa functionofwavenumber(cm1)...... 55 Figure311.ExampleofFTIRspectra(a)baselineconstructionand(b)baseline corrected...... 56 Figure312.DemonstrationofmultipleGaussiancurvefittingofFTIRspectra...... 57 Figure41.Generalprocedureinpreparingnanoporousfilm...... 61 Figure42.Nanoporousfilmwithtwodifferentmorphologystructure(a)closedporeand (b)openpore...... 62 Figure43.Procedurestopreparenanoporousfilmusingsacrificialporogenapproach. 63 Figure44.SchematicdiagramofSCCO2system...... 66 Figure51.NonporousPMSSQ(a)Ψfitspectra(b)fitspectrausingCauchymodel. (MSE=5.5)...... 71 Figure52.Generatedandexperimental(a)Ψfitspectraand(b)fitspectrausing CauchymodelforopenporesamplewithanMSE=3.1...... 74 Figure53.Depthprofilesat633nmforopenporefilmusingsingleBEMA withgrading[MSE=1.5]andtwoBEMAlayerswithgrading[MSE=1.5]...... 75 Figure54Depthprofilesat633nmwavelengthfor(1)closedporefilmsusingsingle BEMAwithgrading[MSE=2.2],twoBEMAlayerswithgrading[MSE=2.2]and(2) singleporousfilmusingsingleBEMAgrading[MSE=1.0] ...... 77 Figure55.Generatedandexperimental(a)and(b)usingsingleBEMAmodel(MSE=77) and(c)and(d)usingdoubleBEMAmodel(MSE=3.5)...... 79

v Figure56.Depthprofileat633nmwavelengthforbilayerporousfilmwithdifferent porogenloading...... 80 Figure57.Depthprofileat633nmwavelengthforO2ashed...... 81 Figure58.MultiplecosolventsinjectionsSCCO2processincomparisonwithannealed sample...... 84 Figure59.FTIR–CHabsorptionspectraformultipleinjectionsinSCCO2process...... 85 Figure510.Refractiveindexdepthprofileat633nmwavelengthforclosedporefilm afterseveraltreatments:beforeextraction,SCCO2/cosolventsandSCCO2/Methanol process...... 89 Figure511FTIRspectraof–OHabsorptionbandafterseveraltreatments:ascured, SCCO2/cosolventsandSCCO2/Methanolprocess...... 90 Figure512.Refractiveindexdepthprofileat633nmwavelengthforopenporefilm afterseveraltreatments:beforeextraction,SCCO2/cosolventsandSCCO2/Methanol process...... 91 Figure513.FTIRabsorptionspectraofOHandCHbandafterButanol*and Methanol*SCCO2treatment...... 93 Figure514.AbsorptionspectraofOHregionafterseveraltreatmentsincludingTMCS treatmentfor(a)openporeand(b)closedporesample...... 95 Figure515Percentagewaterremainedinthesampleafterdifferenttreatments...... 96 Figure516.AbsorptionspectraofCHregionafterseveraltreatmentsincludingTMCS treatmentforopenporesample...... 97 Figure517.AbsorptionspectraofCHregionafterseveraltreatmentsincludingTMCS treatmentforclosedpore...... 98 Figure518SEMimagesofopenporesampleafter(a)annealing,(b)plasmatreatment and(c)HMDStreatmentandthecorrespondence(d)refractiveindexdepthprofile. .... 104 Figure519SEMimagesofclosedporesampleafter(a)annealing,(b)plasmatreatment and(c)HMDStreatmentandthecorrespondence(d)refractiveindexdepthprofile. .... 109 Figure520showstherefractiveindexdepthprofileofopenporeprocessedsamples(a) withplasmaprocessand(b)withoutplasmaprocess...... 112 Figure521FTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,openpore poreprocessedsamples(a)and(c)withplasmaprocess(b)and(d)withoutplasma process.ForSiOSi(950cm1–1250cm1)spectra...... 113 Figure522Depthprofileofclosedporeprocessedsamples(a)withplasmaprocessand (b)withoutplasmaprocess...... 114 Figure523FTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,closed poreporeprocessedsamples(a)and(c)withplasmaprocess(b)and(d)withoutplasma process...... 115

vi List of Tables

Table11Showslistedavailablelowkdielectriclayer ...... 5 Table12Flowchartoverviewdissertationresearchwork...... 13 Table21.Physicalpropertiesofagas,liquidandsupercriticalfluid...... 21 Table31.SelectedFTIRactivebandpeaksusedinthisresearch...... 58 Table41.FabricatedopenandclosedporesamplesusedinthisstudywitheitherPPG orPPOassacrificialporogen...... 64 Table51.ListofopticalconstantsandMSEfornonporousPMSSQandSiO2film modeledasCauchyandmodifiedgradedCauchylayer...... 72 Table52.Listofthickness,opticalconstant,porosityandMSEforopenandclosed porefilmusingCauchyandBEMAmodelwithorwithoutgrading...... 73 Table53Listofthickness,refractiveindex(R.I.),porosityanddielectricconstantfor bothopenandclosedporefilmsofascured,annealed,plasmaprocessedand hexamethyldisilazanetreated...... 102

vii Abbreviations

BEMABruggemanEffectiveMediumApproximation

BEOLbackendofline

CMPchemicalmechanicalplanarization

CSiO2carbondopedSiO2

CV–CapacitanceVoltage

EPellipsometricporosimetry

FSiO2fluorinatedsilicondioxidefilm

FTIRFourierTransformInfrared

HMDSHexamethyldisilizane

ITRSInternationalTechnologyRoadmapfor

N2nitrogen

PMSSQpoly(methylsilsesquioxane)

PECVDplasmaenhancedchemicalvapordeposition

PALSpositronannihilationlifetimespectroscopy

PGMEApropyleneglycolmethyletheracetate

PPGpolypropyleneglycol

RCresistancecapacitance

SCCO2SupercriticalCarbonDioxide

SAXSsmallanglexrayspectrometry

SEMscanningelectronmicroscopy

TMCSTrimethylchlorosilane

TEFStriethoxyfluorosilane

viii Tgglasstranstition

VT–Vaportreatment

VASEvariableanglespectroscopicellipsometry

XPSxrayphotoelectronspectroscopy

XRRxrayreflectivity

ix Abstract

Spectroscopic Ellipsometry Analysis of Nanoporous Low Dielectric Constant Films Processed via Supercritical CO2 for Next-generation Microelectronic Devices

My research will address issues at the backendofline in microelectronics fabrication,specificallytheneedforLowk extendibility.TheInternationalRoadmapfor

Semiconductors (2005) suggested that interconnect insulation must be replaced with a materialhavinganultralowdielectricconstant(k)of<2.0andcanwithstandrigorous current process integration for the 65 nm technology. Creating porosity in the films produceskvaluesaslow(1.0)air.Inthisresearch,supercriticalCO2(SCCO2)processis utilized to create pores, remove water, repair plasmadamaged sample and seal pores.

These multistep processing does not only produce lowk film but also create device reliability.Spectroscopyellipsometric(SE)analysisisusedtoevaluatetheperformance ofeachprocessonporousfilm.InSEanalysis,Cauchy,BruggemanEffectiveMedium

Approximationandgradedmodelsareusedtomodeltheprocessedsamples.Thedepth profileSEanalysisdemonstratestheindividualprocessperformancebasedonitschanges of refractive index (n) throughout the film thickness. SE also provide important film properties like thickness, porosity etc. In addition to SE, Fourier Transform Infrared

(FTIR),ScanningElectronMicroscopy(SEM)andelectricalcharacterizationsareused.

ResultsshowthatSCCO2/cosolventscanextractporogensandremovewatereffectively at a significantly shorter time (≤1 hr) and at a low temperature (≤160°C) without thicknessshrinkageincontrastwiththermal annealingwhichuses450°Cand5hours without significantly shrinkage. SCCO2/TMCS removes water and terminates silanol

x groupwithmethylgroup,andhencepreventingwaterreadsorptionwhichincreasesk.

Thedenselayeronthesamplesurfacethatformedthroughthevaportreatment/HMDS helpstosealporesandpreventmetaldiffusion.Thisresearchalsoshowsthatpatterning samples prior to porogen/water removal can minimize plasma damages on porous sample.

xi Chapter 1

Introduction

1.1 The Need for Low-k Materials

In1965,Moore’slawstatedthenumberoftransistorsonachipdoublesevery year.In1975,GordonMoorerevisedhislawbystatingthenumberoftransistorsthatthe industrycanplaceonamicroprocessorchiproughlydoublesaboutevery twoyears.Thismodificationwiththerateofalternateyearisduetothecomplexityof chipsfabrication[1].Figure11showsthatMoore’sLawpredictsexponentialincreasein thenumberoftransistorplacedontheIntelprocessor.ThefirstIntelmicroprocessorhad only 2200 transistors as compared to today’s Intel microprocessor with more than 1 billiontransistors.

Nonstop demand for higher performance microprocessor chip has led to reductionindevicesizewithhighnumberoftransistoronachip.However,shrinkingthe transistorsizeextensivelytendstocreatenewproblems.Themoretransistordensityona singlechipwouldconsumemorepower,hencegeneratingheats.Thecapacitiveloadand crosstalk between wires can also reduce the speedperformance. These issues create a continuouschallengefortheentiresiliconindustry.Thus,thesemiconductorindustryis

1 now researching a new material to produce chips that meet the highperformance and lowpowerconsumptiondemandsforthenextgenerationmicroprocessors[2].

Figure11.Moore’sLawpredictedexponentialincreasesinthenumberof transistorsintegratedintoIntelprocessors[Reference:www.intel.com]

A lowdielectric constant (lowk) material is needed to reduce the resistance

capacitance(RC)timedelayandcrosstalknoiseat the backendofline (BEOL) when

devicesizeisscaleddowntosubmicronlevel[3,4].Replacingaluminum(ρAl=2.655

cm)withCopper(ρCu=1.678cm)formetallinescantheoreticallyreducetheRC delaybyalmost37%duetoitslowerresistivity[5].However,thereductioninresistivity isinsufficienttofurtherimprovetheRCdelay.Therefore, researchers are focused on changing the insulator material (capacitance side). Substituting SiO2 (k = 4.0) with a lower dielectric material (e.g. k = 2.0) will reduced the delay by 50%. Using lower dielectric layer also reduced the power consumption, hence less heat. As the packing

2 densityofinterconnectmetallinesincreases,adielectriclayerwithk<2.0isurgently needed.TheInternationalTechnologyRoadmapforSemiconductors(ITRS)predictsthat byyear2010,a45nmtechnologywith12metallevelswillbeused[6].Oneofthebest waystofurtherreducethekvalueisbyincorporatingporosity(air)intothefilms,since airhasthelowestdielectricconstant(k=1)[7].

1.2 Possible material used as insulator layer

Foralowk dielectric to be able to use in current device fabrication, several requirementsneedtobesatisfied.Somebasicrequirementsforthedesiredlowkfilmare listedasfollows:

Possesslowdielectricconstantvalue(approaching1)

Highglasstransitiontemperature(ifapplicable)

Lowabsorbanceofwaterorcontaminants

Highmechanicalstrength

Abilitytoendurechemicalmechanicalplanarization

Etchselectivityoverphotoresist

Table1.1showssomeoftheavailablematerialsthatcanbeusedasaninsulator

layer. These listed lowk materials have both advantages and disadvantages. For

fluorinatedsilicondioxidefilm(FSiO2),someamountoffluorinereplaceoxygen atomsinSiO2layer.Thiseffectreducesthedielectricconstantfrom~4.0to~3.5.TheF

SiO2sampleisdepositedviaplasmaenhancedchemicalvapordeposition(PECVD)by incorporating fluorine directly into the precursor that is similar to tetraethocysilane

(TEOS). The main disadvantage of the FSiO2 filmisthatitisahydrophilicsample, thereforeitadsorbswaterandincreasesthekvalue.Fluorinatedsilicondioxidecanreact

3 andgeneratebyproductHFacidand/orfluorinebasedontheamountofwateradsorb.

HFmoleculescanalsoreactwithSiandgiveaSiF4 byproduct,whichisagasatroom temperature. This leads to device failure by changing the dielectric constant value or electrical performance. For carbon doped SiO2 (CSiO2),asmallamountofcarbonis incorporatedintoSiO2.CSiO2possesseslowerkvalues(2.6–3.2)whichisbetterthan

FSiO2.However,thesilanolgroupisformedinthesampleduringtheetchprocesses, henceincreasingthedielectricconstantvalue.Forpolymericdielectricfilms,thereare many materials available to use as a lowkfilmviaspinondepositiontechnique.The materialsarepolyimides,spinonorganosilicatesandPTFE(Teflon).Thepolymerfilms have lower dielectric constants and are easy to deposit by using spinon deposition technique. They are also easy to characterize. However, polymer film is a very soft materialandthisleadstodifficultiesinthenextstepsofintegrationprocess.Thepolymer requires a etchstop to avoid or minimize damage during etch/chemical mechanical planarization (CMP). Also, spinon polymers exhibit high thermal expansion as compared to copper and aluminum which creates cracks or delamination during heating/cooling process. A porous SiO2 and aerogel/xerogel films consists of voids in

SiO2molecules.Ingeneral,theprecursorisspincoatedonsubtrate,followedbybaking process to link SiO2 together and to remove excess solvent. Highly porous dielectric materials have a major advantage in terms of their lowk value. However, porous arenotreadyinproductionandmanyadvantagesanddisadvantagesareyetto be discovered. The property of porous film needs to be investigated, such as their reliability with chemical mechanical planarization (CMP), surface topography, water adsorptionovertimeandporesizedistribution.

4

Material Dielectricconstant Technique

kvalue

SiO2 4.0 ChemicalVaporDeposition(CVD)

FSiO2 3.23.6 CVD

CSiO2 2.63.2 CVD

Polyimide 3.03.5 SpinOn(SO)

Silsesquioxanes 2.73.0 SO

PorousAmorphousC 2.12.5 CVD/SO

PTFE(Teflon) ~1.9 SO

Xerogel 1.82.2 SO

Aerogel 1.82.2 SO

PorousOrganics <2.2 SO

Table11Showslistedavailablelowkdielectriclayer

1.3 Introducing porosity to lower k-value

Inthisresearch,thepropertiesofnanoporousorganosilicatesthinfilmsprepared via socalled sacrificial porosity generators (porogens) approach or inorganicorganic nanohybrid technique are investigated. These hybrids are basically phaseseparated organicandinorganicpoly(methylsilsesquioxane)(PMSSQ)polymerswheretheorganic phaseisentrappedbetweenthecrosslinkinginorganicmatrix[8,9].Conventionalthermal annealing process is typically used to volatize the sacrificial porogen, hence, creating

5 nanoporousthinfilm.Nevertheless,thisconventionalprocesshasseveraldisadvantages duetohightemperature(~430450°C)andlongprocess times (up to 5 hr). The high temperatureandlongdurationoftenleadtoporecollapseandthicknessshrinkage.Also, thechoiceoforganicandinorganicpolymerislimitedsuchthattheglasstranstition(Tg) oftheorganicpolymerhastobelowerthantheTgoftheinorganicpolymer[10].An alternativeextractionprocessatlowertemperatureisneededtoreplacetheconventional thermalannealing.

1.4 SCCO2 to Generate Pores

Lubgubanetal.2004haveshownthatSupercriticalCO2(SCCO2)treatmentisa good alternative as compared to thermal annealing process in porogen removal. This

Gangopadhyay’sgroupisthefirstgrouptoutilizedSCCO2processforporegenerationin thinfilm.Inthisproposal,SCCO2/cosolvents(Methylethylketone,tetrahydrofuran,and methanol) process are used to effectively remove the porogens and water in a significantly lower temperature of ≤160°C and lower time (≤1 hr). The effects of

SCCO2/cosolventtreatmentsontheprocessedsampleareinvestigatedviaspectroscopic ellipsometry,FourierTransformInfraredspectroscopyandelectricalcharacterization.

Supercritical CO2 (SCCO2) treatment is an excellent tool in generating nanoporous lowk thin films. At supercritical state, CO2 possesses dual liquidlike solvatingstrengthandgaslikediffusivityandviscosityattemperaturesabove31°Cand pressures above 1050 psi. Moreover, supercritical fluid has a very low (~0) surface tensiontoallowpenetrationintonanoporousmaterials. SCCO2 is an attractive process becauseitisalowcostprocess,isnontoxic,doesnotsupportcombustionandisbenign

6 toenvironment.Theadditionofasmallamountofcosolventsormodifiercanenhance theSCCO2solvatingstrengthandextractionperformance[11].Duetotheseinteresting properties, SCCO2 has been researched vigorously in many applications such as thin metallinesdeposition[12,13,14],photoresistandashresidueremoval[15,16],O2 ash damagedrepair[17,18,19]andporogenremoval[20,21].

1.5 SCCO2 Process to Solve Low-k Integration Issues

Besides challengesin generatingporousfilm,adopting porous film also poses manydifficultiesinthenextstepofintegrationprocess.Oneofthemanychallengesin adopting porous film occurs during the photolithography process. Patterning of lowk porous films for Cu deposition exposes the film to oxygenbased plasma etching and plasmaashingforresistresidueremoval.Thetypicalashprocesscausesoxygendiffuses intothefilm,whichattackstheSiCH3(methyl)groupandconvertsittoSiOH(silanol).

Thisresultsincarbondepletionandincreaseofsilanolgroupsthatmodifythesurface propertiesintohydrophilic,therebyincreasingthesensitivityofsampletomoisture.Due tothefree–OHbond,theashdamagedporoussamplewilladsorbwateratafasterrateas comparedtoanondamagedporoussampleovertime.Theuptakeofmoisturegenerally increases the dielectric constant value significantly, destroying the properties of the porousfilm.

It has been shown that silylating treatment can be used to overcome the integrationprocessissueofporousfilmbyseveralresearches.Silylating agentsuchas

Hexamethylsilizane(HMDS)andtrymethylcholosilane(TMCS)canbeusedtorepairthe porous sample that undergoes the photoresist removal process. The TMCS treatment

7 playstwoimportantrolesincuringtheporousinsulator.First,itremoveswaterfromthe porous dielectric. Second, it converts the sample surface by terminating SiOH group with SiCH3. This phenomenon prevents water readsorption into the porous sample.

SimilartotheTMCStreatment,theHMDStreatmentalsocanremovewaterandconvert sample properties to hydrophobic surface functionalities. In addition, the HMDS treatmentcansealtheporesbyformingadenselayeronthetopofsamplesurface.This denselayercanhelpinpreventingcopperdiffusionintotheporouslowkdielectriclayer.

ThisresearchshowsamultistepbasedonSCCO2extractionprocesscanbeutilizedto createnanoporouslowdielectricconstantthinfilmbyremovingporogen,removingwater andterminatingsilanolgroupwithmethylgroupalongwithporesealingtreatmentvia vaportreatmentprocess.

1.6 Problems in Porous Film Characterization

Incorporatingporosityininsulatorlayersreduces thedielectricconstantvalues.

ThisisdesirablebecauseitreducethetimeconstantRCdelay.Theporesizeshouldbe carefully controlled and should be smaller than the minimum features size to prevent electrical short and to achieve mechanical stability. It is also preferable to have a homogenous pore size distribution to prevent k anisotropy. Thus, characterizations of porousfilmsarebecomingcrucialandincreasinglydifficult.Conventionalmeasurement techniqueshavebecomeunreliablebecauseofverysmallporesizesintheorderoffew nanometers.

Wellknownmethodstodeterminetheporesizedistribution,porevolumefraction and whether it is an open or closedpore structure are based in different physico

8 chemical principles [22]. The available techniques can be divided into three main categories:(1)techniques–smallanglexrayspectrometry(SAXS)andxray reflectivity(XRR),(2)adsorptiontechniques–ellipsometricporosimetry(EP)and(3) positronannihilationlifetimespectroscopy(PALS).SAXSisbasedondiffusescattering ofxraysfromheterogeneouselectrondensitydistributions.Thisscatteringtechniqueis normally used in combination with XRR that gives the information of total porosity

[23,24].Adsorptionporosimetryisawidelyusedmethodincharacterizingporestructure

[25].EPisacombinationofnonintrusive(wavepropagation)andintrusive(adsorption– gas)method.ThemaingoalofEPistomeasuretheopticalconstantofaporousmaterial whentheporesadsorp/desorpvaporwithknownopticalconstant[26,27].PALSisbased onpositroniumlifetimeduringcollisionswiththeporesurfaces.PALShasthecapability todeterminetheporesizedistributionandtodistinguishporestructure(openorclosed)

[28]. The techniques summarized above may be time consuming, requiring difficult samplepreparationandmayrenderthesampleunusableaftermeasurements.

1.7 VASE™ as a Good Measuring Technique to Porous Film

ByusingSE,importantpropertiesofthefilmslikedepthprofile,thickness,refractive

indexandporevolumefractioncanbefoundtounderstandtheeffectsofthetreatments.

FTIR results and scanning electron microscopy (SEM) imageries will be used to

correlate the SE results. In this proposal, we report variable angle spectroscopic

ellipsometry (VASE,J.A.Wollam, Inc.) asatooltoinvestigatepropertiesofporous

films such as pore volume fraction and refractive index depth profile in addition to

thickness and refractive index usually obtained by ellipsometry. The refractive index

9 profileissuggestiveofthedistributionofporesinthefilms.Spectroscopyellipsometry also provides an accurate measurement of ellipsometric data which are required for adequate characterization of unknown sample. With an accurate modeling, we can determine the percentage porosity at the interface and bulk porous. We can also distinguish whether a porous sample has a graded or nongraded refractive index throughout the sample thickness. We modeled processed samples with Cauchy and

BruggemanEffectiveMediumApproximation(BEMA)layer.Cauchylayeriscommonly usedtodescribeatransparentlayerofaninsulator[29].BEMAlayerisusedtocalculate theporositiespercentageofprocessedfilm[30].Wethenconvertedthosetwolayersinto gradedlayertoexaminethechangesofrefractiveindexthroughoutthesamplethickness aftereachtreatment.Wealsoaddedasurfaceroughnesssimulationoncertainsampleto improvethefit.

1.8 Objective of the Dissertation

The main objective of this research is to utilize variable angle spectroscopy

(VASE™)asanovelmeanstoanalysetheporousfilmpropertiesinaquick,effective andnondestructivetechniqueincontrasttoconventionalways.Theporousfilmswere producedinanewtechniquedevelopedbyIBMcalledporositygenerator(porogen).In contrastwithconventionalannealingtoremove porosity generators, SCCO2 was used.

ThistechniqueisfirstusedbyGangopadhyayetal.Othertreatmentswerealsodoneto solve other issues in lowk films like moisture absorption, pore sealing and plasma damagedduetophotoresistashing.Theoverviewofthisdissertationproposalisshownin theflowchartTable12.

10 1. Filmswerepreparedbysacrificialporogentechnique:(i)Openpore,and(ii)

closedporemorphologies.

2. Thesetwosamplesweresubjectedtotwotypeofporogenremoval:

a. Conventionalthermalannealing

b. SupercriticalCO2technique

3. For thermally annealed sample, the samples were subjected to O2based

plasma to simulate damage during photoresist removalfollowedbyHMDS

vaportreatmenttocurethedamageandsealpores.

4. ForSCCO2processedsample,thesamplesweredividedintotwosets:

a. Ascuredplasma,followedbyvariousSCCO2andvaportreatments.

b. Ascured plasma exposed to plasma process, followed by various

SCCO2andvaportreatment.

5. ThefunctionofvariousSCCO2andvaportreatmentsaregivenasfollows:

a. SCCO2/MEK,Butanol,Methanol–porogenandwaterremoval.

b. SCCO2/TMCSterminationof–OHwithmethylgroup.

c. Vaportreatment/HMDS–removewaterandsealpore

The focus of this research is to utilize SCCO2 process in preparation of nanoporous film. The SCCO2 process is utilized for porogen removal, water removal, silanol group termination. All of the mentioned SCCO2 process is done in sequence followedbyHMDSvaportreatmenttosealpores.

SCCO2 process is usedto remove porogen and water from nanohybrid porous

film. This proposal investigates the performance of SCCO2 with the addition of co

11 solventsascomparedtothermalannealingprocessingeneratingnanoporousfilm.The silylationagent(TMCS)injectedinsupercriticalfluidisusedtoremovetheremaining bondedwaterandtoterminatesilanolgroupwithmethylgroup.Thisproposalstudiesthe effects silylating agents in vapor treatment on O2 ashdamaged porous film. The silylating agent (HMDS) in vapor treatment is used to seal pores and prevent copper diffusion.

Processedsamplesarecharacterizedviavariableanglespectroscopyellipsometry

(VASE™), FTIR and CapacitanceVoltage (CV) measurement. FTIR and CV measurement are fairly straight forward measurements, which mean that the output measurementdescribethesampleproperties.However,forspectroscopyellipsometry,it onlymeasuresthestateoflightchangesuponreflectiononsamplesurface.It does not directly give the film properties such as film thickness, surface roughness, refractiveindexornonhomogeneity.Amodelisneededtoanalyzetheellipsometrydata.

The constructed model is then generated a set of data which is then compared with measured data. The analysis procedure is called data fitting, because the model parametersarevariedtofindthebestfitbetweengeneratedandmeasureddata.Themost commonfitparametersareopticalconstantandthicknesses.Thefittingalgorithmusedin

VASEistheMarquardtLevenbergalgorithm.Therootmean square error (MSE) is usedtoquantifythedifferencebetweentheexperimentalandpredicteddata.Therefore, this proposal emphasizes analytical techniques used in modeling ellipsometry measurementsofporoussamples.Thisdesignedanalyticaltechniquewasacceptedfor publicationinJournalofAppliedPhysics(April2006).

12 SCCO2 Processed sample

SCCO2/TMCS SCCO2/ Plasma MEK,Butanol, Methanol Vapor/HMDS Ascured sample SCCO2/TMCS

SCCO2/ MEK,Butanol, Methanol Vapor/HMDS

Control conventional thermal annealing sample

Annealed Plasma Vapor/HMDS Ascured sample Annealed

Table12Flowchartoverviewdissertationresearchwork.

13 Figure 12 (a) shows the typical process flow implemented in industry for manufactureofintegrateddevice[31].ThePPO/PMSSQsolution(dielectricmaterial)is firstspincoatedonsilicon,followedbyporogenandwaterremoval.Initially,thissample hasgoodpropertiesofnanoporousmaterial(i.e:lowk,nowater).Thesamplewasthen subjected to lithography process for patterning which includes plasma exposure for photoresist residues removal. This plasma process damages the nanoporous dielectric film. Metal were then deposited on this patterned samples followed by chemical mechanical polishing to remove the excess metal. This process flow leads to some disadvantages such as degrading the good quality of nanoporous material (increase k value,andincreasewatercontent).

Inthisdissertation,Iamsuggestingthefollowingprocessflow.SimilarasFigure

1a,thePPO/PMSSQsolutioniscoatedonsilicon.However,thesampleissubjectedto photolithography process, photoresist residue removal by plasma process, metal deposition and then CMP process. Then, in the final step, this patterned sample is subjected to porogen and water removal process creating nanoporous lowk dielectric layer. In this dissertation, it is found that this proposed process flow can reduce the damagesonthenanoporouslowkfilmascomparedtoprocessinFigure12(a).

Figure12(b)showstheascuredopenporesamplesexposedtoplasmatreatment, theseprocessedsampleswerethensubjectedtoSCCO2treatmentforporogenandwater removal. These samples were divided into two for TMCS and HMDS treatment: 1

SCCO2/TMCSand2VT/HMDS.

14 Spincoat Spincoat PPO/PMSSQ PPO/PMSSQ solution solution

Lithography Porogen/water patterning, removal plasmato removeresidues

Depositmetals Lithography patterning, plasmato removeresidues

Depositmetals CMP

CMP Porogen/water removal

(a)Industry (b)Proposedprocessflow PPO/PMSSQ Metal

Silicon Nanoporousfilm

Figure12Processflow(a)industryand(b)proposedinthisdissertation.

15 1.9 Dissertation Organization

Chapter2describesthedefinitionofSCCO2andpropertiesinsupercriticalfluid.

ThischapterpresentstheliteraturereviewofSCCO2treatmentinpreparingporouslow dielectric constant thin films. It also reviews silylation (HMDS/TMCS) process via

SCCO2treatmenttocureplasmadamagedporoussample.Thischapterdescribesother

SCCO2applications.

Chapter 3 describes the methodology and theory background of optical and electricalcharacterization.Thischapterfirstexplainsthetheoryoflightinderivationof theFresnelreflection/transmissionequationatplanarinterfacethatleadstodescriptionof thinfilmproperties.Italsoreviewsspectroscopyellipsometryanalysisinmodelingofthe porous film by other research group. This section explains the designed analytical methodsusedinspectroscopicellipsometrymodelingforvarioustypesofporousfilms

(i.e: single layer, bilayer, graded single layer and graded bilayer). In addition, this chapterbrieflyexplainsFTIRandalsoCVmeasurements.

Chapter4explainsthefabricationofnanoporousorganosilicatethinfilmsviathe sacrificial porogen approach. This chapter describes the porous samples used in this research(i.e:openporeandclosedpore).Italsoexplainsconventionalthermalannealing proceduretoremoveporogen.Thischapterdescribesvariousmodes(static,dynamicand static/dynamic) of the SCCO2 process, high pressure SCCO2 experimental system, experimentalprocedureandexperimentalparameters.

Chapter5presentsthe resultsanddiscussionobtained from this research. This chapter reviews the implemented spectroscopy ellipsometry analytical method for characterizingdifferenttypeofporousfilm(i.e:singlelayer,bilayer,gradedsinglelayer

16 andgradedbilayer).ItalsodiscussedthermalannealedandSCCO2processedsamplein generatingnanoporousfilm.ThischapterstudiedtheeffectofHMDSvaportreatmenton nanoporous organosilicates film using spectropscopy ellipsometry. This chapter also discussed the results of the plasma exposure (for patterning process) prior to porogen/waterremovalprocess.

Chapter6presentsconclusionandfuturedirectionsofthisresearch.

17 Chapter 2

Literature Reviews

2.1 Supercritical CO2 Process to Generate Porous Film

Supercritical CO2 is CO2 with unique properties by employing properties in betweenagasandliquid.Figure21isapressuretemperature(PT)phasediagramthat showsthephasetransitionofCO2.ThephaseofCO2referstoitsformthatisuniformin chemicalcompositionandphysicalstate,forinstanceasolidphase,liquidphaseandgas phase.Aphasetransitionreferstoaspontaneoustransitionfromonephasetoanother phasesuchasasolidphaseconvertstoaliquidphaseorasolidphaseconvertstoagas phase. This phase transition occurs at a certain temperature and pressure. The PT diagramshowsthethreelinesdescribingmelting,sublimationandboilinglineinwhich refers to the gas, solid and liquid phase. These lines are phase boundaries separating differentregionofphases.Alongtheline,thetwophasesarecoexisted.Forexample,the twophasesofliquidphaseandgasphaseareexistedintheboilingline.Samegoesto sublimationline,bothsolidphaseandgasphaseexisted.Inotherword,thetwophases are separated and can be distinguished from each other along these lines at certain temperatureandpressure.

18 As the temperature and pressure increase, CO2 reaches a point called critical point. Critical temperature (Tc) is the highest temperature in which CO2 gas can be convertedtoliquidaspressureincreases.Criticalpressure(Pc),ontheotherhand,isthe highest pressure at which liquid phase can be converted to gas phase as temperature increases. CO2 is known as in its supercritical state at any temperature above Tc and pressureabovePc.Thereisonlyonephaseexists,whichiscalledthesupercriticalfluid.

Atthisstageneitherliquidphasenorgasphaseexistedinsupercriticalregion.TheCO2 supercriticalfluidpossessesbothpropertiesofgasandliquid.Atthisregion,nomatter howmuchtemperatureisapplied,CO2fluidinthisregionwillneverboilandnomatter howmuchpressureisapplied,CO2fluidinthisregionwillnevercondense.Supercritical

CO2occursattemperatureabove31°Candpressureabove1050poundspersquareinch

(psi).

Meltingline Supercriticalfluid Solid Liquid Pc CriticalPoint Boilingline

Gas

Sublimationline Tc

Figure21.Aphasediagramshowingthedifferentphaseandsupercriticalregion.

19 For abetterunderstandingofthedifferencebetweensupercriticalstate andany otherstates,thecomparisonbetweenliquidvaportransitionsataconstantpressure(open vessel)andaconstantvolume(closedsealedvessel)isreviewed. Figure22illustrates thebehaviorofCO2heatedinbothopenandclosedsealedvessel.Inanopenvessel,the liquid is vaporized freely into the surrounding as the temperature increases. At this moment,theinterfacebetweenvaporandliquidarestillexist.Thisconditionisknownas boiling. In a close sealed vessel, boiling process does not occur. Instead, the vapor densityincreasesastemperatureincreases,buttheliquiddensitydecreases.Similartothe openvessel,thephaseboundariesorinterfacebetweenvaporandliquidarestillexistat thisstage.Thisprocessisknownasvaportreatment.However,asthetemperatureand pressurecontinuetorise,thevaporandliquiddensitybecomecloserandclosertoeach otheruntilitreachthecriticalpointwherethereisnophaseboundarybetweenthetwo.

Atthispoint,theliquidgaslineorphaseboundariesdisappearandthereisnointerface betweenthetwo.Thisconditionisknownassupercriticaltreatment.

interface interface

(a) (b) (c)

Liquid Vapor Supercritical

Figure22ThebehaviorofCO2inopenandclosedsealedvessel. (a) A liquid in equilibrium with its vapor[boilingprocess](b) Liquidheatedin sealedcontainer[vaportreatment](c)Liquidheatedinsealedcontainedabovethe criticaltemperatureandcriticalpressure[supercriticaltreatment].

20 Supercritical fluid (SCF) exhibits both properties of gases and liquids.

Supercriticalfluidhashighsolventstrengthduetoitsliquidlikedensitycharacteristic.In additiontothat,SCFexhibitsgasliketransportpropertiesofdiffusivity andviscosity.

The diffusion coefficient (cm/s2) is somewhere in the middle of gases and liquid and viscosity(g/cmsec)issimilartothegasesMoreover,supercriticalfluidhaveverylow

(~0) surface tension allowing facile penetration into microporous materials. Table 21 showstypicalphysicalpropertiesofgas,liquidandsupercriticalfluidsintheordersof magnitude.ItcanbeseenthatviscosityofSCFisclosertotheviscosityofgas.Also, diffusivityofSCFissomewhereinbetweengasandliquid.ThedensityofSCFiscloser toliquids.

Density Diffussioncoefficient DynamicViscosity

(g/ml) (cm2/sec) (g/cmsec)

Gas 1x103 1x101 1x104

Liquid 1 5x106 1x102

SupercriticalFluid 3x101 1x103 1x104

Table21.Physicalpropertiesofagas,liquidandsupercriticalfluid.

SupercriticalCO2isagoodsolventtodissolvenonpolarsolids;howeveritcannot dissolvepolarmolecules.Addingasmallamountofpolarcompoundscanenhancethe solvatingpowerofSCCO2.Thesubstancethatisincorporatedintosupercriticalsystemis known as cosolvents or modifiers. This cosolvent, which is a liquid under ambient condition, has been used intensively to alter SCCO2 solvating properties. With the

21 additionofparticularcosolvent,asupercriticalprocesscanbecustomizedintocertain application.SupercriticalCO2processisanadvantageousfromenvironmentalstandpoint of view because CO2 gas is inert, relatively nontoxic, does not support combustion, cheap,commerciallyavailableinhighpurityandisnotanozonedepletingcompound.

Furthermore, there are no excess used liquid after SCCO2 process as compared to aqueouscleaning.

Lubguban et al. (2004) demonstrated a SCCO2 process for the preparation of nanoporousorganosilicatedthinfilms.ThesupercriticalCO2forporogenextractionwas performedwithoutcosolventsatpressureof7000psiandtemperatureof200°Cfor14 hour.Filmswithbothopenandclosedporestructure were studied. These researches showthatthethicknessesofthetwosamplesremainedthesameaftertheSCCO2process.

BasedonthemultipleGaussiancurvefittingofFTIRanalysis,thepercentageporogen removalofclosedandopenporefilmwasfoundtobe85%and92%respectively.This provedthatSCCO2isapromisingtoolinfabricatingnanoporousthinfilm.Itisbelieve thataddingappropriatecosolventsintoSCCO2systemandusingstatic/dynamicSCCO2 modewillenhanceporogenremovalefficiency.

SimilarasLubgubanetal.(2004),Rajagopalanetal.(2003)presentedhisworkin

AppliedPhysicsLettertodescribeSCCO2treatmentforporogenremoval,hencecreating nanoporousfilm.Twosetofsamplepreparedviasacrificialporogenapproach:(1)open and (2) closedpore sample. These samples consisted of phaseseparated porogen that entrappedbetweencrosslinkedPMSSQmatrix.Thisperformance porogen removal via

SCCO2 treatmentwascomparedwithhightemperaturethermalannealing.Itwasfound thatSCCO2treatmentcanextractporogenattemperaturelessthan200°C;whereas,the

22 conventional thermal annealing process for porogen decomposition occurred at high temperatureof430°C.

Lubguban et al. (2002) showed a SCCO2 treatment to extract unbonded and looselybondedlowmolecularweightandCO2solublespeciesincreatingvoidsforlow kfilm.Theexperimentparametersarepressureof8650psiandtemperatureof200°Cfor

8hours.Inthisexperiment,thematrixfilmsusedwerecomposedoforganosilicatesand of a low molecular weight aC:F. These samples were deposited by plasma enhanced chemical vapor deposition with C4F8 (gas), tetravinyltetramethylcyclotetrasiloxane

(liquid) and H2 (gas). This research found SCCO2 process can extract low molecular weightspecies(CF)orlargerCO2solublespeciescontainingCFxmoieties.Thisreduced thedielectricconstantvalueofthematerialfrom2.87–2.48.

Xie and Muscat (2004) investigated SiOH condensation on porous methylsilsesquioxanefilmusingcosolventsdissolvedinSCCO2process.Threetypesof cosolvents were used: molecules with polar functional groups, nonpolar functional groupsandlowvaporpressure.SCCO2withadditionofalcoholascosolventsisfoundto remove hydrogenbonded silanols and replace it with isolated silanol on surface efficiently.Forexample,methanolcontainspolarfunctionalgroups(OH)thatbondwith polarwatermoleculesandincreasesthesolubilityofwaterinthesupercriticalfluidphase andreactswithsilanolgroup.ItisshownthatSCCO2withadditionofmethanolremoved silanolgroupsignificantly.

Paietal.(2004)preparedmesoporoussilicatefilmsusingpreorganizedtemplates in supercritical fluids. A block copolymer template containing hydrophobic and hydrophilic block is spincoated on substrate. Upon drying and annealing to induce

23 microphaseseparation,thetemplateisexposedtosupercritical fluid.Asolutionmetal alkoxideisusedasprecursorinSCCO2todiffuseintothetemplateandreactselectively withhydrophilicsegmentstoformmetaloxidenetwork.Thecatalystislocalizedinthe hydrophilic segments without reacting with hydrophobic domains. The byproduct of alkoxide condensation is carried away by CO2. Finally, the sample is taken out from supercritical system. The template is then removed via calcination and degradation in reactiveplasmatocreatemesoporousstructure.

2.2 Supercritical or Vapor Treatment with HMDS to Repair

Porous Film

Capani et al. (2005) observed intrusion rate of hexamethyldisilazane (HMDS) duringSCCO2processfunctionalizationoftriethoxyfluorosilane(TEFSxerogelbased film). The difference between SCCO2/HMDS processed at pressure 2500 psi and temperatureof40°Cversussamplesprocessedat2500psiand60°Cwerestudied.At highertemperature,therewerelesssilylationascomparedtolowertemperaturesuchthat thedepthpenetrationislesser.ThisresearchshowedthatthedepthpenetrationofHMDS viaSCCO2intoporousfilmisnotsignificant.However,supercriticalfluidsdidofferan effectivemeansofrepairingashdamagetoporouslowkfilm.Byreactingthesilanols withHMDSsilylating agentinsupercriticalfluid, itincreasedthefilmhydrophobicity andremovedadsorbwater.

Reidy and Matz (2005) demonstrated the performance of supercritical process with addition of silylating agent (HMDS) in repairing the damaged lowk film and

24 sealingpores.TheO2ashdamagedunderwenttwosetsofSCCO2processingconditions.

First,thesampleswerereactedunderpressureof2700psiandtemperatureof60°Cina cleanroomenvironment.Second,theexperimentwasperformedunderpressureof1400 psi and temperature of 40°C (not in a clean room environment). At a lower pressure process(1400psi),thecontactangleincreasedupto63°andsaturatedwithin1minute.

At high pressure process, the contact angle increased up to 50° within 1 minute and continuedtoincreaseasafunctionoftime.Thesurfacecarbonspecieswasexaminedvia xrayphotoelectronspectroscopy(XPS).FromtheXPSanalysis,itisfoundthatthereisa significant loss of carbon species (i.e. methyl group) during oxygen plasma and an increased of carbon species during silylation process. The SCCO2/HMDS process incorporated trimethylsilyl ((CH3)SiO) group at the surface. Dynamic secondary ion massspectroscopyanalysisdemonstratedthatthedepthofthesilylationrepairisvery limited.AsthetimeofHMDSexposureincreases,nochangeincarbondepthprofilewas found.ThismayduetotheinitialsurfacesilylationthatblockfurtherdiffusionofHMDS intopores,andthuspreventreactionswithsilanoldeepersinsidethefilm.

OrozcoTeran et al. (2003) studied the effects of SCCO2 in combining with silylating agents (HMDS) on O2 ashdamaged MSQ sample. Films were exposed to oxygenplasmaatcertaintimeinterval,whichsimulatevaryingdegreesofdamage.The

SCCO2/HMDSprocesswasperformedatpressureof1200psiandtemperatureof60°C for1minute.BasedonSEMimageries,alossof40nmthicknessisfoundafteroxygen plasmaashing.However,theincreaseinthicknessis recovered after silylation process duetothereactionswithSiOHintheashdamagedporousfilm.Thethicknessesbefore plasma,afterplasmaandafterSCCO2silylationaregivenas533nm,491nmand520nm

25 respectively. SCCO2/HMDSalsoallowstheporousfilmstowithstand subsequent wet etchprocesseswithoutsignificantmaterialloss.Athicknessloss(~14nm)occursafter the HF wet etch treatment of O2 plasmadamaged sample. However, there is no significant thickness changes perceived for the SCCO2/HMDS treated films. The hydrophobic of sample surface may permit the reaction of the HF solution with the porousfilm.

Mor et al.(2002)studiedarepairofplasmadamageinporous organosilicates

(POSG)filmsusingHMDSvaportreatment.Methodsoffilmpropertiescharacterization usedinthisstudyareN&Kanalyzer1200,FTIRspectraandCVKeithleyModel82(at

1 MHz). N&K analyzer is a film thickness measurementusingtheknowledgeoffilm reflectance spectrum. HMDS vapor treatment was performedat80°Cfor15minata pressure of 760 Torr. Three samples were investigated: POSG film without plasma treatment, POSG film with plasma treatment and POSG film with plasma treatment followedbyHMDSvaportreatment.Moretal.alsoshowedthePOSGfilmproperties changeswithO2plasmatreatmenttimeduration.ThethicknessofPOSGfilmdecreases with the increased of O2 plasma exposure time (30s, 60s and 90s). The sample –OH containedalsoincreaseswithtime.Thedecreaseinfilmthicknessisduetothereduction ofmethylgroupwhichcausedbyO2plasmaprocess.ThisisconfirmedbyFTIRresults.

TheO2plasmaisanexcellentoxidantwhichoxidizemethylgroup.Moretal.believed thatO2radicalscandiffuseintoporousfilmreactingwithmethylgroupandreplacingit tohydroxylgroup.Theremovedofthefunctionmethylgroupfromporousfilmdegrades dielectricofPOSG.Toovercomethisproblem,HMDSvaportreatmentisperformedto convert–OHgrouptomethylgroup.AftertheHMDStreatment,itisfoundthatmethyl

26 groupinsampleincreasedaswellasfilmthickness.Filmthicknessisincreasedby20 nm.Moretal.believedtheincreaseinthicknessaftertheHMDStreatmentisduetotwo reasons.First,theincorporationofSiCH3groupwhenHMDSreactswithSi–OHgroups.

Second,thedepositionofHMDSspeciessetonthefilmsurface.Theauthorsconcluded that this HMDS vapor treatment reduced –OH group, increased methyl group and improvedfilmdielectricconstant.

Gormanetal.(2004)studiedarapidrepairofplasmadamageinlowkdielectrics filmsusingSCCO2process.Plasmadamagedegradesqualitytowardwetetchprocess.

Thisisundesirablebecauseitincreaseswaterabsorption;henceincreasesthedielectric constantvalue.Gormanetal.comparedtheperformanceofcuringplasmaashdamaged sampleviasilylationprocessatsupercriticalstateandunderambientpressureprocess.

The silylation process passivated plasmadamaged surface by changing the sample properties from hydrophilic to hydrophobic. This passivated surface exhibits enhanced resistance toward wet etches processes owing to the nature of hydrophobic.

Characterization methods of film properties for this study were spectroscopic ellipsometry(VariableAngleSpectroscopyEllipsometryJ.A.WoollamCo.,Inc),FTIR andcontactanglemeasurement.TheSCCO2 experiment was performed at pressure of

1400 psi and temperature of 40°C. The silylating agent used was HMDS mixed with

Hexane.Hexaneisagoodsolventduetoitslowsupercritical pressure (1200 psi) and high HMDS solubility. In this experiment, SCCO2/solvents process was found to increasescontactangleto90°within1minprocess.However,underambientpressure process required 12 24 h to increase contact angle to 90°. After silylation SCCO2

27 process,itisfoundthattheprocessedsampleisresistedtowardsHFwetetcheswithno significantthicknesschanges.

2.3 Supercritical or Vapor Treatment with TMCS to Repair

Porous Film

Lahlouh et al. (2004) studied the SCCO2 process with the addition of butanol treatment to remove silanol group followed by silylation via SCCO2 with TMCS to restoremethylgroupinO2plasmadamagedporoussamples.Theexperimentwasdoneat pressure of 7000psi and temperature of 150°C. First, the O2 plasmadamaged porous samplesunderwentSCCO2processwith7injectionsofButanol.Eachbutanolinjection waslastedfor5minutes.Thus,Butanolisdiscoveredtoincreaseboththepolarityofthe supercriticalfluidandthesolubilityofwater.Second,thesilylationprocesswasdone with3injectionsofTMCS.EachTMCSinjectionswaslastedfor30minutes.Itisfound thatTMCStreatmenthassuccessfullyreplacedsilanolgroupswithalkylsilyl.

Xieetal.(2004)dissolvedalkyldimethylmonochlorosilanes(silylationagents)in supercriticalfluidtocureoxygenplasmadamagedporoussample.Threesilylationagents were used: TMCS, butyldimethylcholorosilane (BDMCS) and octyldimethylcholorosilane(BDMCS).Thesupercriticalfluidprocesseswereperformed atpressureof2100–4300psiandtemperatureof50°C–60°C.Amongthethreeagents,

TMCSperformedthecleanlinesswithoutformingaphysisorbedlayerandgeneratedthe largestreductionindielectricconstantvalues.After TMCS treatment, a strong peak at

1068cm1showthatTMCSreactwithsilanolgroupandconvertittosiloxane(SiOSi)

28 bonds.Thisresearch alsoshowedthatadsorptionofsurfaceSiOHbandat3745cm1,

3400cm1and942cm1weredecreasedalongwiththeincreasedinmethylabsorptionat

1 1 2964 cm (CH3 asymmetric) and 2906 cm (CH3 symmetric). This is due to the replacement of silanol group with methyl group. Xie et al. claimed that TMCS is an excellent molecule to repair damaged film, however owingtoitsshort1carbonchain lengthitisnotexpectedtosealpores.

Liuetal.(2002)studiedarepairofplasmaashdamagefilmbyhydrogenplasma and TMCS vapor treatment. Three samples were preparedinthisexperiment:ascured

POSG film, POSG followed by O2 plasma, POSG plasma treated followed by furnace degassing,POSGplasmatreatedfollowedbyTMCSvaportreatmentandtwosteprecipe.

The twostep recipe consists of H2 plasma and TMCS vapor treatment. Prior to O2 plasma, the ascured POSG sample underwent H2plasma,followedbyO2plasmaand finallyTMCSvaportreatment.Hydogenplasmawasperformedtopassivatetheinner porousstructure.Thissteppreventedporousfilmtoadsorbpolarcontaminationandalso toprotecttheinsideporesagainsttheoxygenradicalspenetration.Itisfoundthatfurnace degassingandTMCSvaportreatmenttechniquedoesnotremovewaterefficiently.Large amountof–OHcontainedleftafterthose2treatmentscontributedtohighkvalue.The twosteprecipeprovidedthebestresult.Therearenowatercontainedisfoundinsample anditsdielectricconstantislow(k=2).

Changetal.(JournalofVaccuumScienceandTechnology)(2002)andChanget al.(JournalofTheElectrochemicalSociety)(2002)investigatedarepairofplasmaash damaged porous film by TMCS vapor treatment. Methods of film properties characterization used in this study are N&K analyzer 1200, FTIR spectra and CV

29 KeithleyModel82(at1MHz).TMCSvaportreatmentwasperformedat60°Cfor25 minatapressureof760Torr.Fivesampleswereprepared:ascuredPOSGfilm,POSG followed by plasma treatment, POSG plasma treated followed by TMCS treatment,

POSG with wet stripper dipping and POSG wet stripper dipped followed by TMCS treatment.ItisfoundthatTMCScanconvertSiOHtoSiOSi(CH3)3groupforbothO2 plasmaashedsampleandwetstripperdippedsample.Thesamplesurfacebecamemore hydrophobicwithreducingmoistureuptakeinporoussample.

2.4 Other applications using supercritical fluid technology

Martinezetal.(2003)showedthatsupercriticalprocesscanbeusedasacleaning

fluidtoremoveanycontaminantsinporousmethylsilsesquioxanefilm.TheporousMSQ blankedissubjectedtoimmersionindeionized(DI)waterforthepurposeoftestingthe drying effectiveness of SCCO2. The SCCO2 static/dynamic (or pulsation) mode was performedinthisresearch.ThepulsationterminMartinez’swork,referstothequick rampdownofpressurefrom3200psito1500psiforatimelessthan15seconds,thena quick repressurization back up to the 3200 of starting pressure. It is found that static/dynamic(pulsation)methodworkswellindryingtheporoussample.

Gougousietal.(2005)demonstratedsupercriticalfluidcanbeusedindepositing metaloxideincludingAl2O3andZrO2wherethemetalorganicprecursorandoxidizing agentsweredeliveredinliquidintoSCCO2system.Gougousidiscoveredthathydrogen peroxideisaviableOsourceforoxidedeposition.TheSCCO2solvationpropertieswere foundtoaidinthedeliveryofprecursorsandintheremovalofbyproductsforadvanced lowtemperatureprocessingofoxides.

30 Cabanasetal.(2004)studiedthedepositionofgoldfilminsupercriticalfluid system.Thehighpuritygoldfilmsweredepositedontometal,ceramicandpolymer substrates.ThesupercriticalCO2staticmodewasperformedatpressureof2200psiand temperaturesbetween60°Cand125°C.Goldwasalsodepositedinsilicontrenchgiving ahomogenousdeposition.Yeetal.(2005)utilizedsupercriticalCO2toselectively depositcopperandpalladiumontosiliconsubstrate.

31

Chapter 3

Methodology and Theory of Optical and Electrical

Characterization

3.1 Overview

Variableanglespectroscopyellipsometer(VASE)[J.A.WoollamCo.]isused

to characterize optical properties of processed films (optical constant, thickness and

refractive index depth profile). A Nicolet 4700 Thermo Electron Corporation Fourier

Transform Infrared (FTIR) is utilized to study the structural properties of film.

CapacitanceVoltage (CV) measurement is used to measure the capacitance value of porous insulator layer, hence calculating the dielectric constant value. This chapter

overview basic concept on how do ellipsometer work, ellipsometer configuration,

reflection/refractionatplanarinterfaceandtechniqueofVASEanalysis.Spectroscopy

ellipsometricmodelsusedindescribingprocessedporousfilmarediscussed.Thischapter

alsoreviewedbasictheoryofFTIRabsorptionandBeerLambertlaw.Thequantitative

analysistechniquebyusingmultipleGaussiancurvefittingisalsoexplained.

32 3.2 Variable Angle Spectroscopic Ellipsometry

Spectroscopicellipsometryisanattractivemethodbecauseitisnoncontact,non

destructiveanddoesnotrequiresamplepreparation. Many material properties can be

characterizedsuch as:thinfilmthickness(single or multilayer),pore volume fraction, depth profile of material properties, surface and interfacial roughness. Thin film

thickness, optical constant and porosity are commonly used in this research. The

combinationofincidentanglesandspectroscopicmeasurementallowtheusertoacquire

largeamountofdatafromthesample.Hence,ellipsometryhasadvantagesinresearching opticalconstantofnewmaterials.

3.2.1 Basic concepts: How do ellipsometers work?

Ellipsometryinvolvesthelightmakingareflectionfromthesurfaceofinterest.

Figure3.1showstheimportantopticalphysicsofellipsometry.Ingeneral,theincidentor

incomingbeamfromalightsourceishittingonsamplesurface.Thelightsuffersmultiple

internalreflectionswithinfilmthicknessandreflectedoff fromthesampletodetector.

Thereflectedlightisanalyzedforitschangesofpolarizationstate.Theseincidentand

reflectedbeamthatlieonethesameplaneareknownasPlaneofIncidence(POI).The

electricfieldwaveoftheincidentlightbeam,whichistypicallyalinearlypolarized,can be decomposed into two vector components. The two vector components are

characterizedasparalleltotheplaneofincidence(Ep)andperpendiculartotheplaneof incidence (Es). These vector components are referred to as pwave and swave. The

33 subscript p and s stand for German word, “parallel” and “senkcrecht” respectively.

Similartotheincomingbeam,theelectricfieldofthereflectedortransmittedbeamare alsodecomposedintopplaneandsplanecomponents[29].

1.Linearlypolarizedlight

E Ep 3.Ellipticallypolarizedlight Ep

Es Es Planeofincidence

2.Reflectfromsamples

Figure31.Interactionofpolarizedlightwithsampleofinterest.

The fundamental equation of ellipsometry is based on the changes of the polarizationstatefromincomingandreflectedoffthesurface.Spectroscopicellipsometry measures Ψ and values as a function of wavelengthandincidentangle[32].These numbersarerelatedtothecomplexFresnelcoefficientforpandspolarizedlight.

R p ρ = = tan()Ψ ei [Eqn.31] Rs Where,

RpandRsarethetotalreflectioncoefficientsforaplanewavepolarized

light parallel (p) and perpendicular (s) to the planeofincidence,

respectively[33,34].

ΨistheamplitudeoftotalFresnelreflectioncoefficient,.

34 isdifferentialphasechangeuponreflection,=δrpδrs

ThemathematicalexpressionofRpandRsarederivedfromMaxwell’sequations forelectromagneticradiationthatappliedtotheboundarybetweendissimilarmaterials relatedtotheFresnelreflectioncoefficientandlayerthickness;hence,interrelatedwith opticalconstantofmaterials[35,36and37].

3.2.2 Ellipsometer Configuration

Ellipsometerisanopticalinstrumentusedtomeasurepolarizationstateschanges

uponreflection.Anellipsometerconsistsofseveralopticcomponentsthatcomewith

manydifferentsetofconfigurations.Allellipsometerconfigurationsconsistoffourbasic

optic devices element: light source, , analyzer and detector. The five main

ellipsometer configurations are: Rotating Analyzer Ellipsometer (RAE), Rotating

Polarizer Ellipsometer (RPE), Rotating Compensator Ellipsometer (RCE), Polarization

ModulationEllipsometer(PME)andNullellipsometer.TheRAEisutilizedtoanalyze

the samples in this work. The differences between each of the ellipsometer

configurations are beyond the scope of this work. The details are well described in

ellipsometryandpolarizedtextbook[38].Thus,abriefdescriptionofthedevices

usedinbuildinganellipsometerisillustratedinthissection.

First–lightsource,spectroscopyellipsometryrequires a broad spectrum light.

Thereareseverallightsourcesavailableandeachofthelightsourcesprovidesdifferent spectrumofwavelength.Forexample,Xenonlampcovers190nm–2umspectralrange

(UVVISNIR),QuartzTungstenHalogenprovides350nm–2umspectralrange(VIS

35 NIR)andSiliconCarbideGlobarcovers1.5um–40 um(NIRIR).Amongallthese light sources, Xenon lamp is installed in the ellipsometer for this work. Second – monochromatorisusedtoconvertanarbitrarylightintoaspecificwavelength.Third– polarizerisusedtotransformanyformofpolarizationstateintolinearlypolarized light.Fourth–compensatoristypicallyusedtoconvertalinearlypolarizedlightinto circularlypolarizedlight.Thecompensatorretarderworksbyretardingthephase90°or

¼wave.Fifth–analyzerisusedtoanalyzetheoutputstateofpolarizationlight.Sixth– detectorisusedtomeasuretheintensityoflightthatpassingthroughtheanalyzer.Figure

3.2 shows the ellipsometer configuration (Variable Angle Spectroscopy Ellipsometer) usedinthisresearch.

Light source Detector

Monochromator Rotating Polarizer Analyzer

Sample

Figure 32. Rotating Analyzer Ellipsometer, Variable Angle Spectroscopy EllipsometerVASE™(J.A.WoolamCo.Inc.)usedinthiswork.

3.2.3 Reflection/refraction at the planar interface

Inordertomakeuseoftheellipsometermeasured datatodescribetheoptical propertiesofthinfilm,electromagnetictheoryshouldbeusedtoderivereflectionand

36 transmissioncoefficientintermsofitsamplitudesandphase.Thesecoefficientscontain informationofopticalpropertiesthefilm.Hugeamountoftheoreticalworkonderivation electromagnetictheoryoflighthavebeendoneindepthinmanytextbookandpapers

[29,35,38].Inordertounderstandhowellipsometrywork,itisimportanttounderstand a basic knowledge on how the light interacts with matters, leading to derivation of reflectionandtransmissioncoefficients.

Notations used in ellipsometry are introduced. Wave propagating in isotropic medium can be described as a complex index of refraction. It contains a real and imaginarynumbergivenas:

N = n + jk [Eqn.32]

Where n is the index of refraction describing the ratio of phase velocity (v)withina material to the speed of light in vacuum (c=3x108 m/s), n=c/v. k is the extinction coefficient describing the amount of light being absorb by the material. The light intensitydecreasesasittravelsthroughanabsorbingmaterial.Thedecreaseinthelight intensityperunitlengthzcanbedescribedas:

−αz I(z) = I 0e [Eqn.33]

Where,

I0isthelightintensitybeforeithitsthefilmsurface

αistheabsorptioncoefficient.

Theextinctioncoefficient,kisrelatedtotheabsorptioncoefficient,αgivenas:

λ k()λ = α [Eqn.34] 4π

k is in the function of wavelength. Electric field vector traveling in a plane can be describedasbelow:

37 N − jω z j()ωt+δ c E = E0e e [Eqn.35]

Byinsertingequation[n+jk],theaboveequationcanberewrittenas:

n k − jω z − jω z j()ωt+δ c c E = E0e e e [Eqn.36]

where,

δisaconstantphaseangle,

cisthefreespacewavevelocity

Eoisthegeneralcomplexcontainsbothamplitudeandphase

Next, we reviews reflection and refraction occurs when incident light from

medium0hitonanothermedium1. Figure33showstwomaterialswithtwodifferent propertiessuchasN1andN2.Theincomingbeamatangleθihittingonsamplesurface

willreflectedoffatangleθr.Someoftheincomingbeamwillrefractedintothemedium

2. The total electric field inside medium 0 and medium 1 must obey the Maxwell’s

equationandsatisfytheboundaryconditionsatthe interface. First, the direction of all

electricvectors(incident,refractedandtransmitted)mustlieonthesampleplane,POI,

perpendiculartothesamplesurface.Second,incidentandreflectedlightmustobeyLaw

ofReflectionsuchthattheincidentangleofincomingbeammustequaltotheincident

angleofreflectedlight(θi=θr).Third,therelationshipbetweentheanglesandrefractive

index (N) for both medium 0 and medium 1 for electric field traveling in isotropic

mediumcanbedescribedbySnell’sLaw,givenas:

N1 sinθ1 = N 0 sinθ 0 [Eqn.37]

38 p p

s θi=θ0 θr s Medium0

Medium1

θ1 p s

Figure33.Illustratesobliqueincident,refractedandreflectedbeamonplanar surfacefrommedium0tomedium1.

Asmentionedearlier,theincomingbeamcanbedecomposedintotwoelectric

vector components, pwave and swave. For an incoming electric vector vibrating perpendicular(swave)tothePOI,thereflectedandtransmittedwavesarealsovibrating perpendiculartothePOI.Samegoesforincomingelectricvectorvibratingparallel(p

wave)tothePOI,thereflectedandtransmittedwavesarealsovibratingparalleltothe

same plane. The complex amplitude of the component electric vectors of incident,

reflected and transmitted for each of pwave and swave is related to the index of

refraction(Nx)andangles(θx)andgivenas:

Erp N1 cosθ 0 − N 0 cosθ1 rp = = [Eqn.38] Eip N1 cosθ 0 + N 0 cosθ1

Ers N 0 cosθ 0 − N1 cosθ1 rs = = [Eqn.39] Eis N 0 cosθ 0 + N1 cosθ1

Etp 2N 0 cosθ 0 t p = = [Eqn.310] Eip N1 cosθ 0 + N 0 cosθ1

39 Etp 2N 0 cosθ 0 t p = = [Eqn.311] Eip N 0 cosθ 0 + N1 cosθ1

Where rp or s and tp or s areknownasFresnelReflectionandTransmissioncoefficients, respectively.ByusingSnell’sLawequations rp, rs, tp, tscanbesimplifiedintermsof amplitudeandphase.

jδrp / rs rp / s = rp / s e [Eqn.312]

jδtp / ts t p / s = t p / s e [Eqn.313]

Where,

|rp|and|rs|istheratiosofamplitudesreflectedoverincidentwaveforp

waveandswave,respectively,and

δrp and δrs is the phase shift upon reflection for pwave and swave,

respectively.

Spectroscopyellipsometryisbasedonpolarizationchangesofincidentlightandreflected light on a material. This leads to the determination of the complex Fresnel reflection coefficientsratiopwaveoverswave.

rp ρ = [Eqn.314] rs

Oralsoknownas,

ρ = tanψei [Eqn.315]

Where,

rp tan Ψ = ,and [Eqn.316] rs

40 = δ rp − δ rs [Eqn.317]

Ψdeterminesthedifferentialamplitudechangesofincomingwaveuponreflectedwave by the electric vector components vibrating parallel and perpendicular to the POI.

measuresthephasedifferentuponreflectionbetweenpwaveandswave.

The objective in spectroscopy ellipsometry equations is to relate the complex amplitude of the incident wave to reflected/refracted wave vector components. The interactionsofincomingwavecomponentsfromambient(medium0)toafilm(medium

1)witht1thicknessdepositedonasubstrate(medium2)areshown.Forasimplecase, thefilmisassumedtohaveparallelboundariesbetweenbothinterfaces(i.e:filmairand filmsubstrate). Next, the substrate is assumed to be a thick adsorbing material (not transparent) such that the refracted light that transmitted into the substrate will never reflectedofftodetector.

Figure 34 shows the physical pictures that described the behavior of electric vector components within a film. The incoming oblique incident wave from ambient

(medium 0) on a material medium 1 resulted in multiple internal reflections within parallelplaneboundaries(medium1medium0andmedium1–medium2).Twothings occurredwhenwavehitonthefilmairinterface.Partofwavecomponentisreflectedoff

(r01)onthesamemedium0(air)andpartofitisrefractedintothefilm(t01).Thesewave

vector components (incident, reflected and refracted) can be described by Fresnel

reflection/transmissionequations.Next,therefractedwaveinsidefilmthicknesssuffers

multiple internal reflections. At filmsubstrate interface, part of wave component is

refractedintothesubstrate(t12)andpartofthewaveisreflectedoff(r12).Atthefilmair

interface,partofwaveisrefracted(t01)intoairandreflectedoff(r01).Theinterfacesare

41 not purely reflecting, thus at filmsubstrate and filmair interface, the light is leaked

(refracted).Thisphenomenonwillkeepoccurring,resultsinmultipleinternalreflections withinfilmthickness.Thepartialwavesrefractingouttoairwillgoestodetector.

Spectroscopyellipsometrymeasurestheoutputwavereflected/refractedofffrom thefilmitselfknownasthetotalreflectedamplitude.Thistotalreflectedamplitudeisthe sumofpartialwavesleadingtotheinfinitegeometriesseries,givenas:

− j 2β 2 − j 4β 2 3 −6 jβ R = r01 + t01t10 r12e + t01t10 r10 r12e + t01t10 r10 r12e + ... [Eqn.318]

Where,

rxy,andtxyaretheFresnelreflectionandtransmissioncoefficient,

βisthefilmphasethickness,

 t1  β = 2π  N1 cosθ1 [Eqn.319]  λ 

t1isthefilmthickness(medium1),

N1isthecomplexindexofrefractionoffilm,and

λisthewavelength.

θ 0 Medium0

t1 θ1 Medium1

Medium2 θ2

42 Figure34.Incidentbeamrefractedintofilmresultedinmultipleinternalreflection andreflectedouttomedium0.

3.2.4 Variable Angle Spectroscopic Ellipsometry (VASE™)

Measurement and Analysis

Spectroscopy ellipsometry does not directly measure the above properties like

refractive index or pore volume fraction but it measures Ψ and ., it is necessary to performamodeldependentanalysisofthemeasuredΨandtoextracttheseparameters

[44]. After the data are acquired over the desired spectral range at different incident

angles,themodelsfortheopticalstructureofthesubstrateandsampleareconstructed.

Then,theFresnelequationsbasedonthemodelareusedtopredicttheexpectedΨand

valuesandcomparedtothemeasuredΨandvalues.

Figure35showstheflowchartofspectroscopyellipsometrydataanalysis.First,

spectroscopy ellipsometry measurement of unknown sample is taken to give the

informationofΨandasafunctionofwavelengthandincidentangles.TheΨand

dataareanalyzedinordertoobtainopticalconstant,thicknessandotherdesiredthinfilm properties.Amodelisthenconstructedtodescribethemeasuredsamplesbysupplyingan

initialguessofthicknessandopticalconstanttodescribethepropertiesoftheunknown

film.Basedonthismodel,Ψandvaluesofthesamewavelengthandangleofincidence

with the measured values are generated. The measured and generated data are then

comparedusingacomparatorfunction–themeansquareerror(MSE)givenas:

43 2 2 1 N  Ψ mod − Ψ exp   mod − mod   1 MSE =  i i  +  i i   = Χ 2 [Eqn.320] 2N − M ∑  σ exp   σ exp   2N − M i=1  Ψ,i   1,  

Where,

NisthenumberofΨanddatapoints,

Misthenumberofvariableparametersinthemodel,and

σisthestandarddeviationoftheithdatapoint.

Thegoodfitbetweenthemeasuredandgenerateddataisdesirableinellipsometry

analysis. It shows that the build model provides correct information of the processed

sample’s optical properties such as: thickness, refractive index or surface roughness

information.

Details and source code for implementing LevenbergMarquardt algorithm is

describedinotherresearchpaper[39].Theimportantelementofthefittingprocedureis

thecurvaturematrixgivenas:

N  1 ∂Ψ mod ∂Ψ mod 1 ∂mod ∂mod  α = i i + i i [Eqn.321] kl ∑  2 2  i=1 σ Ψ,i ∂ak ∂al σ ,i ∂ak ∂al 

ThecurvaturematrixisrelatedtothecovariancematrixsuchthatC=[α]1.Once thefinalfitparametershavebeendetermined,thestandard90%confidencelimit(SCL) and the figure of merit (FOM) are used to describe the confidence limit of the ith fit parameterthatisgivenas:

SCLi = .1 65 (Cii ) [Eqn.322]

FOM i = SCLi × MSE [Eqn.323]

44 WVASE32 is an ellipsometry analysis software that generates the confidence limitFOMvalueaftereachfittingprocedure.ThisFOMvalueisalsoknownaserrorbar.

The error bars obtained from this work are: ±0.1 for thickness, ±5x105 for refractive index,and±1x102forporosity.

45 Experimental Data (Measurement) 100 Measurementorexperimental 80 Exp E 65° dataofunknownsample. Exp E 75° 60 40

in degrees in 20 Ψ 0 0 500 1000 1500 2000 Wavelength (nm)

Createmodeltobestdescribe thepropertiesofunknown Cauchy 900nm sample. Silicon 1mm

Generated and Experimental 100 Model Fit GenerateΨand(relatedto 80 Exp E 65° Exp E 75° Fresnel 60 Reflection/Transmission 40

Coefficients)valuesfromthe degrees in 20 buildmodel. Ψ 0 0 500 1000 1500 2000 Wavelength (nm)

Generated and Experimental 100 Model Fit Fitthegenerateddatawith 80 Exp E 65° Exp E 75° measureddata. 60 40

in degrees in 20 Ψ 0 0 500 1000 1500 2000 Wavelength (nm)

Results.Extractoptical Thickness=705nm, propertiesfrombuildmodel. Refractiveindex=1.44 Surfaceroughness=0 Gradinglayer=none

Figure35.Flowchartofspectroscopyellipsometryanalysis.

46 3.3 Analytical method using spectroscopy ellipsometry to characterize

3.3.1 Cauchy layer

TheCauchydispersionrelationisusedtomodeltransparentmaterials,suchthat therefractiveindexndecreaseswithanincreaseofwavelength.TheCauchyequationcan beexpressedasfollows:[29,35]

n n n(λ) = n + 1 + 2 [Eqn.324] 0 λ2 λ4

wheren0,n1andn2areknownasCauchycoefficients.n0istheconstantthatdominates

n(λ)forlong,n1controlsthecurvatureofn(λ)inthemiddleofthevisible spectrum and n2 influences n(λ) to a greater extent in shorter wavelengths. The parametersn0,n1,n2andthicknessofthefilmareusedtocalculateΨandvalues.

3.3.2 Bruggeman Effective Medium Approximation

(BEMA) layer

BEMAisamodelbasedontheintermixedcompositesmadeupofuniformcells, whichalltogetherfitthespacecompletely[40].TheBEMAlayerprovidesamethodto combinetwosetsofopticalconstantstogetherand thepercentageofthemixture.The opticalconstantsusedfortheporousfilmstudiedherearedensePMSSQ(nPMSSQ=1.39) andair(nair=1.0).TheBruggemanexpressionisgivenas[41]:

47 ε a − ε ε b − ε f a + fb = 0 [Eqn.325] ε a + 2ε ε b + 2ε

where,εistheeffectivedielectricfunctionofthecompositematerial.εa andεbarethe

dielectricfunctionsofphasesaandbintheirpureforms.f(aorb)=n(aorb)/(na+nb)arethe

volumefractionsofthematerialaandb.Basedonequation[Eqn.325],thecomplex

dielectricfunctionofeachmaterialisrequiredtocalculatethepercentageofthemixture

usingBEMAtheory.Theopticalconstantscanbepresentedbothintheformofcomplex

dielectricform(εˆ = ε1 + iε 2 )andcomplexrefractiveindex( N = n + ik ),whereiisthe

imaginarynumber[36].Therelationshipbetweenthecomplexdielectricfunctionandthe

complexrefractiveindexisgivenasfollows:

2 εˆ = N 2 = (n + ik) [Eqn.326]

Figure36showstheschematicrepresentationofBEMAtheory.Bruggemanexpression

describesparticlesofbothmaterialaandbmixedinrandombasisthatisembeddedinthe hostmaterial.Thus,thehostisnowamixtureofmaterialaandb.

Materiala,εa Materialb,εb

Compositesof a+b,ε

Figure36.IllustratesschemativerepresentativeofBruggemanEffectiveMedium Approximationtheory.

48 3.3.3 Graded layer

The graded layer is used to simulate a film that does not have a homogenous opticalconstantthroughoutfilmthickness(i.e.from filmsubstrate interface to filmair interface). This graded model works by generating aseriesofthinhomogenouslayers withopticalconstantsthatchangeslightlyineachlayer.Figure37showstheschematic representation of (a) single nongraded layer and (b) graded layer. The graded model slicedthelayerintocertainnumberofsublayers(i.e.:N1,N2,N3…N500).Theoptical constantofeachthinlayerisindependentofeachother.

N500

N1 N5 N4 N3 N2 N1 (a) (b)

Figure37.Showstheschematicrepresentativeof(a)singlelayerand(b)graded layertodescribeafilm.

3.3.4 Surface roughness

SurfaceroughnessmodelisaBEMAmodel.Itconsists50%ofair(n=1)and50%of film properties. Figure 38 illustrates the surface roughness on top of a film. Surface roughness model assumed a separate surface roughness layer from the film layer by drawingaparallellineinbetweenthesurfaceroughnessandfilm.Bothsurfaceroughness andfilmhasindependentthicknessvalueandopticalconstant.

49 trough Surfaceroughness

tfilm Film

Substrate

Figure38.Illustrationofsurfaceroughnessonfilm.

3.3.5 Silicon substrate

Forthesiliconsubstrate,wechosedthesiliconopticalconstantsbyHerzingeret

al.1998whichcoverabroaderrange(188–6200nm)[42].Similaropticalconstants wereobtainedbyJellisonandAspnesfromthePalik’shandbookcanalsobeused[43].

Thechoiceofopticalconstantsdependsonthewavelengthrange.

3.4 Ellipsometry Modeling for Porous Film

Himchinschi et al. (2002) and (2001) used variable angle spectroscopy ellipsometer(VASE™J.A.WoollamCo.)tostudytheeffectoftreatmentwithHMDSon porosityofsilicaxerogelfilm.Theellipsometerspectraweretakeninthespectralrange of250nmto800nmat5nmstepinterval.Thespectra were taken with four set of incidenceangles–60°,70°,75°and80°.Cauchylayerisusedtomodeltheporousfilm andLorentzLorenzeffectivemediumapproximationisutilizedtocalculatepercentage

50 porosities. The porosity value decreases after HMDS treatment may due to the transformationofSiOSi(CH3)3groupsintoSiOSi(siloxane)groups.Thereductionof porosityafterHMDStreatmentleadstothereductioninrefractiveindexaswell[44,45].

AlvarezHerreroetal.(2001)usedarotatingpolarizerspectroscopicellipsometer

(SOPRA, Model ES4G) to study adsorption water on porous Vycor glass. The ellipsometricmeasurementwastakenin400–835nmspectralrangeandat55°and70° incidentangles.AlvarezHerreroetal.claimedthatporousVyvorglasshassomedegree of surface roughnesss. Thus, the amount amount of water adsorbed near the external surface supposedly should be greater than that adsorbed inside the porous sample. A rigorous ellipsometric model should include surface roughness and a certain inhomogeneityinrefractiveindexthroughoutthesamplethickness.However,Alvarez

Herreroetal.,didnotincludeinhomogeneityinrefractiveindexandsurfaceroughness in his ellipsometric model under assumptions that porous Vycor glass is isotropic

(homogenous)anditssurfaceisnotroughtosimplifyellipsometriccalculations[46].

3.5 Fourier Transform Infrared (FT-IR)

FourierTransformInfrared(FTIR)spectroscopyisatechniquederivedfromthe vibrationoftheatomsofamoleculetoanalyzeunknowncomponentsofthesamples.

Moleculesthatmakeupasamplearecomposedofatomsthatbondtogether,wherethese atomsarealwaysmovingor‘vibrating’.Theintensityofthisvibrationincreaseswhenthe moleculeabsorbsinfraredradiation.Aninfraredspectrumiscommonlyfoundbypassing throughinfraredradiationthroughasample.Adetectorwilldetecttheamountofincident radiation absorbed by molecule at a particular energy. The location of where the

51 absorption peak occurred refers to the frequency of vibrations of certain molecule of samples. The quantitative analysis in FTIR spectra is crucial in analyzing processed sample.

3.5.1 Absorbance, Lambert-Beer’s Law

Figure39illustratesanexampleofaninfraredradiationwithintensityIoentering thesample.Someoftheinfraredisabsorbedbysamplemoleculesandtransmittedoutto detectorwithintensityofI.Thelengthofthesampleisgivenasl.

Io I

l

Figure39.Asimpleabsorptionexperimentshowingsomeincidentlightisbeen absorbedbysample.

AccordingtotheBeerLambertlaw,theabsorbanceisproportionaltothelength ofthesamplethicknessandtotheconcentrationoftheabsorbingsamplegivenas[47]:

 I  A = log 0  = ε ⋅ c ⋅l [Eqn.327]  I 

Where

Iistheintensityoftheradiationenteringthesample,

I0istheintensityoftheradiationemergingfromthesample,

εisthemolarabsorptioncoefficientormolarabsorptivity,

52 cisthemolarconcentrationoftheabsorbingsample,

listhethicknessofsample,

The BeerLambert law given in Eqn 327, can be rewritten in terms of napierian logarithms(logeorln):

 I  A = ln 0  = κ ⋅ c ⋅ l [Eqn.328]  I 

Where,

κisthemolar(napierian)absorptioncoefficient.

Therearesomecaseswhereconcentrationvalueofthesampleisunknown.Ifthatisthe case,thenEqn.328canberewrittenas:

 I  A = ln 0  = α ⋅ l [Eqn.329]  I 

In an absorbing medium, the decrease in intensity I per unit sample length l is proportionaltothevalueofI[29,47]:

dI (l) = −αI()l [Eqn.330] dl

Solvingthisintegrationequationyieldsto:

−αl I (l) = I 0e [Eqn.331]

Thisequationcanbesimplifiedto:

1  I  α = − ln  [Eqn.332] l  I 0 

SimplifyEqn.332naturallogtologbase10gives:

1  I  α = − .2 303 log  [Eqn.333] l  I 0 

53 Or;

1 α = .2 303 ()log()()I − log I [Eqn.334] l 0

Arelationshipbetweenabsorptionandtransmissionisgivenasfollows:

1 A = log [Eqn.335] T

Where,

Tisthetransmissionvalue.

Transmission is defined as ratio of transmitted light through sample thickness over

incidentradiationoflight:

I T = [Eqn.336] I 0

IRspectrumcanbeplottedinmanywaysandsomeofmostcommonmethodtoplot

IRspectrumiseitherinabsorptionortransmission.Figure310illustratestypicalgraph

for absorption and transmission FTIR. The presentation of IR spectral regions can be plottedintermsofwavelengthasmeterssuchas:1Å=1010m,1nm=109m,1m=

106m.AnothercommonunitusedinIRspectralregionsisintermsofwavenumber,υin cm1.Therelationshipbetweenwavenumberandwavelengthinmeterisgivenas:

c υ = [Eqn.337] λ

Where,

cisthevelocityoflight(3x108ms1)

54 0.12 1.60 Absorptionspectra Transmissionspectra 0.13 1.55 0.14 1.50 0.15 1.45 0.16 0.17 1.40

0.18 1.35 0.19 Transmittance(A.U) 1.30 AbsorptionCoeff.(A.U) 2800 3000 3200 3400 3600 3800 4000 2800 3000 3200 3400 3600 3800 4000 Wavenumber(cm1) Wavenumber(cm1)

Figure 310. Comparison FTIR spectra between (a) absorption and (b) transmissionasafunctionofwavenumber(cm1).

3.5.2 Quantitative spectral analysis

OncetheFTIRspectrumisrecorded,thenextstageisinterpretationofFTIR spectrum.Theabsorptionbandappearedonthespectrumisassignedtoaparticularpart ofmoleculeswithinthefilmofinterest(i.e.water,methylgroup)whichisknownasa groupfrequency.Inthisresearch,afilmisdepositedonsiliconsubstrate.Itisimportant tocompensatebackgroundabsorption(silicon)by utilizingsubtractiontechnique.Two

FTIRmeasurementsarerequiredtoanalyzetheabsorptionbandoffilmalone:(1)the infrared spectrum of silicon only and (2) the infrared spectrum of film deposited on silicon.Thefilmspectrumisthensubtractedfromthesiliconspectrum.Thesubtraction processiscarefullydonebymakingsurethatthesubtractionresultsofthetwospectra yield ~0valueatthesiliconabsorptionpeak (620 cm1). At this stage, the subtracted absorptionspectrumissolelybasedonthefilmalonewhichwillbeanalyzed.

55 Table1listedthecorrespondingpertinentabsorptionbandsthatcanbedetected intheprocessedsample.Afteridentificationofgroupfrequency,aquantitativeinfrared analysiscanbecarriedoutbyperformingabaselinecorrectiononabsorptionspectraof film.Thebaselinecorrectionisdonebyproducingtheflatpartsoftheabsorptionspectra.

Figure311showsanexampleofabaselinecorrectionspectra.Thequantitativeanalysis can be used to calculate the percentage removal in the overall film composition. For instance,itcanprovidetheinformationofhowmuchporogenorwaterhasbeenremoved from the inorganicorganic nanohybrid film. Quantitative values for the specific absorption band (i.e. methyl group or water group) can be accomplished via multiple

Gaussiancurvefittingprocedures.Figure312illustratesmultiplecurvefittingprocedure ofFTIRspectra.

1.60

1.55 (a) ) 800 1 (b)

1.50 600

1.45 400 1.40 200 1.35

Absorbance(A.U) 0 1.30

2800 3000 3200 3400 3600 3800 4000 AbsorptionCoeff.(cm 2800 3000 3200 3400 3600 3800 4000 1 Wavenumber(cm ) Wavenumber(cm1)

Figure311.ExampleofFTIRspectra(a)baselineconstructionand(b)baseline corrected.

56 ) 500 1 400

300

200

100

0

AbsoprtionCoeff.(cm 2800 2900 3000 3100 3200 Wavenumber(cm1)

Figure312.DemonstrationofmultipleGaussiancurvefittingofFTIRspectra.

57

Activebond Position Description:Bondtype

(wavenumbercm1)

A. Water peak 30004000

Narrowband 36003750 Silanolgroups:

(i)isolated

(ii)geminal

(iii)vicinal

SiOH(isolatedorgeminal) 3749 Isolated/geminal

SiOH(vicinal) 3655 Vicinal

Broadband 30003600 Physicallyadsorbedfreewateron

thesurfaceofthepores

B. Methyl group 28003000

CH3symmetric 2906

CH3asymmetric 2964

CH2symmetric 28302855

CH2asymmetric 29202925

SiOSi 1060 Siloxane

SiCH3 12401280

Table31.SelectedFTIRactivebandpeaksusedinthisresearch.

58 3.6 Capacitance-Voltage (C-V) electrical measurement

Capacitancevoltage measurement is performed on MetalInsulator

Semiconductor (MIS) structure using HP Agilent Model 4282. The capacitance measurement is performed on heavily doped Silicon (ptype) substrate and the capacitancevaluescanbeusedtocalculatethedielectricconstantofmaterialgivenas:

C × t ε film= [Eqn.338] ε 0 × A

Where Cistheinsulatorcapacitancevalue,

ε0istheofvacuum

tisthefilmthickness

Aistheareaofmetalcircle

59

Chapter 4

Experimental

4.1 Overview

The objective of this chapter is to present the fabrication of nanoporous organosilicates thin films via sacrificial porosity generators (porogens) approach or inorganicorganicnanohybridtechnique. Italso describestwosetsofporousfilmwith differentmorphologystructure(i.e.openandclosedporefilms)usedinthisresearch.

Thischapterreviewstheconventionalthermalannealingprocessusedtocreateporous film. In order to understand the concept of SCCO2, the pressuretemperature phase diagram and properties in supercritical state is discussed. The schematic diagram of

SCCO2 system is shown. The experimental SCCO2 parameters are described. This chapteralsodescribesthereactionsinvolvesduringsilylationprocessbyaddingTMCS insupercriticalandHMDSinvaportreatment.

4.1 Nanoporous organosilicates thin films

Thegenerationofnanoporousthinfilmsusedinthisresearcharebasedontheso called sacrificial porogen (pore generator) approach by removing the organic macromolecular phase entrapped within crosslinked matrix selectively. This technique

60 has been developed by International Business Machines Corp. (IBM) Almaden

ResearchCenter[48].Figure41illustratesthebasic schematic diagram of generating nanoporousthinfilmviasacrificialporogenapproach.Theprocessbeginswithamixture ofanappropriateamountofporogenandmatrix(polymethylsislsesquioxane–PMSSQ) solutionthatisspincoatedhomogenouslyonsiliconwafer.Thesampleiscuredatcertain temperature and time duration to produce porogen phaseseparated structure. At this stage,thecuredsampleisinitshybridstatessuchthattheporogenisentrappedinthe

PMSSQ matrix. Next, entrapped porogen is removed via thermal annealing or supercritical,leavingbehindnanoporousthinfilm.

Spincoated Phaseseparated Porogen solution porogen removal

Figure41.Generalprocedureinpreparingnanoporousfilm.

Fornanoporousfilm,theporevolumefractionorpercentageporosityofthinfilm

describesitsmorphologystructurewhichdependsontheamountofporogenloadingand

matrixloadinginthemixedsolution.Largeramountofporogenloadingleadstohigher porosity.Likewise,lessamountofporogenloadingleadstolowerporosity.Therearetwo typesoffilmmorphologystructures:1.openporeand2.closedporestructure.Higher porosityreferstointerconnectedporeswithinsamplethickness.Thisisknownasopen pore structure. Whereas, lower percentage porosity indicates that pores are separated

61 from each other and known as closedpore structure. Figure 42 shows the difference betweentheopenporeandclosedporemorphology.

Figure42.Nanoporousfilmwithtwodifferentmorphologystructure(a)closed poreand(b)openpore.

4.1.1 Fabrication of sacrificial porogen approach

Figure 43 illustrates the procedure for preparing a nanoporous film. The sacrificial porogen used in this experiment is an organic polymer. The matrix, on the other hand, is an inorganic polymer – poly methylsilsesquixane (PMSSQ). Each of porogenandPMSSQisfirstdissolvedinsolvent(propyleneglycolmethyletheracetate

PGMEA)andsonicatedfor10minutesindividually.Differentmorphologystructureof the sample requires different amount of porogen loading. Depending on this desired structure, the dissolved porogen and PMSSQ is mixed at an appropriate amount and sonicatedfor10minutestoensureitishomogeneouslymixed.Themixedsolutionisthen loaded into disposable syringe and filtered through 0.2 um polytetrafluoroethylene

(PTFE)filterontoacleansiliconsubstrate.

Organic clean technique is used to clean the silicon substrate. The silicon substrateissoakedandsonicatedinacetonefor5minutestoremoveorganiccontaminant andbigparticlesonthesamplesurface.Thesampleisthenrinsedwithisopropanoland blewdrywithcompressedair.Oncethesiliconiscleaned,thesiliconsubstrateisreadyto

62 beused.Thesampleisspincoatedat3000revolutionperminute(rpm)for30seconds.

Afterspincoating,thesampleneedstobeheatedbrieflyonhotplateunderNitrogen(N2) environmentat50°Ctoremoveexcesssolvents.Next,thesampleiscuredfor2hoursat

200°C on a hot plate under N2 environment to produce phaseseparated structure of organic/inorganic hybrid. At this step, the porogen is phaseseparated and entrapped within the crosslinked matrix. The reason to perform this process under inert N2 environmentisbecauseporogencandecomposedat150°Cunderambient.Iftheporogen isdecomposedpriortomatrixcrosslinking,therewillbenophaseseparatedstructurein thefilm,hencenoporousfilm.

PMSSQ Porogen + +solvent solvent +

Appropriate Solutionis Brieflyheatedto Curedsampleunder quantitiesof passedthrough 50°Conhot closedN2environment PMSSQand inPTFEfilter plateunderN2 toproducethephase porogenwere ontosilicon. environmentto separatedstructure. dissolvedin Spincoatat removeexcess Sampleisreadyfor solvents. 3000rpmfor30 solvents. porogenremoval seconds. process(Annealingor SCCO2process)

Figure 43. Procedures to prepare nanoporous film using sacrificial porogen approach.

4.1.2 Description of samples used in this research

Inthisresearch,twobatchesofsamplesthatconsistofbothopenandclosed pores morphology structures are prepared. These films are fabricated by mixing

63 appropriateratiosofporogenandmatrixprecursor.Twotypesofporogenareused.The firstbatchisusingpolypropyleneglycol(PPG)actassacrificialporogen.Filmswith25 wt%porogenloadinggivesclosedporemorphologystructure(7525),whereas55 wt% porogenloadinggivesopenporemorphologystructure(4555).Thesecondbatchisusing polypropylene oxide (PPO) act as porogen with 15 wt% (8515) and 45 wt% (5545) porogen loading for closed and openpore morphology, respectively. The molecular structureforPPGis[–CH(CH3)CH2O]nwithlinearstructureandmolecularweightof

20,000g/mol.Thematrixprecursorforbothbatchesisofpoly(methylsilsesquioxane)

(PMSSQ)withmolecularstructureof[CH3SiO3/2]n.ThesolventusedforbothPPGand

PMSSQsolutionswaspropyleneglycolmethyletheracetate(PGMEA).Table4.1shows thesummariesofsamplesusedinthisstudy.

Samples Morphologystructure Description

Batch#1.PPG/PMSSQ Open,4555 55wt%porogenloading

Closed,7525 25wt%porogenloading

Batch#2.PPO/PMSSQ Open,5545 55wt%porogenloading

Closed,8515 15wt%porogenloading

Table41.Fabricatedopenandclosedporesamplesusedinthisstudywitheither PPGorPPOassacrificialporogen. 4.2 Thermal annealing process

Aconventionalthermalannealingprocessisusedtovitrifyentrappedporogenin matrix,hencecreatingnanoporousorganosilicatethinfilm.Thermalannealingisaharsh processdoneathightemperature(430°C–450°C)andlongertimeperiod(~5hours).

Typicallythesampleisputonhotplateandheatupfromroomtemperatureto~450°Cat

64 5°C/minute ramping under N2 environment. Thermal annealing inherent several advantages.First,thePMSSQmatrixcannotwithstandwithhightemperature,thusthe poremaycollapsed.Second,theprocessingtemperaturewindowcanbenarrowbecause thethermaldecompositionofporogenmustoccurwellbelowthantheglasstransitionof matrixtoavoidporecollapsed.

4.3 Supercritical CO2 process

Supercritical CO2 (SCCO2) treatment is an excellent tool in generating nanoporous lowk thin film. At supercritical state, CO2 possessed dual liquidlike solvatingstrengthandgaslikediffusivityandviscosityattemperatureabove31°Cand pressureabove1050psi.Moreover,supercriticalfluidhasaverylow(~0)surfacetension toallowpenetrationintonanoporousmaterials.SCCO2isanattractiveprocessduetoits lowcost process, nontoxic, does not support combustion and benign to environment.

The addition of small amount of cosolvents or modifier can enhance the SCCO2 solvatingstrengthandextractionperformance[49].

4.3.1 High Pressure SCCO2 Experimental System

Figure44illustratshighpressuresystemusedinSCCO2research.Thissystemis buildwithhighpressureequipmentstype316stainlesssteel(i.e:tubing,pressurevalve andconnectors)ratedtowithstandpressureupto20,000psiunder200°Cprocess.Ifthe system temperature is above 200°C, the system capability is limited to 10,000 psi.

Typically,theSCCO2processinthisresearchisperformedattemperaturebelow200°C

65 and pressure below 5000 psi. This system is equipped with high performance liquid chromatography(HPLC)pumpthatcanhandlepressureupto7000psi.Thecosolvent isinjectedtothesystembyusingHPLCpumpat1500psi.Highpressurevesselisplaced inanovenandthetubingiswrappedwithheatingcoilelementtoheatthesystemat certaintemperature.Thermocoupleisusedtomonitorthetemperature.Thedimensionsof thestainlesssteelvesselareinnerdepthof12.7cm,innerdiameterof2.5cmandouter diameterof6.3cm.Asampleholderwith12pansslotisusedtokeepsamplesinsidethe vessel.Thissystemisequippedwithmechanicalvacuumpumptoexhaustoutoxygen fromthesystempriortohighpressureprocess.TheSCCO2systemispressurizedwith

CO2gasbyairdrivengasboosterpumps(Haskel,BurbankCA).

High pressure gauges V4 V5 V6 Liquid injection unit Exhaust TC

V3

V2 Separation High purity CO2 at 600 psi Burst chamber disc High Vacuum gauge pressure V7 High pressure V1 vessel filter Drive air High Vacuum pressure Vn: valves station pump TC: Thermocouple

Figure44.SchematicdiagramofSCCO2system.

66 For safety purposed during performing high pressure experiment, the high pressuretubingiscoveredby¼inchthickpolycarbonate(Lexan®)polymerwhichisa verydurablematerialusedasabulletproof.Polycarbonateisatransparentandvisibleto lightisoftenutilizedasaprotectioninmanyplacessuchasbanks,warehouse,industries andalsolaboratories.Apolycarbonateboxenclosurewithdimensionof42”x35”x64” isusedtocoverhighpressuretubing.Themechanicalvaccumpumpisalsocoveredby modified 3” thick of wooden cabinet. The wooden door is replaced by transparent polycarbonatetomonitorthepressuresensor.Thesystemisalsoinstalledwithrupture discforsafety.

4.3.2 SCCO2 treatment procedure

There are three modes of SCCO2 process available such as static, dynamic and or combination of static/dynamic (pulse) mode. A static mode employs a supercritical systemtoholdatcertainpressureandtemperatureforspecifiedworkingtime.Indynamic extractionmode,afreshamountCO2atsupercriticaliscontinuouslypassthroughthe system.Instatic/dynamicorpulsemode,itrequiresaninitialstaticperiodmodetoinject cosolventandfollowedbydynamicmodetoflushoutthecontentsofthesystem.Then, the fresh CO2andcosolventsisinjectedagainintothesystem.Thiscycleisrepeated based on the number of pulses needed [Martinez at al. 2003]. It is found that static/dynamic mode is favorable in a situation where cosolvents must diffuse into desiredmatrixtobeextracted[50].Inpreviouspaper,SCCO2staticmodeisperformedto remove porogen. However, this SCCO2 static mode removal process took 14 hour

[51].In this research, the static/dynamic mode SCCO2 process is used. The pulsation

67 processrequirespressurizationanddepressurizationateveryinjection.Thesystemisset at1500psiforsolventinjections.Onceinjectioniscompleted,thesystemispressurized to4500psi.Thesystemisthenmaintainedat4000psiatatemperatureof165°Cfora specifiedsoakingtime.Thedepressurizationto1500psiistoensurethatthesystemis alwaysatsupercriticalstate.Thiscycleiscontinueduntilthethirdinjectioniscompleted.

ThesystemispressurizedanddepressurizedwithfreshCO2toflushtheexcesssolvent aftereachinjection.Then,thesystemispreparedforanothernewinjection.Thiscycleis repeated until all injections are completed. In final step, the SCCO2 system is again flushed and then ramped down to 700 psi. The system has to cool down to room temperature(RT)at700psipriorcollectingthesamplesforcharacterization.

ThestepsinvolvedintheSCCO2extractionprocessisgivenasfollows.First,the highpressurevesselandsampleholderiscleanedwithacetoneandisopropanol.Samples areplacedinthesampleholderandkeepinsidethevessel.Thesystemisvacuumedto minimizetheoxygencontentsinsidethesystem.Then,thesystemisflushedwithCO2at

550psitwicerepetitively.ThesystemisthenfilledwithCO2at550psiandtheovenis ramped up to 165°C. Once the oven reached the temperature at 165°C, the heating element coil that is wrapped around the tubing will then be heated up to 90°C. The heatingelementcoiltooklesstimewithapproximately5minutestoheatupascompared to oven that needs around 40 minutes. Theprocedure of SCCO2 static/dynamic mode used in this research follows the description mentioned above. Take note that solvent injectionalwaysoccurredatsupercriticalstate(i.e.CO2superciticalstateisTc=31°C andPc=1050psi).

68 4.4 Experiment parameters

TheSCCO2processisperformedattemperatureof165°Candpressureof3800

4000psi.Thevesselisheatedinovenat165°C.Thehighpressuretubingiswrapped with heating coil element and heated at temperatureof80°Ctoensurethatsystemis alwaysinsupercriticalstate.Thesolventisinjectedat1500psi.

The vapor treatment is performed at 80°C for 30 mins under atmospheric pressure.Thesamplesareplacedinaleakfreechamber.Thetemperatureisslowlyraised fromroomtemperatureupto80°C.

Intheplasmatreatment,samplesareexposedtooxygenplasmawith20SCCMof

CF4and80SCCMofO2gas(SCCMdenotescubiccentimeterperminuteatSTP)flows for1minat200Wplasmapowerand600mTorrworkingpressure.Thefunctionofthis plasmaprocessistosimulateplasmadamageinporoussamplethatoccursduringnext processintegration(i.e:photoresistremovalviaplasmaprocess).

CharacterizationssuchasFTIRspectroscopyandellipsometerymeasurementare performedinambientandroomtemperature.

69

Chapter 5

Preliminary Results and Discussion

5.1 Overview

This chapter overview preliminary result obtained from this research. Models used in spectroscopic ellipsometry analysis in characterizing porous thin film are presented. These models are designed to characterize several different types of porous film that would possibly being encountered throughout the research: single layer, bi layer,gradedsinglelayer,gradedbilayerandfilmwithsurfaceroughness.Theresults arevalidatedbyotherinstrumentssuchasFilmmetricsforthicknessmeasurementand

SAXS for pore volumefraction (percentage porosities). Scanning electron microscopy

(SEM) imageries are also used to validate bilayer ellipsometric model. Results of multiple cosolvents injections in SCCO2 process are also included in this chapter.

Preliminary resultsofSCCO2 treatment for porogen and water removal are presented, along with the SCCO2/TMCS treatment to remove the remaining of water in porous sample. Finally, this chapter presents the result of the HMDS vapor treatment to seal pores.

70 5.2 Implemented spectroscopic ellipsometry analytical method

for characterizing porous thin film

ThenonporousPMSSQandSiO2wereanalyzedfirstasareferencefortheporous films. TheCauchymodelwhichworkedwellfortransparentfilmswasusedforPMSSQ films.SincePMSSQhasaOSiObackbonebasedonitsempiricalformulaof[CH3

SiO3/2]n,thefilmmaybetransparentattheabovewavelengthsandCauchymodelmay work. Figure 51(a) and Figure 51(b) show that the generated Ψ and spectra for

PMSSQisnearlyindistinguishablefromtheexperimentaldata.Thus,theCauchymodel works well with PMSSQ films. Excellent agreement between the experimental and generated data (not shown here) for SiO2 film were also found. The conversion of

Cauchy to the graded Cauchy model did not change the Ψ and fit spectra. This indicatesthatthenonporousPMSSQandSiO2sampleshavethesamerefractiveindex acrossthefilm(i.e:nongraded)andthus,haveuniformdensity.

100 300 90 250 80 Measured 200 70 Model65° Measured 150 60 Model75° Model65° 50 100 Model75° 40 30 50

indegrees 0 indegrees 20

Ψ 10 50 0 (a) 100 (b) 10 500 600 700 800 900 1000 500 600 700 800 900 1000 Wavelength(nm) Wavelength(nm)

Figure51.Nonporous PMSSQ(a)Ψfitspectra(b)fitspectrausingCauchy model.(MSE=5.5).

71 Table51liststhethicknessesandopticalconstantsforbothPMSSQandSiO2

filmobtainedfromCauchyandgradedCauchymodels.Thevalueoftheopticalconstants

FromCauchyanalysis,thePMSSQhasathicknessof701nm,refractiveindexof1.38

and an MSE of 5.5. The conversion to a graded layer did not change the MSE

significantly (4.9) resulting in similar thickness and refractive index. For SiO2 film, modifyingCauchytogradedlayerdoesnotimprovetheMSEeither.Thethicknessand refractiveindexforSiO2are457nmand1.46,respectively.

Nonporous Thickness Refractiveindex Model MSE Sample (nm) (n)

PMSSQ Cauchy 701.2 1.388 5.5 GradedCauchy 702.3 1.387 4.9

SiO2 Cauchy 458.7 1.460 4.8 GradedCauchy 457.1 1.463 4.1

Table51.ListofopticalconstantsandMSEfornonporousPMSSQandSiO2film modeledasCauchyandmodifiedgradedCauchylayer.

Table52liststhethickness,refractiveindex,porosityandMSEvaluesobtained by fitting the open and closedpore PMSSQ films using Cauchy, graded Cauchy and

BEMAmodels.Thissummaryofresultswillbereferredthroughoutthissection.

72

Thickness Refractiveindex Porosity Sample Model MSE (nm) (n) (%) Openpore Cauchy 637.1 1.137 – 3.1 GradedCauchy 638.6 1.137 – 1.5 1BEMAlayer 637.1 1.137 63.3 3.0 Graded1 638.7 1.137 63.5 1.6 BEMAlayer 2BEMAlayers: Toplayer 595.5 1.137 63.5 1.5 Bottomlayer 43.2 1.125 66.5 Totalthickness 638.7 Graded2 BEMAlayers: Toplayer 598.6 1.137 63.5 1.5 Bottomlayer 40.2 1.121 67.5 Totalthickness 638.8 Closedpore Cauchy 440.9 1.275 – 4.3 GradedCauchy 440.9 1.274 – 2.4 1BEMAlayer 440.7 1.275 28.6 4.4 Graded1 441.0 1.274 28.9 2.4 BEMAlayer 2BEMAlayers: Toplayer 368.0 1.276 28.5 2.2 Bottomlayer 72.9 1.261 32.2 Totalthickness 440.9 Graded2 BEMAlayers: Toplayer 370.3 1.276 28.4 2.2 Bottomlayer 70.4 1.259 32.7 Totalthickness 440.7 Table 52. List of thickness, optical constant, porosity and MSE for open and closedporefilmusingCauchyandBEMAmodelwithorwithoutgrading.

73 Figure 52(a) and Figure 52(b) show the measured and generated Ψ and spectra fortheporousPMSSQfilmwithopenporestructure.TheCauchymodel also workswellfortheporousPMSSQfilms.Thespectraforclosedporefilmweresimilarto openpore film, hence, will not be shown. We obtained film thickness of 637 nm, refractiveindexof1.13andarelativelylowMSEof3.1.

50 300 Measured 45 250 (b) Model65° 40 Model70° 200 35 30 150 25 100 20 50 15 Measured indegrees indegrees 0 Ψ 10 (a) Model65° 5 50 Model70° 0 100 500 600 700 800 900 1000 500 600 700 800 900 1000 Wavelength(nm) Wavelength(nm)

Figure52.Generatedandexperimental(a)Ψfitspectraand(b)fitspectrausing CauchymodelforopenporesamplewithanMSE=3.1.

Forthesupposedlyopenporestructure,theCauchymodelgives637nmwitha low refractive index of 1.13 [Table 52], implying a lower density PMSSQ and confirming the porous nature of the film (nonporous PMSSQ has refractive index of

1.38).Tocalculatethe porosityofthefilm,theBEMAmodelwasused.Twosetsof opticalconstantsareusedinBEMAcalculation:(i)densePMSSQmatrixand(ii)air.The

BEMAmodelgaveasimilarΨandbehaviorspectrawithCauchymodelandisnot shownhere.Thismodelgave63.3%porositywithMSEvalueof3.0andthicknessof637 nm–valuessimilarwiththeCauchymodel.Thisporevolumefractionobtainedfrom ellipsometer analysis is lower by 3% than previously obtained. Surface roughness

74 simulationwasaddedontheabovemodels,butitdidnotimprovethefitandMSE.This also mean that the porous film surface is relatively smooth (<1 nm roughness). The

Cauchy and BEMA model is then modified to graded layers in order to study the behaviorofporoussample.Thegradedlayermodeliscreatedbysubdividing100thin homogenous film layers. At each layer, the optical constant will slightly change. The depth profile is analyzed at 633 nm wavelength. Modifying both Cauchy and BEMA modelstogradedmodelshasimprovedthefitby50%(i.e.MSEdecreasedfrom3.1to

1.5).

Figure53showsthegradingprofileoftherefractiveindexat633nmfromsingle anddoubleBEMAlayersmodelforopenpore sample. For openpore film fitted with singleBEMAlayermodel,thedepthprofileshowsanabruptincreaseinrefractiveindex atthesiliconfilminterfacefrom1.12to1.135.Then,therefractiveindexchangevery slowlytowardsthebulkofthefilmandbecamealmostconstantuptothesurface(1.137).

Thus,thebulkfilmmaynothavethesameporosity comparedwiththethininterface layerbasedonfigure5.3.

1.140

1.135 1BEMAlayer(openpore) Graded BEMA 638.7 nm 1.130 Silicon substrate 1 mm

2BEMAlayers(openpore) 1.125 Graded BEMA (top) 598.6 nm Graded BEMA (bottom) 40.2 nm Indexofrefraction 1.120 Silicon substrate 1 mm 0 100 200 300 400 500 600 700 Distancefromsubstrate(nm) Figure53.Depthprofilesat633nmwavelengthforopenporefilmusingsingle BEMAwithgrading[MSE=1.5]andtwoBEMAlayerswithgrading[MSE= 1.5].

75 The material was then modeled as two BEMA layers to find the porosity and thicknessvaluesofthethinlayerattheinterfaceandbulk,respectively.Duringthefitting process,thepercentporosityandthethicknessofthetwoBEMAlayersarelefttovaryor float.TheresultfromTable52showsthattheinterfacelayeris43nmwithaporosityof

66.5%whereasthebulkhas3%lessporosity(63.5%).TheMSEvalueforthismodeling is 1.5, which, is equivalent with graded BEMA (1.5). We have also graded the two

BEMAlayerswiththegoalofloweringtheMSEandhaveamorespecificdescriptionof the film. Figure 53 shows depth profile for open pore structures film using two independentgradedBEMAlayers.Theporosityatthesiliconfilminterfaceis67.5%and

63.5%porosityatthebulk–adifferenceof4%.Theinterfacelayerthicknesswas40.2 nmwhilethebulkwas598nm.TheMSEforthismodeling(1.5)isthesamewiththe graded BEMA and two BEMA layers models. Since all three models gave the same

MSE,eitheronecanbeusedtophysicallydescribethefilm.Onecommonconclusion thatcanbederivedinallthreemodelsisthattheinterfaceis3–4%moreporousthanthe bulk.Themaximumthicknessofthisinterfacelayeris43nm.

Fortheclosedporefilm,similaranalysiswasperformedastheopenporefilm.

Thethicknessofthefilmwas440nmwitharefractiveindexof1.275andMSEof4.3

[Table52].TheBEMAlayergivesaporosityof28.6%andsimilarthickness,refractive index and MSE. This pore volume fraction obtained is higher by 2% from previous measurements. The generated and experimental Ψ and fit spectra show a similar characteristics with the open pore films. We then converted the models into graded layers.Figure54showsthegradingprofileforclosedporesampleandshowthesame behaviorastheopenporefilm.Theinterfacehasalowerrefractiveindexanditgradually

76 increasestowardsthesurfaceofthefilms.TheresultingMSEofthegradedlayerswere

2.4,a50%improvementofthefits.ThefilmwasalsomodeledastwoBEMAlayers,but theMSEfitimprovementissimilarwithgradedmodel(2.2).Thebottomlayer(72.9nm) showaporosityof32.2%andthebulkis28.5%.BothBEMAlayerswerealsogradedbut theMSEfitremainedunchanged.Figure54alsoshowsdepthprofileforclosedpore structureusingbothgradedBEMAlayers.Thebottomlayershowsathicknessof70.4 nmandaporosityof32.7%whilethebulkhasaporosityof28.4%.Followingthesame argumentsastheopenporefilms,eitherthegradedBEMAorCauchy,twoBEMA,and twogradedBEMAmodelscouldbeusedtodescribethe closedpore films because of similarity of MSE. However, it is clear that the substratefilm interface of the porous samples is 4% more porous than the bulk with a maximum thickness of 73 nm.

Spectroscopicellipsometrycouldnotdistinguishbetweenopenorclosedporesamples.

Thewavelengthoftheincidentlight(197~2000nm)isfartoobroadtobeaffectedwith theporesizesofthesamples(2~8Å)[22].Allofthemodelsusedhavegivenalmostthe sametotalthickness.

1.280 1.275 1.270 1BEMAlayer(closedpore) Graded BEMA 441 nm 1.265 Silicon substrate 1 mm 1.260 2BEMAlayers(closedpore) Graded BEMA (top) 370.3 nm 1.255 Graded BEMA (bottom) 70.4 nm Silicon substrate 1 mm Indexofrefraction 1.250 0 100 200 300 400 500 600 700 Distancefromsubstrate(nm) Figure54Depthprofilesat633nmwavelengthfor (1)closedporefilmsusing singleBEMAwithgrading[MSE=2.2],twoBEMAlayerswithgrading[MSE= 2.2]and(2)singleporousfilmusingsingleBEMAgrading[MSE=1.0]

77 Not all porous films are graded as we will be shown next. For directly baked porous sample (PPG MW=600 g/mol), we obtained an excellent agreement between generatedandexperimentaldatabyusingCauchyandBEMAmodel(MSE=1).Wethen convertedCauchyandBEMAmodelstogradedlayersgivingthesamefitasnongraded fits (MSE =1). This suggested that the directly baked porous sample has a uniform refractiveindexthroughoutthesampleandnotgraded.

Forthebilayerporoussamples,similartechniqueisperformedintheanalysis.

The bilayer sample is first modeled as a single Cauchy layer and BEMA layer. As expected,theexperimentalandgenerateddatadoesnotfitverywellgivenanMSEof77

(seeFigure55(a)andFigure55(b)).Thesinglelayermodelmodifiedtogradedmodel doesnotimprovedthefitvery well(MSE=29).Themodelisthenmodifiedtotwo independentCauchylayersandBEMAlayersimprovingtheexperimentalandgenerated spectrasignificantlyreducingtheMSEfitto3.5(seeFigure55(c)andFigure55(d)).

Theellipsometerresults forbilayersampleare comparedwithFilmetricF20thinfilm measurementsystem.Thistechniqueisbasedonlightreflectance.Forthetopfilm,our measurementsshow199nmthicknesswitha1.197refractiveindexandforbottomfilm,

884.7nmwith1.263refractiveindex.ThisresultsagreewithFilmetricmeasurement(top film:thickness=228nm, n=1.21,bottomfilm:thickness=948nm, n=1.27).The porosityofthebilayerfilmobtainedfromtwoBEMAmodels(MSE=3.5)were48.1% forthetopfilmand31.8%forthebottomfilm.

78 300 70 (a)Poorfit (b)Poorfit 60 Measured Model65° 200 50 Model75° 40 100 30 20 indegrees 0 indegrees Measured Ψ 10 Model65° 0 100 Model75° 500 600 700 800 900 1000 500 600 700 800 900 1000 Wavelength(nm) Wavelength(nm)

60 Measured 300 (d)Betterfit 50 Model65° Model75° 200 40 30 100 20 indegrees indegrees 0 Measured Ψ 10 Model65° 0 (c)Betterfit 100 Model75° 500 600 700 800 900 1000 500 600 700 800 900 1000 Wavelength(nm) Wavelength(nm)

Figure 55. Generated and experimental (a) and (b) using single BEMA model (MSE=77)and(c)and(d)usingdoubleBEMAmodel(MSE=3.5).

Figure 56 shows the depth profile of the graded bilayer films at 633 nm

wavelengthusingtwoBEMAlayers.Thebilayermodelisalsomodifiedtogradedlayer

givingMSEof3.1(topfilm:thickness=197.4nm,n=1.195,percentporosity=48.6%, bottomfilm:thickness=886.2nm, n=1.262,percentporosity=31.8%).Theprofile

showtwodistinctthicknessesandrefractiveindex.

79 1.27 1.26 1.25 1.24 1.23 1.22 1.21 1.20

Indexofrefraction 1.19 0 200 400 600 800 1000 1200 Distancefromsubstrate(nm)

Figure56.Depthprofileat633nmwavelengthforbilayerporousfilmwith differentporogenloading.

Inthefollowingdiscussion,wewillshowthataporousfilmcaneventuallybe gradeddependingondifferenttreatmentsthefilmundergoes.Theclosedpore(OS7525) sampleunderwentSupercriticalCO2(SCCO2)/cosolventprocesstoremovetheporogen,

thus,makingthefilmporous.ThedetailsofSCCO2treatmentareinreference22.This processedfilmwasthenO2plasmaashed[CF4/O2(20sccm/80sccm)for1minat200W plasmapower)].ItissuggestedthatO2plasmaashingreplacesCHgroupsfromthepore

surfacebysubstitutionreactioncausingtheformationofpolarSiOHinthefollowing

mechanism,

SiCH3(s)+4O(g)SiOH(s)+H2O(g)+CO2(g) [Eqn.5.1]

The OHsubstituted endgroup is very favorable to attract moisture which in effect

wouldincreasetherefractiveindexintime[Liuetal.(2002),Xieetal.(2004)].Since

treatmentisatthesurfaceofthefilm,CHgroupswouldbereactedmoreatthetopmost

and closer regions where O diffusion accessibility is high. Consequently, O2 plasma

damage(byOHsubstitution)isexpectedtobehigheratthetopmostlayerofthefilmand

decreaseswithrespecttofilmdepth,thus,agradedfilmiscreated.

80 ForO2plasmaashedsample,wefoundthatmodelingitasasinglelayergavea poorfitwithMSEof25.Themodelisthenmodifiedtogradedlayerwhichimprovedthe fitsignificantlywithMSEof4.Wehavealsotriedmodelingthesampleasbilayerand gradedbilayer,butitgaveapoorfitwithMSEof30and20,respectively.

Figure57showsdepthprofileforO2plasmaashedfilm.Weobservedthatthis

sample was indeed graded with a large variation in refractive index. We found that plasmadamageoccursnotonlyatthesurfacebutinto3/4ofthefilmthickness.Thetop

surfaceoftheashedsamplehashigherrefractiveindex;indicatingthepresenceofSiOH bands.Theabovediscussionshowstheversatilityofspectroscopicellipsometry.Since

the interlayer dielectric (ILD) films are exposed to O2plasmaandsolventcleaningto

removeorganicresidualphotoresist,itisimportanttounderstandthebehaviorofporous

sample after the treatment. This ellipsometric analysisiscrucialbecauseitshowsthat

oxygenplasmadestroyedthefilmwhichmaycreateareliabilityproblem.

1.44 1.42 O ashedsample 1.40 2 1.38 1.36 1.34 1.32 Indexofrefraction 1.30 0 100 200 300 400 500 600 700 Distancefromsubstrate(nm)

Figure57.Depthprofileat633nmwavelengthforO2ashed.

In next section, the preliminary results of SCCO2 treatment for porogen and water

removalininorganicorganichybridporousfilmispresented.

81 5.3 SCCO2 with Pulsing Mode to Remove Porogen Effectively

5.3.1 Overview

Asmentionedinchapter4,therearethreemodesof SCCO2 process available

suchasstatic,dynamicandorcombinationofstatic/dynamic(pulse)mode.Previously,

Rajagopalan et al. (2003) have successfully removed porogen from inorganic/organic

hybrid film via SCCO2 static mode. However, the SCCO2 static mode process was

operatedatlongertimeduration(14hour)andathigherworkingpressure(7000psi)to

remove porogen. The SCCO2 static mode is not efficient. The combination of

static/dynamicmodehasbeenperformedwidelyforSCCO2extractionstrategywitha better performance as compared to single static or single dynamic mode [52]. It is believedthatSCCO2withpulsingmodecouldextractporogenandwaterefficiently.In

this research, the performance of SCCO2 static/dynamic (pulse) mode for porogen

removalisevaluated.

5.3.2 Experimental Procedure

Inthisstudy,theperformanceofSCCO2 process with multiple injections is

evaluated.PoroussampleofPPO/PMSSQofopenporestructureisused.Thesampleis

subjectedtoSCCO2processatfoursetsofpulses:1pulse,4pulses,6pulsesand10 pulses. The processing SCCO2 parameters are at temperature of 165°C and working pressureof3800–4000psi.Thesolventmustbeinjectedatsupercriticalstate,thusthe

injectiononlyoccursatpressureof1500psi.Aftereachinjection,thesystemisholdat

82 desired working pressure and soaking time. Then, the system is flushed with CO2 by raising the pressure to 3000 psi and depressurize down to 1500 psi. This process is repeatedtwice.Thenthesystemisreadyforanotherinjection.Theobjectiveofflushesis to ensure that the system is clean from excess solvent prior to a new set of solvent injection.MEKisusedasacosolventforthisprocess.2mlofMEKperpulseisinjected intothesystem.ThetotaltimeforeachofthisSCCO2processis1hour.Theseresultsare thencomparedtobeforeextractionandannealedsample.

5.3.3 Results and Discussions

Figure58showsthechangesofrefractiveindexvaluesaftermultiplecosolvents injections into the SCCO2 system for porogen removal in comparison with annealed sample.Forbeforeextraction(ascured)sample,therefractiveindexandthicknessvalue is1.44and846nm,respectively.Thelowernvalueindicatesthatmoreporogenhasbeen removed creating porous structure. From the graph, we can see a trend such that as number of pulses increases, the refractive index value decreases. The SCCO2 process with6pulsestechniqueproducedthelowestnvalueof1.19whichiscomparablewiththe annealedsample(n=1.18).Noticethattherefractiveindexfor10pulsesprocessishigh.

Thismaybeduetotheexcessivesolventinjectedintothesystemwhichleadstoless efficientextractionprocess.Thisinformationisvalidatedby–CHnabsorptionbandfrom

FTIRspectra.

83 Refractiveindex(n)vsSCCO2pulses

t=827nm t=836nm 1.26 1.24 t=843nm

1.22 t=847nm t=784nm 1.20 1.18 1.16 1.14 1pulse 4pulses 6pulses 10pulses Annealed

Figure 58. Multiple cosolvents injections SCCO2 process in comparison with annealedsample. Figure59showstheFTIR–CHabsorptionbandspectraofmultipleinjections

applied during the SCCO2 treatment as compared to before extraction and thermal

annealingprocess.Uponthermalannealingprocess,theentrappedporogenandwateris

completelyremoved.Theannealedspectrumisthenusedas a reference totheSCCO2

processedinordertoevaluatehowmuchporogen/waterhasbeenremovedfromsample.

FromFigure59,itcanbeseenthatasnumberofcosolventsinjectionsincreasesthe–

CHnpeakdecreases.Thisindicatesthatmorepulsesyieldstoabetterextractionprocess.

WeconcludethatmultipleinjectionsintheSCCO2processismoreefficientinextracting porogenascomparedtostaticmode.Hence,SCCO2static/dynamic(multiplecosolvents

injections)techniqueisusedthroughinthisresearch.

84 Openporesample 1x[SC/MEK] 1800 4x[SC/MEK] 1600 10x[SC/MEK] 6x[SC/MEK3x&SC/Butanol3x]

) 1400

1 Beforeextraction 1200 Annealed 1000 800 600 400 200

AbsorptionCoeff.(cm 0

3000 2950 2900 2850 2800 Wavenumber(cm1)

Figure59.FTIR–CHabsorptionspectraformultipleinjectionsinSCCO2process

5.4 Porogen and Water Removal via SCCO2 5.4.1 Overview

ThissectionpresentsatwostepSCCO2processtoremoveporogenandwater

effectivelyfromnanohybridthinfilmatlowertemperatureandshortertimeperiod.The

firststepisaSCCO2processwiththeadditionofcosolventstoremoveporogen.After

SCCO2/cosolvents process, the refractive index is still high due to –OH bonded in porous sample which can be later verified via FTIR analysis. Previous studies have

showntheSCCO2treatmentwithadditionofalcoholincreasesthewatersolubilitywhich

85 enhancesthewaterextractionfromporoussample[53].Therefore,thesecondstepisa

SCCO2processwiththeadditionofmethanolorbutanoltoremovewater.

5.4.2 Experimental Procedure

In this section, SCCO2/cosolvents followed by SCCO2/methanol is used to

removeporogenandwater.Twoseparateexperimentsareperformed.First,weevaluate

the performance after the individual SCCO2 process. Second, we evaluate the performanceofthetwoSCCO2processinsequence.FortheindividualSCCO2process,

bothclosedandopenporemorphologywith25wt%and55wt%porogenloadingis

used.TheMSSQisusedasamatrix,whereasPPGisusedassacrificialporogen.Here,

weperformedthreeinjectionsand3mlofsolventsperinjection.Thesoakedtimeforthe

threeinjectionswere15min,15minand30minforatotaltimeof1hour.Forthetwo

SCCO2processinsequence,bothclosedandopenporemorphologywith15wt%and

45wt%porogenloadingisused.TheMSSQisstillusedasamatrix,howeverPPOis

usedassacrificialporogen.Theprocessingparametersarestillthesameasabove[3ml,3

injections,1hourprocess].

5.4.3 Results and Discussions

5.4.3.1 Part A: Depth profile analysis of porous sample individual

SCCO2 process.

Thissectionshowsellipsometricanalysistoobtainpercentageporositiesandthe

refractiveindexdepthprofileofnanoporoussamples.Figure510showstherefractive

86 index depth profile at 633 nm wavelength for closedpore samples after several

treatments: before extraction of porogens (ascured), directly annealed, SCCO2/co

solventsandSCCO2/methanoltreatments.Theascuredandannealedsamplesareusedas

the reference points to evaluate the performance of SCCO2 process in creating

nanoporousfilm.Therefractiveindexdepthprofileshowsthatascuredsamplehavea

homogenously refractive index (n=1.44) distributed throughout the sample thickness

(t=580nm).Thisindicatesthattheascuredsampleisadensematerial.Previousstudyhas

shownthedenseascuredsampleisconsistedofphaseseparatedporogenentrappedin

thePMSSQmatrixwithinfilmthicknessviaFTIRanalysis[21].Thedepthprofileof

ellipsometric analysis in Figure 510 shows that the refractive index of the processed

samples increases abruptly from the siliconfilm interface to bulk film, and becomes

stableatthebulktofilmairinterface.ThisindicatesthatthenanoporousPMSSQfilm

does not have the same porosity at both the siliconfilm interface and bulk layer. A

rigorousellipsometricanalysisinmodelingthenanoporousPMSSQsampleastwolayers

hasbeenpresentedrecently[54].Thetwolayermodelprovidesthepercentageporosities

ofthesiliconfilminterfaceandbulkfilm.Fromourpreviousanalysis,wefoundthatthe

annealed closedpore sample has 4% more porous at the siliconfilm interface as

compared to bulk film. In a separate study, the neutron reflectivity (NR) analysis on

nanoporousPMSSQfilmwasdiscoveredtohavehigherporosityattheinterfacebetween porousfilmandsiliconsubstrate[55].ForthisNRmethod,theporoussampleisexposed

totoluene(vapororliquid)andthenreflectedincidentbeamthroughsiliconsubstrate.

The NR analysis include the reflectivity data measurement as a function of scattering

vector, which presents the information on the depth profile of pore distribution in

87 nanoporousPMSSQ.Itisbelievedthathigherporosityatthesiliconinterfaceisdueto theinteractionbetweentheorganicpolymerandthesubstrate.

Theconventionalthermalannealingathightemperature(450°C)indeedremovedall entrappedporogenasshowninFigure510bythedecreaseinrefractiveindexof1.27 from1.44andbythefilmporosityof29.8%.Directthermalannealing,waterwasalso removed.However,thisprocessleadstosignificantthicknessshrinkageupto23%as verifiedbySE.Thisisanindicationofporecollapse(i.e:beforeandafterannealingis

580nmand444nm,respectively).ForthesamefilmtreatedwithSCCO2/MEKTHFco solvents, nisreducedto1.291with25.7%porosities.Wesuspectthattheincomplete water removal causes high refractive index as compared to the annealed sample. This assumptionislaterverifiedbyFTIRspectra.

SCCO2 process with addition of Methanol has further reduced refractive index as compared to SCCO2/MEKTHF process. However, the refractive index of the process with Methanol treatment is slightly higher than annealed sample. The nature of the closedporefilmstructurewithseparatedporesmayresultsinincompleteporogenand/or water removal. Similar as SCCO2/cosolvent process, the film thickness after

SCCO2/methanoldoesnotchangeascomparedtobeforeextraction(t=~570nm).This result is good because thickness shrinkage and pore collapse creates stress and delaminationinthedevicecreatingreliabilityproblems.

88 1.32 1.50 1.31 Filmbeforeextraction:n=1.44 ) 1.45 n 1.30 1.29 1.40

1.28 1.35 1.27 1.30 1.26 SC/cosolvents SC/Methanol 1.25 1.25 Annealed

Refractiveindex( 1.24 1.20 0 200 400 600 Distancefromsubstrate(nm) Figure510.Refractiveindexdepthprofileat633nmwavelengthforclosedpore filmafterseveraltreatments:beforeextraction,SCCO2/cosolventsand SCCO2/Methanolprocess.

Figure511showsthebaselinecorrectedFTIR–OHabsorptionspectraoftheclosed pore film before extraction, SCCO2/cosolvents and SCCO2/Methanol treated. For the

quantitative FTIR spectra analysis, multiple Gaussian curve fittings are utilized to

calculatethepercentageofwaterremovalaftereachtreatment.Itisfoundthatonly34%

waterhasbeenremovedafterSCCO2/cosolventtreatment.However,itisnecessaryto

removesilanol(–OH)groupcompletelybecauseitdestroysporoussamplepropertiesby

raising the refractive index and kvalues.Previousexperimentshavefoundthatadding

alcoholhasincreasewatersolubility,andthusenhancingwaterextraction[53].Inthis paper,weinjectedmethanolinSCCO2toremovesilanolgroup.AfterSCCO2/methanol

treatment,the–OHgrouphasindeedreducedsignificantlywith98%waterremoval.The

89 performance of SCCO2/methanol is comparable with annealed process such that both

sampleshavenegligiblezeroamountof–OHabsorption.

1000 Ascured(closedpore) 800 SCCO2/methanol 600

400

SCCO2/cosolvents 200

0 Absorp.Coeff.(cm1) 4000 3800 3600 3400 3200 3000 Wavenumber(cm1) Figure 511 FTIR spectra of –OH absorption band after several treatments: as cured,SCCO2/cosolventsandSCCO2/Methanolprocess.

We also performed the same process and analysis on an openpore porous sample.

Figure512showstherefractiveindexdepthprofileatawavelengthof633nmforthe

openporesampleunderseveraltreatmentconditions:beforeextraction,afterSCCO2/co

solvents and SCCO2/Methanol. Similar to closedpore sample, the film thickness after

eachSCCO2processremainthesameasbefore(i.e.ascuredsample,t=940nm).The

SCCO2/cosolventsprocessremovedporogen,andhencereducingnvaluefrom1.44to

1.145with63.6%porosities.Thenvaluefortheopenporesampleisstillslightlyhigher

thanannealedsample,whichissimilartotheclosedporesample.Basedonthemultiple

Gaussiancurvesfitting,90%of–OHarefoundremaininginthesample(FTIRforopen poreisnotshownhere).Thisscenarioleadstoahigherrefractiveindexvalue.Thesame

90 samplesunderwentSCCO2/methanolprocesstoremove–OHgroup,wefoundthat100%

of–OHhasbeensuccessfullyremoved.ReferringtoFigure512,itisfoundthatthen

valuehasreducedto1.137whichissimilarasannealedsample(n=1.137)withequivalent

percentage porosities (63.6%). This SCCO2 processed sample possessed the same propertiesasannealedsamplesuchthat–OHiscompletelyremovedwithlowrefractive

indexvalue.Thismaybeduetotheopenporemorphology,suchthatcosolventsand

methanolcandiffuseeasilyandreactintotheporesoastoremoveporogenandwater.

Moreover, SCCO2 process has no reduction of film thickness as compared to the

annealedsample.Theannealedsamplehasareductionoffilmthicknessby32%(i.e.

ascured,t=940nm,andannealed,t=640nm).

1.18 1.50 1.17 Filmbeforeextraction:n=1.44 ) 1.45 n 1.16 1.15 1.40

1.14 1.35 1.13 SC/cosolvents 1.30 1.12 SC/Methanol 1.11 Annealed 1.25

Refractiveindex( 1.10 1.20 0 200 400 600 800 1000 Distancefromsubstrate(nm) Figure512.Refractiveindexdepthprofileat633nmwavelengthforopenpore filmafterseveraltreatments:beforeextraction,SCCO2/cosolventsand SCCO2/Methanolprocess.

91 5.4.3.2 Part B: Two Steps of SCCO2 Process in Sequence

AsdiscussedinPartA,SCCO2 withadditionofcosolventshelpedinremoving porogen, whereas SCCO2 with addition of methanol assisted in extracting –OH bond

fromporoussample.Inthissection,wecombinethetwochemicalsinsequencewithout

removingthesamplefromSCCO2chamberaftereachtreatment.Twotypesofalcohol, butanol(C4H10O)andmethanol(CH3OH),areusedinthewaterremovalprocess.Figure

513showstheFTIRabsorptionspectraof–OHand–CHregionaftereachprocess.For

simplification, Butanol* refers to SCCO2/MEK followed by SCCO2/Butanol, whereas

Methanol* refers to SCCO2/MEK followed by SCCO2/Methanol. It is shown that

Methanol indeed lowering the amount of OH significantly. The addition of alcohol

increases the polarity of the supercritical fluid and increases the solubility of water.

Hence,SCCO2withadditionofalcoholcandiffuseandextractthesilanolgroupoutof

the porous sample easily which leads to reduction of –OH absorption. Methanol

treatmentdoesnothelpedinremovingporogenduetotheexistedhighabsorptionof–CH bandafterthetreatment.Butanol,ontheotherhand,removedasignificantamountof–

CHbutnot–OHband.ThisshowsthatButanolindeedcontributesinporogenremoval process. Based on these results, the next experiment will be a sequence of MEK,

followedbyButanolandMethanol.

92 1800 2stepSCCO process 2 1600

) 1400 1 1200 1000 SC/MEK+SC/Butanol 800 600 SC/MEK+SC/Methanol 400 200

AbsorptionCoeff.(cm 0 200 4000 3800 3600 3400 3200 3000 2800 Wavenumber(cm1)

Figure513.FTIRabsorptionspectraofOHandCHbandafterButanol*and Methanol*SCCO2treatment.

5.5 Silylation (TMCS) to Restore Hydrophobicity in Porous

Film via SCCO2

5.5.1 Overview

SCCO2processwithadditionofcosolventsandalcoholisfoundtoremovewater andporogenefficiently.Althoughacompletewaterremovalisachieved,highporosity film, by nature, possesses a large surface area making it vulnerable towards moisture

93 uptake. It will adsorb moisture easily from the environment over time that results in

increasedthe–OHbondback.Waterintheformof–OH(silanol)groupisresponsiblein

increasing refractive index and dielectric constantofmaterial,henceitwilleventually

deterioratingthepropertiesofporousthinfilm.

In the next process, the performance of silylation process using TMCS to

terminateporousfilmwithmethylgroupisinvestigated.Theterminationofthesample

surfaceinvolvesinchangingtheremainingpolar–OHinsampletononpolar–CH3group.

TheTMCStreatmenthelpsinconvertingthesampleproperties to hydrophobic hence

blockingwateradsorption.

5.5.2 Experimental Procedure

AsetofPPO/PMSSQforbothopenandclosedporesampleisusedinthiswork.

The TMCS treatment was done as follows: SCCO2/MEK, SCCO2/Butanol,

SCCO2/MethanolandfollowedbySCCO2/TMCS.Forsimplification,thetermTMCS*

treatmentreferstothedescribedSCCO2processinsequenceisusedthroughoutthetext.

Each of these individual SCCO2 process was done with the same procedure

[2ml/injections,5min/pulse,34flushesinbetweeninjections].

5.5.3 Results and Discussions

Figure514shows–OHspectraof(a)openporeand(b)closedporesampleafter

Butanol*,Methanol*andTMCS*treatmentascomparedtobeforeextraction(ascured).

94 In general, both openpore and closedpore sample has encounters reduction of water peak after treated with SCCO2 treatment. Figure 515 shows the percentage water

remainedinthesampleafterthetreatments.Therearehugereductionin–OHspectrafor bothopenandclosedporestructure.Thereisasmallamountofsilanolabsorptionfor

closedpore structure. However, this may due to the closedpore structure (15 wt% porogenloading)blockingTMCSmoleculestoreactwithsilanolgroupinthesample.

Figure shows the percentage water remaining the samplerafterSCCO2treatment.The

calculatedvaluesareobtainedfrommultipleGaussiancurvefittinganalysis.

(a) Openpore(SiOHgroup) (b) Closedpore(SiOH) 300

) 400 Ascured )

1 Ascured

1 250 Butanol* Butanol* 300 200 Methanol* Methanol* 150 TMCS* 200 TMCS* 100 100 50 0 0 50 AbsorptionCoeff.(cm AbsorptionCoeff.(cm 880 900 920 940 960 980 880 900 920 940 960 980 1 Wavenumber(cm ) Wavenumber(cm1) Figure514.AbsorptionspectraofOHregionafterseveraltreatmentsincluding TMCStreatmentfor(a)openporeand(b)closedporesample.

95 Percentage water remained in the sample

35

30 Closedpore 25 Openpore

20 % 15

10

5

0 Butanol* Methanol* TMCS* Different Treatment

Figure515Percentagewaterremainedinthesampleafterdifferenttreatments.

Figure516illustratestheFTIRmethylgroupabsorptionspectrawithin3100cm1 to 2800 cm1 spectra after methanol* treatment, Butanol* and TMCS* treatment for openpore film. It shows there is an increased CH3 absorption peak of after TMCS treatment.SCCO2isusedtodelivertrymethylcholorilane(TMCS)intothesampleand removed–OHbond.AfterTMCSsilylationinSCCO2,silanol(SiOH)groupisremoved and converted to methyl group (SiOSi(CH3). It is suggested that the mechanism of

TMCSsilylationonporoussamplefollowsthefollowingreactions:

(CH3)3SiCl(g)+SiOH(s)SiOSi(CH3)(g)+HCl(g) [Eqn.51]

96 It is anticipated that after TMCS silylation process the sample surface will become hydrophobic due to the change in termination surface from polar –OH to

nonpolarmethylgroup(–CH3).ThisanticipationcanbeverifiedviaFTIRspectra.Itcan beseenthatthesilanol group(seeFigure514)isreducedduetothereplacementof

Si(CH3) (see Figure 516). Figure 517 illustrates the FTIR methyl group absorption

spectrawithin3100cm1to2800cm1spectraafterthemethanol*treatment,Butanol*

andTMCS*treatmentforclosedporefilm.Similarabsorptionisfoundwithanincreased

of CH3 absorption spectra after the TMCS* treatment. Further details of chemical

reactionandFTIRspectraanalysiswillbediscussedinthefinaldissertation.

Openporesample Beforeextraction ) 600 TMCS* -1

) Annealed Butanol* -1 1600 Butanol* 400 1200 Methanol* TMCS* 800 200

400 0 0 3100 3050 3000 2950 2900 2850 2800 Absorption Coeff.(cm -1 3100 3050 3000 2950 2900 2850 2800 Wavenumber (cm ) Absorption (cmCoeff. Wavenumber (cm-1) Figure516.AbsorptionspectraofCHregionafterseveraltreatmentsincluding TMCStreatmentforopenporesample.

97 Closedporesample Ascured 1400 Annealed

) 1200 -1 TMCS* CH Butanol* 1000 3 Methanol* 800 Butanol* TMCS* 600 400 200 0 200 Absorp.Coeff. (cm 3100 3050 3000 2950 2900 2850 2800 Wavenumber (cm-1) Figure517.AbsorptionspectraofCHregionafterseveraltreatmentsincluding TMCStreatmentforclosedpore.

5.6 Spectroscopic ellipsometry study of the reduction in

porosity of open-pore organosilicate films after

hexamethyldisilazane treatment

5.6.1 Overview

Plasma processing plays an important role in pattern transfer during circuit

integration process. CF4/O2 and O2 plasma processing have been commonly used for photoresistandashresidueremoval.However,oxygenbasedplasmainducesdamageto

hybrid orgranic porous film by changing the sample properties from hydrophobic to

hydrophilic.Theoxygenmoleculesdiffuseintodielectriclayerandreactswith–CH3by

converting it to –OH. This phenomenon increases the damaged sample sensitivity

towardsmoisture;henceincreasethekvalue.Severalresearcheshavereportedrepairing

moistureuptakeofplasmadamagedporousfilmsusingsilylatingagents.Inthisresearch,

98 weinvestigatedtheeffectsofHMDStreatmentatthesurfaceandthebulkoftheplasma

damagedporousfilmsbyusingVASE.

5.6.2 Experimental Procedure

Two sets of samples that represent the open and closedpore morphology of nanoporous organosilicates thin films were used in this experiment. These films were preparedbasedonthesocalledsacrificialporogen(poregenerator)approachdeveloped by International Bussiness Machines Corp. (IBM™) Almaden Research Center. This

techniqueinvolvestheremovaloftheentrappedphaseseparatedorganicmacromolecular porogenwithinthecrosslinkedmatrixselectively.Bothopenandclosedporesamples

werepreparedbymixingwithanappropriateamountofporogenloadingintothematrix

solution.Separatestudyhasshownthathigherporogenloading(45%inthisstudy)leads

tointerconnectedopenporemorphology,whereaslowerporogenloading(15%inthis

study)leadstoclosedporestructure[56].Theillustrationofthe3Dporestructurewas

donebyanalyzingsmallanglexrayscatteringdata.Poly(propylene)oxide(PPO)with

molecular weight, MW=12000 g/mol was used as the sacrificial porogen, whereas poly(methylsilsesquioxane)wasusedasthePMSSQmatrixprecursor.Propyleneglycol

mononpropyletherwasusedasasolventforbothporogenandmatrix.Theopenpore

filmwaspreparedbymixing45wt%ofPPOporogen,whereastheclosedporesample

was prepared by mixing 15 wt% PPO into thePMSSQ solution. These PPO/PMSSQ

mixtureswerethenfilteredandspincoatedhomogenouslyonbothheavilydoped(0.005

–0.01cm)andlowdoped(9–18cm)siliconwafers.Theheavilydopedsample

wasused forthedielectricconstantmeasurement,whereasthelowdopedsamplewas

99 used for the ellipsometric and FTIR characterization.Thesesampleswerecuredfor4

hoursat200°C under N2environmenttoproduceporogenphaseseparatedstructure or

“ascuredsample”.Thesampleswerethencutintosmallerpieces(1cmx1.5cm)forthe

studiespresentedinthispaper.

The small pieces of ascured samples underwent three different processes in

sequence: thermal annealing, plasma etching and HMDS treatment. For annealing process,theascuredsamplesunderwentadirectthermalannealof430°Cfor2hoursat

5°C/minrampingonahotplateunderN2environment.Thisprocessisforacomplete porogenremovaltogeneratenanoporousthinfilm.Tosimulateplasmadamageduring photoresistremovalintheactualintegratedcircuitprocess,theannealedsampleswere

exposedtoCF4/O2plasma(20sccm/80sccm)for1minuteat200WRFpower.Torepair

theplasmadamagedsamples,thefilmsunderwentsilylationthroughHMDStreatment.

Thetreatmentwasdoneinsidealeakfreestainlesssteelreactionchamber(volumeof

chamberis134.3cm3)with3mlofHMDSsolution.Thechamberwasplacedinsidean

oven.Thetemperatureisraisedfromroomtemperatureupto80°Ctoenhancethevapor pressure of HMDS. After the 30 minutes of treatment, the chamber temperature was

rampeddowntoroomtemperature.

The chemical structure of the porous films was investigated using FTIR

spectroscopy (Nicolet 4700, Thermo Electron Corp.) in400–4000cm1 wavenumber

range.Thevisualimagesoffilmweretakenbyascanningelectronmicroscope(SEM)

(S4700, Hitachi Field Emission SEM) at 3 kV and 3.6 mm working distance. The

refractive index, thickness and pore volume fraction were obtained by VASE™. The

100 ellipsometermeasurementsweretakenat4incidentanglesof60°,65°,70°and75°in

400–1700nmrangewith5nmstepinterval.

Inellipsometricanalysis,amodelisneededtogenerateΨandspectra.Agood match between experimental and generated spectra allows the extraction of optical constantandfilmthicknessinformation.Spectroscopicellipsometercanalsobeusedto model a bilayer and graded porous sample showing the refractive index of film as a function of distance from siliconfilm interface to filmair interface. The details of modelingsinglelayer,bilayer,gradedlayerofnanoporousorganosilicatefilmshavebeen publishedrecently[57].

101 5.6.3 Results and discussion

Dielectric Thickness R.I. Porosity Process Model constant (±0.6nm) (±0.001,n) (±2%) (k)

Openporesample

Ascured Single 842.4 1.447 0 4.34±0.01

Annealed Single 803.3 1.183 51 1.57±0.01

Plasma Single 472.7 1.1771.301 51* 2.51±0.1

HMDS Bilayerlike

Top 178.0 1.25 33 2.06±0.01

Bottom 312.9 1.1851.25 51

Totalthickness 490.9

*Bottomlayer

Closedporesample

Ascured Single 856.7 1.420 0 4.22±0.01

Annealed Single 709.2 1.307 20 2.30±0.01

Plasma Single 564.3 1.315 18 2.26±0.02

HMDS Single 562.4 1.319 18 2.28±0.02

Table 5-3 Listofthickness,refractiveindex(R.I.),porosityanddielectricconstantforboth openandclosedporefilmsofascured,annealed,plasmaprocessedand hexamethyldisilazanetreated

102 Dielectric Thickness R.I. Porosity Process Model constant (±0.6nm) (±0.001,n) (±2%) (k)

Openporesample

Ascured Single 842.4 1.447 0 4.34±0.01

Annealed Single 803.3 1.183 51 1.57±0.01

Plasma Single 472.7 1.1771.301 51* 2.51±0.1

HMDS Bilayerlike

Top 178.0 1.25 33 2.06±0.01

Bottom 312.9 1.1851.25 51

Totalthickness 490.9

*Bottomlayer

Closedporesample

Ascured Single 856.7 1.420 0 4.22±0.01

Annealed Single 709.2 1.307 20 2.30±0.01

Plasma Single 564.3 1.315 18 2.26±0.02

HMDS Single 562.4 1.319 18 2.28±0.02

Table53summarizesthethicknesses,refractiveindexes,porositiesanddielectric

constantvaluesforbothopenandclosedporefilmsafterexposuretovariousprocesses.

Thistablewillbereferredthroughoutthetext.

Figure518showtheSEMimagesanddepthprofileanalysisoftheprocessed openporesamples:(a)thermallyannealed,(b)plasmaexposed,(c)HMDStreatedand

103 (d)depthprofileofthementionedprocessedsamples.Theporesappeartoberandomly

distributedandthefilmhasathicknessaround700nm.SEmeasurementgivesafilm

thicknessof803.3nm,refractiveindexof1.183andporosityof51%(Figure518(d)and

Table53(d)).Thedepthprofileanalysisshowsanabruptincreasedinrefractiveindexat

thesiliconfilminterface.Wehavealreadyshownpreviouslyusingellipsometricanalysis

thatthesiliconfilminterfacehashigherlocalizedporosity(34%)ascomparedtothe bulk [57]. IBM™ has also shown this using neutron reflectivity technique [58]. The

thinnerSEMthicknessmaybeduetocompressionwhenthesamplewascleavedbecause

theopenporefilmisrelativelysoft.

(a)

(b) 1.32 Plasma 1.30 (d) )

n 1.28 1.26 HMDS 1.24 1.22 1.20 Annealed 1.18 1.16

(c) Refractiveindex( 1.14 0 200 400 600 800 1000 Distancefromsubstrate(nm) Figure518SEMimagesofopenporesampleafter(a)annealing,(b)plasmatreatmentand(c)HMDS treatmentandthecorrespondence(d)refractiveindexdepthprofile.

104 Figure518(b)and(d)showtheSEMcrosssectionimage and refractive index

depthprofileofthesampleinFigure518(a)afterexposuretoO2/CF4plasma.TheSEM

thicknessisaround465nmwhichiscomparablewithellipsometerthickness(472.7nm).

Forellipsometricanalysis,thesamplewasfirstmodeledasasingleCauchylayer.Details

ofSEmodelingwerethoroughlydiscussedinthepaperthatwaspublishedearlier[57].

However,theCauchymodeldoesnotmatchwellwiththemeasuredΨandspectraas

reflected by the relatively higher meansquared error. The Cauchy model was then

modifiedtoagradedlayerwhichimprovedthefit.BasedonFigure518(d),itisfound

thattheplasmadamagedsamplehasnonuniformrefractiveindexvaluesatthetop1/3

layer. The refractive index values at the lower 2/3 layer remained almost constant at

1.177(porosityof51%)andthendrasticallyincreasedtowardsthetopupto1.301.The

thicknessforO2/CF4plasmatreatedfilmisreducedby41%from803.3nmto472.7nm becauseofetching(Table53).Duringtheplasmatreatment,wespeculatethatO2diffuse

throughthehighlyinterconnectedporestructuretoasignificantdepth(upper1/3layer)

removing CHn groups from the pore surface by substitution reaction causing the

formationofpolarSiOHinthefollowingmechanism[59]:

SiCH3(s)+4O(g)SiOH(s)+H2O(g)+CO2(g) [1]

Thisreactionleadstoincreasingrefractiveindexvaluesofthetoplayerasseenin

Figure 518(d). Thus, raising the effective kvalues of the films as shown later and

causingelectricalreliabilityproblemsinactualdevices.Theformationofsilanolgroupat

significant depth could also lead to more moisture adsorption. We also observed the

depletionof–CHandincreasedofsilanolgroupusingFTIR.TheFTIRspectraforthis

105 studyarenotpresentedhere,sincemanyresearchershavereportedanalysisonplasma

damagedsamples[59,60,61].

Figure518(c)and(d)showstheSEMimageandrefractiveindexdepthprofileof

HMDStreatedopenporefilm.Theimageshowstwodistinct contrasts suggesting the presence of two layers after the HMDS treatment. BasedontheSEMimage,thetop

surfacehasthicknessof175nm,whilethebottomlayerhasthicknessof250nm.The

ellipsometricanalysisshowedthatthebottomporouslayerhasalmostconstantrefractive

index of 1.185 and bottom/top layer interfaces. The top layer has constant refractive

indexof1.25.Thedifferenceofrefractiveindexindicatesthatthetop178nmlayerhas

less porosity than the bottom layer. By converting the Cauchy model to Bruggeman

Effective Medium Approximation (BEMA) model, we calculated the pore volume

fractionofthetwolayers.TheBEMAmodelgaveporositiesof51±2%and33±2%for

thebottomandtoplayersoftheHMDStreatedsample,respectively(Table53).Before

the treatment, the film porosity was 51% throughout the film. The results show that

HMDSreactionsinopenporesamplesoccurnotonlyonthesurfacebutalsodeeperinto

theupper178nmlayer.Thebottom312.9nmlayerwasunaffectedwiththetreatment

duetothepresenceofthedensertoplayerwhich,actsasbarrier.However,thebilayer propertyafterHMDStreatmentmeansthatthekvaluewillnotbefullyrecoveredtoits

initialvaluebeforeplasmadamageinopenporefilms.Thedecreaseinporosityonthe

top layer may be due to the reaction between HMDS and silanol that produces a byproductofsiloxaneassuggestedbyHimchinshi[62,63].HMDSreactionswithsilanol

aregiveninthefollowingreactions:

(CH3)3SiNHSi(CH3)3(g)+HOSi

106 (CH3)3SiOSi(s)+(CH3)3SiNH2(g) (2)

(CH3)3SiNH2(g)+HOSi(s)(CH3)3SiOSi(s)+NH3(g) (3)

TheresultsinFigure518(c)impliesthatalthoughHMDStreatmentisbeneficial

tocreatehydrophobicity,thesilylationisnotlimitedtothesamplesurfacebutpenetrate

into a certain depth as shown in the bilayerlike SEM image and spectroscopic

ellipsometryanalysis.Thisiscontrarytothecitedreferencesabovewhichstatedthatthe

silylation reaction occurs only at the surface. However, they did not specify if their

sample has openpore morphology like the ones used in this study. The dielectric

constantsoftheprocessedfilmsarealsoshownin.Thedielectricconstantofplasma

damagedsampleisincreasedto2.51.AftertheHMDStreatment,thedielectricconstant

isonly2.06andnotfullyrecoveredto1.57(thermallyannealedsample)becauseofthe

denser187nmtoplayer.

Forclosedporesamples,theeffectsofplasmaandHMDStreatmentaredifferent

fromopenporesample.Figure519showSEMimages of (a) thermally annealed, (b) plasmaexposedand(c)HMDStreatedsample.Figure519(d)showsthedepthprofiles

oftheprocessedsample.Thethermallyannealedclosedporesamplehas20%porosities

withfilmthicknessof709.2nmandrefractiveindexof1.307.Afterplasmaexposure,

only20%offilmthicknesshasbeenetchedascomparedto41%toopenporesample.

ThisisbecausetheclosedporePMSSQmatrixisdensercomparedtoopenporesample,

resultinginlessplasmadamage.Itisalsonotablethatthereisnosignificantdifference betweenthefilmpropertiesofplasmadamagedandHMDStreatedclosedporesample.

107 Bothprocessedsamplehaveequivalentporosities(18%)andrefractiveindexes(~1.32)

with a slight variation of film thickness (i.e: plasma treated – 564.3 nm and HMDS

treated–562.4nm).Thismaybeduetotheclosedporenatureofthefilmpreventing

further damage by plasma treatment. The O2 plasma could not diffuse into the bulk because the pores are not interconnected. Based on Figure 519 (d), the depth profile

analysis shows that the processed closedpore samples are not graded indicating no plasma damage. In addition, the dielectric constant of closedpore samples remained

approximatelyunchangedafterplasmaandHMDStreatments(k~2.3).TheSEMimages

(Figure518(c))ofthetreatedclosedporefilmsalsoshowevidenceofasinglelayer.

Basedontheabovediscussion,webelievedthattheplasmadamagedsamplerepairedby

HMDStreatmentindeeddependsontheporemorphologiesofthesamples.

108 (a) (b)

1.34

) (d)

n 1.33

1.32 HMDS(n=1.319) (c) 1.31 Plasma(n=1.315) Annealed(n=1.307) 1.30

1.29 Refractiveindex( 1.28 0 200 400 600 800 Distancefromsubstrate(nm) Figure519SEMimagesofclosedporesampleafter(a)annealing,(b)plasmatreatmentand(c)HMDS treatmentandthecorrespondence(d)refractiveindexdepthprofile.

109 5.7 Characterization of plasma exposed samples followed by

porogen/water removal.

5.7.1 Overview

Theconventionalprocessformanufactureofintegratedcircuitdevicesinvolvesin

generating nanoporous film, followed by patterning process (photolithography and plasma process). However, this process flow leads to some disadvantages such as degradingthegoodqualityofnanoporousmaterial(increasekvalue,andincreaseOH

content).Inthisdissertation,theascuredsampleisfirstsubjectedtopatterningprocess

followed by generating nanoporous film process. This techniques can minimizes the

damagesoccurstosampleaftertheplasmaprocess.

5.7.2 Experiment

Similar as in previous experiments, two sets of open and closedpore

organosilicatesfilmsareusedhere.Theexperimentalprocedureshavebeendescribedin

earliersection(seeTable12Flowchartoverviewdissertationresearchwork.).Thereare

twosetsofexperiments:

(1) SCCO2processwithoutplasmatreatment(set#A)

(2) PlasmaprocessfollowedbySCCO2process.(set#B)

110 5.7.3 Results and discussion

Figure 520 shows the refractive index depth profile of openpore processed

samples (a) with plasma process and (b) without plasma process. These samples

underwentseveralprocessessuchasSCCO2forwaterandporogenremoval,VT/HMDS

andSCCO2/TMCStreatment.BasedonFigure520itcanbeseenthatdepthprofileof

samplewithplasmaprocess(set#B)isslightlygradingfromsilicon/filminterfacetothe

topsurfaceascomparedtosamplewithoutplasmaprocess(set#A).Thissuggeststhat

the plasma process still damages the film top surface. Interestingly, the total film

thickness of this sample is reduced by 9% from 803.3 nm to 728nmas compared to

annealedsampleswithplasmaprocess(41%etched:from803.3to472.7nm)(seechapter

5.6). For SCCO2 process sample without plasma process (set #A), the film refractive

indexishomogenousthroughout filmthickness becauseofnoplasmadamage. Figure

521showsFTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,open

poreporeprocessedsamples(a)and(c)withplasmaprocess(b)and(d)withoutplasma

process. For SiOSi (950 cm1 – 1250 cm1) spectra, there are no differences between plasmaprocessedandwithoutplasmaprocess.Thisindicatesthattheplasmaprocessed priortoporogen/waterremovalprocessdoesnotaffecttheSiOSicrosslinkingwhichis

desirable leading to a good mechanical strength. For methyl and silanol (2800cm1 –

4000cm1) spectra, the –OH content after plasma exposure is increased. However, the

silanol group can be removed by SCCO2andHMDStreatment.Thisshowsthatitis possibletofirstpatterntheascuredsample,removethephotoresistresiduesandfinally

remove the porogen/water. This technique can minimize the plasma damage on

111 nanoporous film. The kvalue of sample with plasma (set #B) (k= 1.76 ± 0.01) and withoutplasma(set#A)(k=1.8±0.18)arealmostequivalent.

1.52 Ascuredopenporesampleswereexposedto 1.48 plasmaprocesspriortoSCCO2,HMDSand TMCStreatment.(with plasma) 1.44 Ascured 1.40 1.36 Ascured+plasma

RI 1.32 Plasma+HMDS Plasma+TMCS 1.28 Plasma+SCCO 1.24 2 (a) 1.20 0 200 400 600 800 1000 Distance from substrate (nm)

1.210 Ascuredopenporesamplesfollowedby SCCO2,HMDSandTMCStreatment 1.205 (without plasma).

1.200 RI SCCO2 1.195 HMDS TMCS (b) 1.190 0 200 400 600 800 1000 Distance from substrate (nm)

Figure520showstherefractiveindexdepthprofileofopenporeprocessedsamples(a) withplasmaprocessand(b)withoutplasmaprocess.

112

1800 Openpore:CHnandOHregion 1800 1600 (without plasma) (b) Open:CHnandOHregion 1600 (a) (with Plasma) 1400 1400 Annealed Annealed 1200 Ascured Ascured

1200 ) SCCO Ascured+plasma 2 -1 ) 1000 HMDS

-1 1000 SCCO 2 TMCS HMDS 800 800 TMCS 600 600

A.C.(cm 400

A.C.(cm 400 200 200 0 0

2800 3000 3200 3400 3600 3800 4000 2800 3000 3200 3400 3600 3800 4000 -1 -1 Wavenumber (cm ) Wavenumber (cm )

7000 7000 Openpore:SiOSiregion Openpore:SiOSi (c) 6000 (d) 6000 (With plasma) (without plasma) 5000 ) 5000 -1 4000 4000 3000 3000 Annealed Annealed 2000 Ascured 2000 Ascured 1000 SCCO2 SCCO HMDS 2

Abs.Coeff. (cm HMDS 0 TMCS 1000 Ascured+plasma TMCS 1000 Absorption Coeff.(cm-1) 0 950 1000 1050 1100 1150 1200 1250 1300 950 1000 1050 1100 1150 1200 1250 1300 -1 Wavenumber (cm ) Wavenumber (cm-1)

Figure521FTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,openpore poreprocessedsamples(a)and(c)withplasmaprocess(b)and(d)withoutplasma process.ForSiOSi(950cm1–1250cm1)spectra.

Figure522showsthedepthprofileofclosedporeprocessedsamples(a)withplasma processand(b)withoutplasmaprocess.Thesesamplesunderwentseveralprocessessuch

asSCCO2forporogen/waterremoval,VT/HMDSandSCCO2/TMCStreatment.For

closedporesample,thesampleswithplasmaprocess(set#B)haveslightlylessthickness

113 ascomparedtowithoutplasmaprocess(set#A).Theseeffectsarenotassevereasopen poresample.Thefilmthicknessofset#Aandset#Bis742nmand697.7nm,

respectively.ThisisexpectedbecauseofitclosedporemorphologynatureblockingO

attacksdeeperintothesample.ThiscanbeverifiedviatheFTIRspectra.Figure523

showsFTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,closedpore

poreprocessedsamples(a)and(c)withplasmaprocess(b)and(d)withoutplasma

process.Similarasopenporesample,theSiOSicrosslinkingoftheprocessedsample

doesnotchangeasmuch.

1.50 1.44 Ascuredclosedporesamplesfollowedby Ascuredclosedporesampleswereexposedto SCCO2,HMDSandTMCStreatment 1.42 plasmaprocesspriortoSCCO2,HMDSandTMCS. 1.45 (without plasma). (with plasma) 1.40 Ascuredclosedpore Ascuredclosedpore 1.40 SCCO Ascured+plasma 2 1.38 plasma+SCCO2 HMDS RI RI 1.36 plasma+HMDS 1.35 TMCS plasma+TMCS 1.34 (a) 1.30 (b) 1.32 0 200 400 600 800 0 200 400 600 800 Distance from substrate (nm) Distance from substrate (nm)

Figure522Depthprofileofclosedporeprocessedsamples(a)withplasmaprocessand(b)withoutplasma process.

114 1200 Closed:CHnandOHregion 1200 Closedpore:CHnandOHregion (with plasma) (without plasma) 1000 1000 Annealed Annealed Ascured 800 ) ) 800 Ascured -1

-1 Ascured+plasma SCCO SCCO 2 2 600 HMDS 600 HMDS TMCS TMCS 400 400 A.C. (cm A.C.(cm 200 200

0 0 2800 3000 3200 3400 3600 3800 4000 2800 3000 3200 3400 3600 3800 4000 -1 -1 Wavenumber (cm ) Wavenumber (cm )

10000

) Closedpore:SiOSi -1 10000 Closedpore:SiOSi(with plasma) 8000 (without plasma)

8000 6000 ) -1 6000 Annealed 4000 4000 Ascured Annealed SCCO2 Ascured A.C. (cm 2000 SCCO HMDS 2

2000 TMCS Absorption Coeff. (Cm HMDS TMCS Ascured+plasma 0 0 950 1000 1050 1100 1150 1200 1250 1300 1000 1100 1200 1300 -1 Wavenumber (cm-1) Wavenumber (cm ) Figure523FTIRspectraof2800cm1–4000cm1and950cm1–1250cm1,closedporeporeprocessed samples(a)and(c)withplasmaprocess(b)and(d)withoutplasmaprocess.

115 Chapter 6

Conclusion/Future Work

6.1 Conclusion/Future Work

Thisdissertationresearchfoundthatdepthprofilespectroscopyellipsometry(SE)

can be used to evaluate the performance of supercritical CO2 (SCCO2) process in

generating nanoporous thin film for microelectronics application. This research shows

designedanalyticalmodelsusedtodescribeasinglelayerporousfilm,bilayerporous

filmandalsoaplasmadamagedgradedsinglelayerporousfilm.Thementionedfilms

maybeencounteredduringthefabricationofporous lowkinsulatorinsemiconductor

industry. BasedonSE analysis,itcansuccessfullydistinguishbetween abilayerand

single layer porous sample accurately. SE analysis is indeed better than conventional

tools for porous film characterization, due to it quick measurement, no sample preparationanddoesnotrendersamplereusability.

VASE™showedthantheSCCO2processcanbeutilizedtocreateporousfilm,

remove water, repair plasmadamaged and also seal pores. This research shows

supercritical CO2 processes with addition of appropriate cosolvents have successfully

extractedporogenandwaterefficientlyforbothclosedandopenporethinfilm.Depth profile SE analysis together with FTIR analysis proved to be a good technique to

116 understandtheeffectsofeachprocessontheporousfilms.DepthprofileSEalsoshows thattheSCCO2/MEK, THFprocessed film has higher refractive indexascomparedto annealed sample due to incomplete water removal. This problem can be solved by reprocessing the processed SCCO2/MEK, THF porous sample with SCCO2/methanol treatment to remove bonded –OH groups. Depth profile SE shows the processed

SCCO2/methanolgivescomparablerefractiveindexvaluesascomparedtodirectthermal annealing sample. Furthermore, the SCCO2 process is performed at lower temperature

(160°C) which proved to produce an equivalent porous film property as compared to standard thermal decomposition (~450°C). This work indeed shows that SCCO2 treatmenthasperformedbetterthanthermalannealingprocessatshortertimeandlower temperature.Uponacompleteremovalorporogenandwater,theporousfilmmaystillbe vulnerabletowardswaterabsorptionthroughouttime.Hence,SCCO2/TMCSandHMDS vaportreatmentareusedtoterminatethesilanolgroupwithmethylgroupandtosealthe porestohindertheadsorptionofwaterfromenvironmentandcoppercontamination.In conclusion,thedepthprofileSEanalysiscanofferagreatabilityinunderstandingofthe physicalpropertiesofporousfilm.

117

References: 1.www.intel.com,March2006.

2.R.Hiremane,TechnologyIntelMagazine,April,pp.19(2005)

3.M.R.Baklanov,K.P.Mogilnikov,V.G.PolovinkinandF.N.Dultsev,TheJournalof

VacuumScienceandTechnologyB, 18,1385(2000).

4.E.Kondoh,M.R.Baklanov,E.Lin,D.GidleyandA.Nakashima,JapaneseJournalof

AppliedPhysics,40,L323(2001).

5.B.Kastenmeier,K.PfeiferandA.Knorr,"PorousLowkMaterialsandEffectivek,"

SemiconductorInternational,July2004,pp.87.

6.http://public.itrs.net.Feb.2006.

7.L.Peters,“Isporesealingkeytoultralowkadoption”SemiconductorInternational,

October,pp.49(2005).

8.R.D.Miller,W.Volksen,J.L.Hedrick,C.J.Hawker,J.F.Remenar,P.Furuta,C.V.

Nguyen,D.Yoon,M.Toney,D.P.RiceandJ.Hay,MaterialResearchSociety

ConferenceProceedingsULSIXV,pp.327333(2000).

9.R.D.Miller,J.L.Hedrick,D.Y.Yoon,R.F.CookandJ.P.Hummel,MaterialResearch

Society,October,pp.4448(1997).

10.J.L.Hedrick,R.D.Miller,C.J.HawkerandK.R.Carter,W.Volksen,D.Y.Yoonand

M.Trollsas,AdvancedMaterials,10(13),pp.10491053(1998).

11.J.McHardyandS.P.Sawan,SupercriticalFluidCleaning:Fundamentals,

TechnologyandApplications,(NoyesPublications:NewJersey,1998).

118 12.T.Gougousi,D.Barua,E.D.YoungandG.N.Parsons,ChemistryofMaterials,17,

pp.50935100(2005)

13.A.Cabanas,D.P.LongandJ.J.Watkins,ChemistryofMaterials,16,pp.20282033

(2004)

14.X.R.Ye,R.Xiang,C.M.Wai,Y.Lin,Yuehe,J.S.YoungandM.H.Engelhard,

SurfaceandCoatingsTechnology,190(1),pp.2531(2005).

15.R.F.Reidy,Z.Zhang,R.A.OrozcoTeran,B.P.Gorman,D.W.Mueller,Materials

ResearchSocietySymposiumProceedings,Materials,TechnologyandReliabilityfor

AdvancedInterconnectsandLowkDielectrics,766,pp.303308(2003).

16.Turkotetal.(2005)

17.P.D.MatzandR.F.Reidy,DiffusionandDefectDataSolidStateData,103

104(UltraCleanProcessingofSiliconSurfacesVII),SolidStatePhenomenaPt.B,pp.

315322.(2005)

18.P.M.Capani,P.D.Matz,D.W.Mueller,M.J.Kim,E.R.Walter,J.T.Rhoad,E.L.

Busch,R.F.Reidy,MaterialResearchSocietySymposiumProceeding,863,pp.B2.7.1–

B2.7.6(2005).

19.B.P.Gorman,R.A.OrozcoTeran,Z.Zhang,P.D.Matz,D.W.MuellerandR.F.

Reidy,TheJournalofVacuumScienceandTechnologyB,,22(3),pp.12101212(2004).

20.Martinezetal.(2003)

21.J.A.Lubguban,S.Gangopadhyay,B.Lahlouh,T.Rajagopalan,N.Biswas,J.Sun,

D.H.Huang,S.L.Simon,A.Mallikarjunan,HC.Kim,J.Hedstrom,W.Volksen,R.D.

MillerandM.F.Toney,JournalofMaterialsResearch,19,3224(2004).

119 22.K.Maex,M.R.Baklanov,D.Shamiyan,F.Iacopi,S.H.BrongersmaandZ.S.

Yanovitskaya,JournalofAppliedPhysics,93,8793(2003).

23.S.Terada,T.KinashiandJ.Spear,AIPConf.Proc.,683,546(2003).

24.J.Ilavsky,A.J.Allen,G.G.LongandP.R.Jemian,ReviewofScientificInstrument,

AIP,73,1660(2002).

25.A.A.Herrero,R.L.Heredero,E.BernabeuandD.Levy,AppliedOptics,40,527

(2001).

26.M.R.Baklanov,K.P.Mogilnikov,V.G.PolovinkinandF.N.Dultsev,TheJournalof

VacuumScienceandTechnologyB,18,1385(2000).

27.K.P.Mogilnikov,V.G.Polovinkin,F.N.DultsevandM.R.Baklanov,Material

ResearchSocietySymposiumProceeding,565,81(1999).

28.D.W.Gidley,W.E.Frieze,T.L.Dull,J.Sun,A.F.Yee.C.V.Nguyen.D.Y.Yoon,

AppliedPhysicsLetters,76,1282(2002).

29.H.G.TompkinsandW.A.McGahan,SpectroscopicEllipsometeryand

AUser’sGuide,(JohnWiley&Sons,1999).

30.D.E.Aspnes,ThinSolidFilms,89,249(1982).

31.K.R.Carter,R.F.Cook,M.A.Harbison,C.J.Hawker,J.L.Hedrick,S.Kim,G.

Liniger,R.D.Miller,W.VolksenandD.Y.Yoon,USPTO5,953,627,1999.

32.J.Woollam,B.Johs,C.herzinger,J.Hilfiker.R.SynowickiandC.Bungay,Critical

ReviewofOpticalScienceandTechnology,SocietyofPhotoOpticalInstrumentation

Engineers,CR72,1(OpticalMetrology:Washington,1999).

33.D.Shamiryan,T.Abell,Q.T.LeandK.Maex,MicroelectronicEngineering,70/24,

341(2003).

120 34.J.G.Webster,WileyEncyclopediaofElectricalandElectronicsEngineering

Supplement1,(JohnWileyandSons,2000).

35.Hect,Optics4thedition,(AddisonWesley,2002).

36.M.BornandE.Wolf,Principlesofoptics:Electromagnetictheoryofpropagation, interferenceanddiffractionoflight7thedition,(CambridgeUniversityPress,1999).

37.F.L.PedrottiandL.S.Pedrotti,IntroductiontoOptics2ndedition,(PrenticeHall,

1993).

38.R.M.A.AzzamandN.M.Bashara,EllipsometryandPolarizedLight,(NorthHolland

PersonalLibrary,1987).

39.W.H.Press,B.P.Flannery,S.A.Teukolsky,andW.T.Vetterling,NumericalRecipes:

TheArtofScientificComputing(CambridgeUniversityPress:Cambridge,MA,1988).

40.A.V.Goncharenko,Phys.Rev.E,68, 1(2003).

41.D.E.Aspnes,ThinSolidFilms,89,249(1982).

42.C.M.Herzinger,B.Johs,W.A.McGahan,J.A.WoollamandW.Paulson,Journal ofAppliedPhysics,83,3323(1998).

43.E.D.Palik.,Handbookofopticalconstantsofsolids,(SanDiego:AcademicPress,

1998).

44.C.Himcinschi,M.Friedrich,C.Murray,I.Streiter,S.E.Schulz,T.Gessnerand

D.R.TZahn,SemiconductorScienceTechnology,16,pp.806811(2001).

45.C.Himcinschi,M.Friedrich,C.Murray,I.Streiter,S.E.Schulz,T.Gessnerand

D.R.TZahn,Anal.Bioanal.Chem.,374,pp.654657(2002).

46.A.AlvarezHerrero,R.L.Heredero,E.BernabeuandD.Levy,AppliedOptics,40(4), pp.527–532(2001).

121 47.J.M.Hollas,HighResolutionSpectroscopy,(NewYork:JohnWiley&Sons,1998).

48.H.C.Kim,J.B.Wilds,C.R.Kreller,W.Volksen,P.J.Brock,V.Y.Lee,T.Magbitang,

J.L.Hedrick,C.J.HawkerandR.D.Miller,AdvancedMaterials,14,1637(2002).

49.McHardyJ.,SupercriticalFluidCleaning,(NewJersey:NoyesPublications,1998).

50.TaylorL.T.,SupercriticalFluidExtraction:TechniquesinAnalyticalChemistry,

JohnWiley&Sons.,Inc.(1996).

51.RajagopalanT.,Lahlouh,LubgubanJ.A.,BiswasN.,GangopadhyayS.,SunJ.,

HuangD.H.andSimonS.L.,Mallikarjunan,KimH.C.,VolksenW.,ToneyM.F.,Huang

E.,RiceP.M.,DeleniaandMillerR.D.,AppliedPhysicsLetters,82(24),pp.43284330

(2003).

52L.T.Taylor,SupercriticalFluidExtraction,(JohnWiley&Sons,1996).

53.B.XieandA.J.Muscat,IEEETransactionofSemincoductorManufacturing,17(4)

(2004).

54M.T.Othman,J.A.Lubguban,A.A.LubgubanandS.Gangopadhyay,R.D.Miller,

W.VolksenandH.C.Kim,JournalAppliedPhysics,inpress.

55.HC.Kim,W.Volksen,R.D.Miller,E.Huang,G.Yang,R.M.Briber,K.W.Shin andS.K.Satija,ChemistryofMaterials,15,3(2003).

56J.A.Lubguban,S.Gangopadhyay,B.Lahlouh,T.Rajagopalan,N.Biswas,J.Sun,

D.H.Huang,S.L.Simon,A.Mallikarjunan,HC.Kim,J.Hedstrom,W.Volksen,R.D.

MillerandM.F.Toney,J.Mater.Res.,19,3224(2004).

57M.T.Othman,J.A.Lubguban,A.A.Lubguban,S.Gangopadhyay,R.D.Miller,W.

VolksenandH.C.Kim,J.Appl.Phys.99,083503(2006).

122 58H.CKim,W.Volksen,R.D.Miller,E.Huang,G.Yang,R.M.Briber,K.W.Shin,and

S.K.Satija,Chem.Mater.15,609(2003).

59B.Lahlouh,J.A.Lubguban,G.Sivaraman,R.GaleandS.Gangopadhyay,

ElectrochemicalandSolidStateLetters,7, G338(2004).

60B.P.Gorman,R.A.OrozcoTeran,Z.Zhang,P.D.Matz,D.W.MuellerandR.F.Reidy,

TheJournalofVacuumScienceandTechnologyB,22,1210(2004).

61T.Rajagopalan,B.Lahlouh,J.A.Lubguban,N.Biswas,S.Gangopadhyay,J.Sun,D.

H.Huang,S.LSimon,D.Toma,R.Butler,AppliedSurfaceScience,252,6323(2006).

62C.Himcinschi,M.Friedrich,C.Murray,I.Streiter,S.E.Schulz,T.GessnerandD.R.T

Zahn,SemiconductorScienceTechnology,16,806(2001).

63C.Himcinschi,M.Friedrich,C.Murray,I.Streiter,S.E.Schulz,T.GessnerandD.R.T

Zahn,Anal.Bioanal.Chem.,374,654(2002).

123 VITA

MaslinaTasrinOthmanwasbornDecember21st,1977inKualaLumpur,Malaysia.She

obtainedallherthreedegreesfromDepartmentofElectricalandComputerEngineering,

UniversityofMissouri –Columbia:undergraduate,master and PhD. She received her

Bachelor of Science in Electrical Engineering with Honors(2000).Shethencontinued

her master degree in the department of Electrical Engineering (2002) focusing on

microwaveandopticalremotesensingstudies.ShefinallycompletedherPh.D.studiesin

Electrical Engineering (2007) focusing on microelectronic/semiconductor area.

Completion of this dissertation concludes her Doctor of Philosophy in Electrical

Engineering.

124