<<

US 2003O198587A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2003/0198587 A1 Kaloyeros et al. (43) Pub. Date: Oct. 23, 2003

(54) METHOD FOR LOW-TEMPERATURE (52) U.S. Cl...... 423/409; 427/255.28; 427/255.394; ORGANIC CHEMICAL VAPOR DEPOSITION 423/417 OFTUNGSTEN , NITRIDE FILMS AND TUNGSTEN NITRDE DIFFUSION BARRIERS FOR COMPUTER (57) ABSTRACT INTERCONNECT METALLIZATION Processes for producing tungsten nitride and tungsten nitride (75) Inventors: Alain E. Kaloyeros, Slingerlands, NY films are provided in which a tungsten carbonyl compound (US); Barry C. Arkles, Dresher, PA and a nitrogen-containing reactant gas are reacted at a (US) temperature below about 600 C. Tungsten nitride precur Sors are also included which comprise a tungsten carbonyl Correspondence Address: compound capable of forming a tungsten nitride film in the AKIN GUMPSTRAUSS HAUER & FELD presence of a nitrogen-containing reactant gas at a tempera L.L.P. ture of less than about 600 C. A process for forming a film ONE COMMERCE SQUARE by atomic layer deposition is also provided which includes 2005 MARKET STREET, SUITE 2200 introducing into a Substrate having a Surface into a deposi PHILADELPHIA, PA 19103-7013 (US) tion chamber and heating the Substrate to a temperature Sufficient to allow adsorption of a tungsten Source precursor (73) Assignees: Gelest, Inc., Tullytown, PA; The or an intermediate of a tungsten Source precursor, introduc Research Foundation of State Univer ing a tungsten Source precursor into the deposition chamber sity of New York, Albany, NY by pulsing for a period of time Sufficient to form a Self limiting monolayer of the Source precursor or an interme (21) Appl. No.: 10/425,823 diate of the tungsten Source precursor intermediate, intro ducing an inert gas into the deposition chamber by pulsing (22) Filed: Apr. 28, 2003 the inert gas to purge the deposition to remove the tungsten nitride precursor in the gas phase, introducing a nitrogen Related U.S. Application Data containing gas into the deposition chamber by pulsing to (63) Continuation of application No. 09/502,260, filed on react with the adsorbed precursor monolayer on the Substrate Feb. 11, 2000, now abandoned. Surface and to form a first tungsten nitride atomic layer on the Substrate Surface. An inert gas may then introduced into (60) Provisional application No. 60/119,957, filed on Feb. the deposition chamber for a period of time sufficient to 12, 1999. remove the unreacted nitrogen-containing gas and reaction byproducts from the deposition chamber. The entire pulsing Publication Classification Sequence including precursor, inert gas, nitrogen-containing gas may be repeated until a film with a desired thickness is (51) Int. Cl." ...... C23C 16/34; CO1B 21/06 achieved. Patent Application Publication Oct. 23, 2003 Sheet 1 of 15 US 2003/0198587 A1

(spun Ae-Iq-IV) Absu.au i Patent Application Publication Oct. 23, 2003 Sheet 2 of 15 US 2003/0198587 A1

i

(Spru? AIle-IqIW) Absuau i Patent Application Publication Oct. 23, 2003 Sheet 3 of 15 US 2003/0198587 A1

000 0SX Patent Application Publication Oct. 23, 2003 Sheet 4 of 15 US 2003/0198587 A1

Patent Application Publication Oct. 23, 2003 Sheet 5 of 15 US 2003/0198587 A1

CC ge en wax

g ed ge pass

O O d a > 39 8 > i Crs

9 sa e 6 wer

3D CO en

G (SU? AI e ICW A Sueu i Patent Application Publication Oct. 23, 2003. Sheet 6 of 15 US 2003/0198587 A1

Patent Application Publication Oct. 23, 2003 Sheet 7 of 15 US 2003/0198587 A1

(Sun Auerq-IV) Absuapu i Patent Application Publication Oct. 23, 2003 Sheet 8 of 15 US 2003/0198587 A1

g w

s

s

G vacat

g C C 9 d 9. e (%) uoge pueouo) ou IOW Patent Application Publication Oct. 23, 2003 Sheet 9 of 15 US 2003/0198587 A1

O - O CN

C (N S O

O O 1n a O D G) 8:3s

NaS. p D 35 O O) C CD trace O C O r to O O O CN

d O O O O O O O O O CN tes t Pell pez. Du JON i Patent Application Publication Oct. 23, 2003 Sheet 10 of 15 US 2003/0198587 A1

Patent Application Publication Oct. 23, 2003 Sheet 11 of 15 US 2003/0198587 A1

(2A) uOeuebuo) buIOW i Patent Application Publication Oct. 23, 2003 Sheet 12 of 15 US 2003/0198587 A1

5

3.

s

g

en years C G ge e va D (%) uOeueouo) ouoW Patent Application Publication Oct. 23, 2003 Sheet 13 of 15 US 2003/0198587 A1

S

O O S 8 () i Patent Application Publication Oct. 23, 2003 Sheet 14 of 15 US 2003/0198587 A1

Patent Application Publication Oct. 23, 2003 Sheet 15 of 15 US 2003/0198587 A1

US 2003/O198587 A1 Oct. 23, 2003

METHOD FOR LOW-TEMPERATURE ORGANIC with nitrogen or , often in the presence of hydrogen CHEMICAL VAPOR DEPOSITION OF TUNGSTEN attemperatures above 600 C. and under pressure. E. Markel NITRIDE, TUNGSTEN NITRIDE FILMS AND et al., Kirk-Othmer Encyclopedia of Chemical Technology, TUNGSTEN NITRIDE DIFFUSION BARRIERS FOR “,” 17, pp. 114 (1996). Manufacturing processes COMPUTER INTERCONNECT METALLIZATION leading to tungsten nitride with more uniform properties and lower process temperatures are desirable since many poten CROSS-REFERENCE TO RELATED tial applications for tungsten nitride films are temperature APPLICATIONS Sensitive. For example, in microelectronic applications there is a need for a low temperature process for forming tungsten 0001) This application claims the benefit of U.S. Provi nitride, Since Such applications require consistent film prop sional Application No. 60/119,957, filed Feb. 12, 1999. erties in order to achieve uniform electrical properties and stress free coatings (uniform lattice parameters or amor BACKGROUND OF THE INVENTION phous structure) without damaging structures by exposure to high temperatures or corrosive by-products. 0002. As computer chip device dimensions continue their evolution towards feature sizes below 100 nm, new liner 0006 While WN presents a potentially viable solution materials and associated process technologies are needed to given its attractive properties as highly refractory material ensure viable diffusion barrier and adhesion promoter per with excellent mechanical and chemical properties, there formance between the conductor and the Surrounding remains problems with achieving high conformality with regions of Silicon-based and dielectric-based materials. low impurities. Since tungsten nitride can be deposited in These liners must possess mechanical and Structural integ amorphous form (see, B. Park et al., J. Electron. Mater, 26, rity, good conformality within aggressive device features, pp. 1 (1997)), it is highly desirable for microelectronic high conductivity to minimize plug overall effective resis applications, given that an amorphous film inherently has no tance, and thermal, mechanical, and electrical compatibility grain boundaries. The lack of grain boundaries provides with neighboring conductor and dielectric material Systems. added Stability towards metal migration by eliminating grain Most importantly, liner materials are expected to meet these boundaries as a primary path for metal diffusion. Accord Stringent requirements at increasingly reduced thicknesses, ingly, prior work in the literature has Successfully demon in order to maximize the real estate available for the primary strated the applicability of WN as an effective barrier metal conductor within the continuously decreasing device against copper diffusion at temperatures as high as 750 C. dimensions. In particular, liner thickneSS is predicted to M. Uekubo et al., Thin Solid Films, 286, pp. 170 (1996). decrease from 20 nm for the 0.15 um device generation, to less than 6 mm for its 0.05 um in counterpart as noted in the 0007 Uekubo, noted above, has deposited tungsten International Technology Roadman for Semiconductors, nitride by reactive Sputtering. Unfortunately, the application of Sputtering techniques is limited by concerns over the 1999 Edition, Santa Clara, Calif., pp. 165. ability to provide good conformality in Sub-100 nm device 0003. These needs are further complicated by the on StructureS. going transition from aluminum-based to copper-based met allization Schemes, and requires exploring new candidate 0008 Tungsten nitride has also been deposited by chemi liner materials that are chemically and thermodynamically cal vapor deposition (CVD) from tungsten tetrafluoride more stable towards copper diffusion and migration than the (WF) and ammonia (NH). S. Marcus et al., Thin Solid presently used titanium/ materials. These Films, 236, pp.330 (1993). While such CVD-based methods include binary compounds, Such as (TAN) could provide viable Step coverage in Such aggressive topog and tungsten nitride (WN), and ternary compounds Such as raphies, thereby allowing the potential use of the same CVD tantalum-silicon-nitride (TaSiN) and tungsten-silicon-ni liner technology in multiple generations of Sub-100 nm tride (WSiN). See, M. Takayama et al., J. Vac. Sci. microprocessor and memory products, inorganic CVD from Technol, B 14, pp. 674 (1996); M. Uekubo et al., Thin Solid WF and NH poses challenges. The difficulties include: (a) Films, 286, pp. 170 (1996); and K. Nakajima et al.Appl. transport and handling concerns attributed to the high reac Surf Sci., 117/118, pp. 312(1997). tivity of the fluorinated WF Source, (b) process concerns caused by potential gas phase particle generation in the 0004 Tungsten nitrides are refractory compounds with reaction of WF and NH and (c) reliability issues pertaining high densities and exceptional hardneSS and barrier proper to the possible inclusion of fluorine in the resulting WN ties. They are usually regarded as interstitial compounds, liner which is a fast diffuser in metals. Such as copper. i.e., with a range of non-Stoichiometric ratioS oftungsten and nitrogen atoms. Even So, two simple Stoichiometries are 0009 M. H. Tsai et al., Appl. Phys. Letters, 68, pp. 1412 often represented, ditungsten nitride and tungsten nitride. (1996) also demonstrates a metal-organic CVD (MOCVD) Representative properties are as follows: from a single tungsten Source, i.e., bis-(tert-butyl-imido)bis (tertbutylamido)tungsten having the formula ((t- TABLE A BuN)W(NHt-Bu)). MOCVD from such single source pre cursors typically requires high processing temperatures, in Compound Density (g/cm) Lattice Parameter excess of 450° C., with resulting film resistivities generally WN 12.0-17.7 O412 greater than 620 uS2/cm. Higher resistivities result from WN same as above O.289 Significant thermal Sensitivity of Such Single Source prior art tungsten precursors for MOCVD. At temperatures of about 450° C. and higher, the hydrocarbon-based ligands in such 0005. Manufacturing technology for the production of Sources tend to decompose readily, leading to film contami tungsten nitride is accomplished by the nitriding of tungsten nation with carbon and, as a result, higher resistivity. US 2003/O198587 A1 Oct. 23, 2003

0010. Such recent attempts to generate WN films con cause premature decomposition of the Source chemistry Sistent with microelectronic manufacturing have focused on upon contact with the Substrate Surface. The net outcome is methods utilizing tungsten hexafluoride as a precursor, with the growth of highly contaminated films via a more con typical process windows for tungsten hexafluoride requiring ventional CVD-based thermolytic or pyrolytic approach, temperatures greater than 450° C. as noted above with instead of the Self-limiting, layer-by-layer, ALD approach. respect to Marcus et al. See also T. Nakajima et al., J. Even in the case of the inorganic tungsten hexafluoride Electrochem. Soc., 134, pp. 3175 (1987). Alternately, plasma Source chemistry, there has not been a Successful identifi methods using tungsten hexafluoride have been used, but cation of an appropriate process window and/or intermediate these still require temperatures greater than 350° C. See C. precursor Species that can Successfully and reliably allow Meunier et al., Mater. Manufacturing Process., 13, pp. 415 adsorption and decomposition in a layer-by-layer ALD (1998) and J. P. Lu et al., J. Electrochem. Soc., 145(2), pp. mode. L21 (1998). Both the tungsten hexafluoride and plasma 0014. Accordingly, there is a need in the art for a method methods share the corrosive by-products of ammonium for producing tungsten nitride and tungsten nitride films for fluoride and hydrogen fluoride which cannot only react with use in a variety of applications, and in particular for micro tungsten nitride, but also with other metals and dielectrics electronic applications which provides good conformality, which are typically part of microelectronic structures, for does not have difficulties in transport or handling, does not example, Silicon, Silicon dioxide, and aluminum. Fluorine is lead to Significant gas phase particle generation from reac also a fast diffuser in copper. The direct erosion of Silicon by tion of the precursor(s) used with the nitrogen Source and tungsten hexafluoride has also been reported. D. Baxter et which avoids inclusion of corrosive materials Such as fluo al., Chem. Mater, 8, pp. 1222 (1996). rine in the resulting tungsten nitride liner which may diffuse 0.011 Attempts to use organic-tungsten compounds to rapidly in metals in microelectronic applications Such as produce tungsten nitride films involve precursors which, copper. There is further a need for Such a method which can under the best reported conditions invariably incorporate produce tungsten nitride and tungsten nitride films with low carbon into the films and have not shown low resistivities. or no carbon impurities in the resulting materials and which M. Tsai et al., Appl. Phys. Lett., 68, pp. 1412 (1996). produce Sufficient resistivities. There is also a need in the art Dimethylamido-Substituted tungsten compounds have been for a method to produce films, including tungsten nitride shown in model Studies not to be stable enough to volatilize films, of increasingly Smaller thicknesses while retaining or undergo transport without vapor phase particle formation, high conformality and uniformity. which is inconsistent with the formation of uniform coat 0015 There is further a need in the art to develop ings. See, Baxter, above. chemically-engineered, highly maleable tungsten Source 0012 Furthermore, as device sizes continue their trend precursors for incorporation in atomically-tailored, interfa towards Smaller features, tungsten nitride liner materials cially-engineered, CVD processes for the deposition of must provide the required performance at continuously highly conformal ultrathin tungsten nitride films, as thin as reduced thicknesses in order to maximize Space availability a few monolayers. Further, it would be desirable if these for the actual copper conductor. Predictions published in the atomic-layer CVD (ALCVD) processes were able to dem International Technology Roadmap for Semiconductors onstrate the necessary ability to chemically and structurally 1998 Update indicate the need for liners with thicknesses "nano-engineer the Substrate Surface through tightly con below 1 nm for the 50 nm node. These trends require the trolled interactions with the chemically-engineered Source development and optimization of manufacturing-Worthy precursors or appropriate Source precursor intermediates to processes for the reliable and reproducible deposition of allow Sequential atomic layer by atomic layer growth of conformal ultrathin liners with atomic level controllability. films Such as tungsten nitride. AS a result, work in the prior art has demonstrated that techniques such as atomic layer CVD (ALCVD) and atomic BRIEF SUMMARY OF THE INVENTION layer deposition (ALD) are viable candidates for incorpo ration in Sub-tenth-micron Semiconductor device fabrication 0016. The invention includes a process for the production flows. DiMeo, Jr., et al., U.S. Pat. No. 5,972,430; Suntola et of tungsten nitride, comprising reacting a tungsten carbonyl al., U.S. Pat. No. 5,711,811; Suntola et al., U.S. Pat. No. compound with ammonia at a temperature below about 600 C. In one embodiment of the process, the tungsten carbonyl 4,389,973; and Suntola, et al., U.S. Pat. No. 4,058,430. compound is a compound according to formula (I): 0013 These techniques are almost universally based on the principle of Self-limiting adsorption of individual mono W(CO)(6X, (I) layers of Source precursor Species on the Substrate Surface, 0017 wherein X is a two-electron donor or a ligand followed by reaction with appropriately Selected reactants to Selected from the group consisting of ammonia, amine, grow a single molecular layer of the desired material. carbonyl and halogen and n is 0 or 1. Thicker films are produced through repeated growth cycles 0018. The invention further includes a process for the until the desired target thickness is met. Unfortunately, the production of a tungsten nitride film comprising reacting a ALCVD approaches described in the prior art have not successfully reported the growth of WN films with elec tungsten carbonyl compound with ammonia at a temperature tronic grade quality for microelectronicS applications, in part below about 600 C. due to the lack of an appropriate metal-organic Source 0019. A process for the chemical vapor deposition of a chemistry which is amenable to the Self-limiting adsorption tungsten nitride film onto a Substrate is also within the in monolayer form on the Substrate Surface. In addition, the invention. The method comprises introducing into a depo high chemical and thermal instability of many of the metal Sition chamber, a Substrate, a tungsten carbonyl compound organic tungsten Source precursors used in the prior art can in a vapor State and at least one nitrogen-containing gas, and US 2003/O198587 A1 Oct. 23, 2003 maintaining a substrate temperature of from about 200 C. elemental core peaks for (a) metal-organic chemical vapor to about 600 C. for a period of time sufficient to deposit a deposition (MOCVD) WN films formed in accordance with tungsten nitride film on the Substrate. an embodiment of the process of the invention in Example 0020. A tungsten nitride precursor is further included in 1 and (b) a sputtered pure W standard; the invention which precursor comprises a tungsten carbonyl 0026 FIG. 2 is a representation of the X-ray diffraction compound, and the precursor is capable of forming a tung (XRD) pattern of MOCVD-grown WN films grown in Sten nitride film in the presence of a nitrogen-containing Example 1 at Substrate temperatures of: (a) 200° C. (film reactant gas at a temperature of less than about 600 C. thickness of about 52 nm) and (b) 275 C. (film thickness of 0021. The invention also includes a tungsten nitride film about 63 nm); having an electrical resistance of less than about 600 uS2/cm, 0027 FIG. 3 is a representation of a bright field cross wherein the film is a reaction product of a tungsten carbonyl Section transmission electron microscopy (TEM) image of a compound and a nitrogen-containing gas. representative 62 nm-thick MOCVD-grown WN film formed in Example 1, 0022. A tungsten nitride coated Substrate is also within the invention and it comprises a Substrate coated on at least 0028 FIG. 4 is a representation of Fast Fourier Trans one side with a tungsten nitride film, wherein the tungsten form (FFT) TEM images of the WN samples of FIG. 3 nitride film has an electrical resistance of less than about from Example 1 showing an amorphous WN phase. 600uS2/cm and is a reaction product of a tungsten carbonyl 0029 FIG. 5 is a representation of Rutherford backscat compound and a nitrogen-containing gas. tering spectroscopy (RBS) spectra of MOCVD-grown WN 0023 1. A process for forming a film by atomic layer films formed in accordance with Example 1, deposition is also encompassed within the invention and 0030 FIG. 6 is a representation of a cross-section scan includes introducing into a deposition chamber a Substrate ning electron microscopy (CS-SEM) image of MOCVD having a Surface and heating the Substrate to a temperature grown WN films in nominal 0.25 um trench structures; Sufficient to allow adsorption of a tungsten Source precursor or an intermediate of a tungsten Source precursor. A tungsten 0031 FIG. 7 is a representation of XRD patterns of a Source precursor is introduced into the deposition chamber WN films produced using thermal CVD in accordance with by pulsing the tungsten Source precursor to expose the an embodiment of the invention as set forth in Example 2; heated Substrate Surface to the tungsten Source precursor for 0032 FIG. 8 is a representation of XPS spectra of the a period of time Sufficient to form a Self-limiting monolayer tungsten nitride films of Example 2, of the Source precursor or an intermediate of the tungsten Source precursor intermediate on the Substrate Surface. An 0033 FIG. 9 is a representation of RBS spectra of the inert gas is introduced into the deposition chamber by tungsten nitride films of Example 2, pulsing the inert gas to purge the deposition chamber with an 0034 FIG. 10 is a representation of a typical cross inert gas for a period of time Sufficient to remove the section TEM-magnified view of a representative silicon tungsten nitride precursor in the gas phase but without Substrate upon which oxide trench patterns, of nominal removing adsorbed precursor in the monolayer. A nitrogen diameter 200 nm and 6:1 aspect ratio, are formed and upon containing gas is introduced into the deposition chamber by which a conformal tungsten nitride coating of Example 3 has pulsing the nitrogen-containing gas for a period of time been deposited; Sufficient to react with the adsorbed precursor monolayer on 0035 FIG. 11 is a representation of XPS spectra of the the Substrate Surface and to form a first tungsten nitride tungsten nitride films formed by the thermal CVD reaction atomic layer on the Substrate Surface. In a preferred embodi in Example 3 according to an embodiment of the method; ment, the process for forming a film by atomic layer deposition, further comprises introducing an inert gas by 0036 FIG. 12 is a representation of Auger electron pulsing the inert gas into the deposition chamber to purge the Spectra of the tungsten nitride films of Example 3, deposition chamber of the nitrogen-containing reactant gas. 0037 FIG. 13 is a representation of RBS spectra of the The process for forming a film by atomic layer deposition as tungsten nitride films of Example 3, described above is repeated by pulsing in further precursor, 0038 FIG. 14 is a representation of a typical cross then inert gas, then nitrogen-containing reactant gas in the section TEM-magnified view of a representative silicon manner noted above until a tungsten nitride film of a Substrate upon which oxide trench patterns, of nominal predetermined thickness is formed. diameter 180 nm and 5:1 aspect ratio, are formed and upon BRIEF DESCRIPTION OF THE SEVERAL which a representative Sample of a the conformal tungsten VIEWS OF THE DRAWINGS nitride coating in accordance with Example 3 has been 0024. The foregoing Summary, as well as the following deposited; and detailed description of preferred embodiments of the inven 0039 FIG. 15 is a representation of a typical cross tion, will be better understood when read in conjunction with section TEM-magnified view of a representative silicon the appended drawings. For the purpose of illustrating the Substrate upon which oxide trench patterns, of nominal invention, there is shown in the drawings embodiments diameter 300 nm and 4:1 aspect ratio are formed and upon which are presently preferred. It should be understood, which are conformal tungsten nitride coatings of Example 4. however, that the invention is not limited to the precise DETAILED DESCRIPTION OF THE arrangements and instrumentalities shown. In the drawings: INVENTION 0.025 FIG. 1 is a representation of high resolution X-ray 0040. The tungsten nitride and tungsten nitride films of photoelectron spectroscopy (XPS) spectra of the W4f, the present invention and the tungsten nitride precursors of US 2003/O198587 A1 Oct. 23, 2003

the invention may be used on a wide variety of Substrates 0.1s X-2.0. However, it is within the scope of the invention and for a wide variety of applications. While they, of course, to vary the ratio of tungsten to nitrogen in the final films by are highly applicable in the microelectronic field, deposited varying the concentration of the reactants with respect to thin films of tungsten nitride in accordance with the inven each other. Preferably, the ratio of gaseous flow of nitrogen tion also form hard coatings which may be used as protective containing reactant to the tungsten Source precursor ranges coatings in various fields Such as for providing hard coatings from about 1000:1 to about 1:1000, more preferably from to aircraft or other engine parts or as a Substitute for Silicon about 100:1 to about 1:1 and most preferably from about 2:1 nitride or tungsten carbide in many applications in which to about 1:1, however, it will be understood that the range of Such coatings are used. For example, Such tungsten nitride ratios for the precursors also is related to the desired W:N films may be used for hard coatings on drill bits to protect ratio desired in the resulting film for a given application. the life of the drill bit in place oftungsten carbide and similar 0044) The tungsten carbonyl compound used in the drill bit coating materials. Further, other tools, which are invention may be any W complex of carbonyl (CO) with now provided with protective coatings, or materials which tungsten (W) which is preferably free of fluorine, including are formed of tungsten carbide, but are still vulnerable to most preferably tungsten heXacarbonyl also known as oxidation can be coated with the tungsten nitride films of the hexacarbonyl tungsten, i.e. (W(CO)). However, one or invention to extend their life. The tungsten nitride of the more of the carbonyl groups may also be Substituted with invention may also be used S a cosmetic and/or protective electron pair donors or Similar ligands. Tungsten heXacar coating for jewelry, in anti-reflective coatings for optical, bonyl has the following properties: a melting point of optoelectronic, and lithography applications. In addition, 169-170° C.; a vapor pressure at 35 C. of 2 torr; a AH, light bulb filaments may be coated with the tungsten nitride of-227 kcal/mole; and a specific gravity of 2.65. Tungsten films of the invention in order to extend filament life. heXacarbonyl is available as a white, odorless powder which 0041. The tungsten nitride films of the invention are more is stable in air and which has a toxicity level (oral in rats) of advantageous than tungsten carbide for applications Such as LD50:>5000 mg/kg. In the tungsten carbonyl compounds of those mentioned above, because the tungsten nitride films of the invention, one of the carbonyl groups is readily Substi the invention can be formed as amorphous films with little tuted with groups or elements Such as ammonia, amine, or no polycrystallinity. As a result, Such films do not oxidize halogens and electron pair donors Such as oxygen com as quickly as tungsten carbide. In addition, the tungsten pounds and the like which may be used to assist in transport nitride films emit light from a different part of the spectrum of the tungsten carbonyl compound to the deposition area as than materials. Such as tungsten carbide and appear more described further below. In addition, the carbonyl groups are gold than black in appearance. As a result of the unique readily Substituted by nitrogen in the processes of the emission spectrum of the films, Such films are more ther present invention, particularly in the CVD processes of the modynamically efficient and do not absorb heat as quickly invention. from the environment. Such efficiency is particularly advan tageous in applications in which heat is detrimental and can 0045. In preferred embodiments of the invention, the lead to failure, Such as in many microelectronic applications tungsten carbonyl compound has the following formula (I): and in lightbulb filaments where heat contributes to filament W(CO)(6X, (I) failure. While such intended applications clearly benefit from the tungsten nitride, tungsten nitride films and film 0046 wherein X is a two-electron donor or a ligand such forming processes of the present invention, it will be under as ammonia, amine, carbonyl and halogens Such as chlorine, stood, based on this disclosure that Such films have a wide bromine and iodine. In addition, X may be oxygen-contain range of potential uses and will provide Significant advan ing organicS Such as tetrahydrofuran, trimethyphosphine and tages in many fields. As a result, while the tungsten nitride compounds of Similar Structure which can act as two and tungsten nitride films and processes are generally electron donor compounds While fluorine may be used, it is described herein with respect to their potential use in micro not preferred due to its high reactivity. Any other similar electronics, Such uses should not be considered limiting in groups which may be easily displaced by nitrogen in the any regard. deposition proceSS but which do not have a significant adverse affect on the formation of tungsten nitride may also 0042. The invention includes processes for producing be used as the ligand X. In formula (I), n is preferably 0 or tungsten nitride and tungsten nitride films as well as a 1. proceSS for the chemical vapor deposition of tungsten nitride 0047 Tungsten nitride formed from reacting tungsten films onto a Substrate. The invention further includes tung carbonyl compounds Such as those described above with a Sten nitride precursors capable of forming a tungsten nitride nitrogen-containing gas Such as nitrogen, ammonia, and film and a tungsten nitride coated Substrate. Such tungsten hydrazine, most preferably ammonia at a temperature below nitride films, as well as other thin films, may further be 600 C. are within the scope of the invention. Tungsten produced in accordance with the proceSS for producing a nitride, preferably of the stoichiometry WN with film by atomic layer deposition of the invention. 0.1 SXS2.0, are formed by reacting the tungsten carbonyl 0043. In all embodiments of the invention herein compound and nitrogen-containing gas, preferably ammo described, tungsten nitride is formed by reacting a tungsten nia, and more preferably, in the presence of hydrogen. carbonyl compound with a nitrogen-containing reactant gas Hydrogen when employed assists in the decomposition Such as preferably ammonia, and at a preferred temperature process of the tungsten nitride precursor, i.e., the tungsten of less than about 600 C. The tungsten nitride can have carbonyl compound. In addition, the use of hydrogen can aid tungsten and nitrogen in varied Stoichiometric ratios, but in removal of (CO) ligands from the tungsten carbonyl preferably includes tungsten nitride in the form of WN, compound by bonding with the ligands once they are wherein X is preferably characterized by the relationship, dissociated from the decomposing tungsten carbonyl com US 2003/O198587 A1 Oct. 23, 2003 pound. By bonding with Such ligands, the hydrogen can aid pathways, including Sputtering, collimated Sputtering, nitri in preventing their reincorporation in the resulting tungsten dation from the liquid phase, annealing of tungsten films in nitride film, thereby minimizing potential contaminants in a nitrogen-containing ambient, ion-beam deposition, and the resulting film. laser ablation. 0.048. An example of the reaction of a tungsten carbonyl 0055 While specific, preferred methods are described compound and the nitrogen-containing reactant gas, for herein, it will be understood, based on this disclosure, that example tungsten heXacarbonyl and ammonia proceeds as other apparatuses and other CVD methods capable of form follows: ing tungsten nitride films from the tungsten nitride precur W(CO)+NH->WN+carbon monoxide, organic Sors of the invention and which are capable of carrying out compounds and nitrogen-containing compounds (II) the claimed processes are within the Scope of the invention. 0049. A further example of a reaction in accordance with 0056. Formation of tungsten nitride and deposition of the present invention using a tungsten carbonyl compound tungsten nitride films occurs generally in accordance with and a nitrogen-containing reactant gas in the presence of the invention by heating one or more tungsten carbonyl hydrogen, Such as tungsten heXacarbonyl and ammonia with compounds to temperatures in the range of from about 85 hydrogen, proceeds as follows: C. to about 100° C. and transporting the tungsten carbonyl W(CO)+H+NH->WN+carbon monoxide, organic compound(s) preferably by a mass flow device into a compounds and nitrogen-containing compounds (III) deposition chamber which preferably has a substrate for 0050. In the case of thermolysis or pyrolysis of tungsten receiving the tungsten nitride reactant product. If a transport heXacarbonyl without the presence of a nitrogen-containing gas is used, as described further below, Such as hydrogen, gas or hydrogen, an exemplary reaction proceeds as follows: the tungsten carbonyl compound(s) may be transported first to a mixing Zone in which the hydrogen, or other gas, is W(CO)->W(C, O, CO) (IV) mixed into the tungsten carbonyl compound(s) which act as 0051. In the above formula, CO, C and O may range from a precursor(s). The reaction chamber preferably contains a 5 at % to more than 50% depending upon the substrate substrate at a temperature of less than about 600 C., temperature, with higher Substrate temperatures yielding preferably from about 200° C. to about 350° C. and most lower CO, O, and C incorporation. AS Such, the production preferably not greater than about 275 C. Ammonia, or of hydrogenated and nitride byproducts in formulas (III) and another nitrogen-containing reactant gas is introduced into (II) are critical to the growth of pure tungsten nitride films the deposition chamber. Molar equivalents of ammonia or without CO, C or O contamination. other reactants are provided which would displace one of the 0.052 In order to form tungsten nitride in accordance with carbonyl groups on the molecule of the tungsten carbonyl the process of the present invention, it is preferred that the compound precursor(s), and can be introduced into the temperature be kept within the range of from about 200 C. mixing Zone before the reaction chamber in order to receive to about 350° C. in order to receive the most benefits of the the benefit of prereaction and to increase deposition rate, low temperature advantages of the present invention. Fur however, caution must be taken as Such benefits may be ther, the lower the temperature within this range, the lower offset by vapor phase particle formation if mixing is poor. the level of polycrystallinity, and, in accordance with one 0057 Use of the tungsten nitride source precursor of the preferred embodiment of the invention, tungsten nitride invention provides a tungsten nitride growth process which formed using the Specified reaction at temperatures of from is relatively independent of the type of substrate used. This about 200 C. to about 275 C. form a substantially com is desirable because more selective tungsten nitride CVD pletely, if not completely, amorphous phase tungsten nitride. processes available in the prior art are not feasible within the 0053. The tungsten carbonyl compounds described above constraints imposed by prevailing manufacturing practices, which are capable of forming a tungsten nitride film in the particularly with respect to the need for ultra clean room presence of a nitrogen-containing reactant gas Such as Sample preparation and associated processing conditions to ammonia at the temperatures noted above further provide ensure Selectivity. unique precursors for the formation of tungsten nitride and tungsten nitride films, particularly in accordance with vari 0058. The precursors may be used to provide conformal ous deposition processes as described further below. The tungsten nitride films on various Substrates, including blan tungsten nitride films formed in accordance with this inven ket Substrates (non-patterned) and patterned Substrates tion demonstrate low resistivities of less than about 600 which have Sub-micron features and structures. The films are useful on such substrates as a result of their ability to tuS2/cm, preferably less than about 400 uS2/cm and most completely fill the aggressive device features of emerging preferably less than 300 uS2/cm at temperatures below about integrated circuitry technologies and for their ability to form 600° C. a hard, protective coating. In microelectronic applications, 0.054 The tungsten nitride films of the invention may be Such films may be used as resistant barrier layers and/or formed in accordance with any Suitable method, preferably adhesion promotor layerS for copper metallization Schemes a CVD method and using any of a wide number of com in which copper films act as Signal-carrying conductors mercially available or Specially modified Sputtering or other across each metallization level in a computer chip (inter CVD apparatuses, as described further below. While the connects) or as barrier materials and/or adhesion promoters present invention is described in terms generally of CVD in copper metallization Schemes having Signal-carrying con methods of forming tungsten nitride, Since Such methods are ductors that connect two different levels in a computer chip preferred, it will be understood based on this disclosure that (plug). The conformal films are also useful in applications tungsten nitride generally for a wide variety of applications involving the deposition of metals by plating techniques, and for coatings and films can be formed using other Suitable including deposition of metals by electroleSS plating in US 2003/O198587 A1 Oct. 23, 2003 which Such protective or barrier coatings are desired to require an adhesion promotor or barrier layer or which may protect the metals coated on a Surface, to protect a Surface also be further coated with other tungsten nitride films from an outer coating as a liner or barrier, or to provide formed using the invention. Suitable Substrates for receiving interlayer protection between layers in multilayer coatings the films include, for example, Steel, tungsten carbide, or multilayer plating. , as well as typical microelectronic application metals Such as copper (Cu), tungsten (W), tantalum (Ta), 0059 AS used herein, “conformal” coating means a coat titanium (Ti) and their binary, ternary and quaternary phases, ing which evenly covers a Substrate having a complex such as a further layer of tungsten nitride (WN) having the topography. The evenness of the coating can be measured same or a different value of X, tantalum nitride (TaN), by, for example, examining the thickness of the coating titanium nitride (TiN), titanium-nitride-silicide (TiNSi) along the walls and bottom of the hole in the Substrate, and and tantalum nitride silicide (TaNSi) where X and y may determining the variation in the thickness of the coating. have values ranging from, respectively, 0 to 2 and 0 to 3, According to the invention, Sub-quarter-micron Substrates preferably with respect to tungsten nitride, X is from 0.1 to are conformally coated when the coating has a thickness, 2.O. measured at any point normal to the Surface of a wall or floor of a hole in the Surface of the Substrate, which is within 25% 0063. Other substrates which may be coated with tung of the thickness at any other point in the hole. Preferably, the sten nitride deposited films of the invention and formed from variation of coating thickness of tungsten nitride films the novel precursors of the invention include Silicon dioxide, formed using the precursors of the invention is within 10%, Silicon nitride, or doped versions and mixtures thereof; low and more preferably within 5%, that is, at no point is the dielectric constant (k) insulator materials having K-4.0, thickness of the coating either 10% or preferably 5% greater which are potential Substitutes for Silicon dioxide in emerg or Smaller than the average coating thickness. ing ULSI circuitry; titanium-based and tantalum-based dif fusion barriers or adhesion promoter liners as well as other 0060 AS used herein, “step coverage, particularly with tungsten-based diffusion barriers or adhesion promotor lin respect to ULSI devices and coated Substrates therein, refers ers, including those of pure tantalum, tungsten and titanium to the ratio of the coating thickness at the bottom of a feature and their binary, ternary and quaternary alloys, metals, Such Such as a trench or Via, to the thickness of the coating on the as aluminum, beryllium, cadmium, cerium, chromium, top Surface of the Substrate adjacent to the feature, where the cobalt, tungsten, gallium, gold, lead, manganese, molybde ratio is multiplied by 100 to provide a percentage value. The num, nickel, palladium, platinum, rhenium, rhodium, Silver, processes of the invention and deposited tungsten nitride Stainless Steel, iron, Strontium, tin, titanium, Zinc, Zirconium, films formed from the precursors of the invention have and alloys and compounds thereof Such as Suicides, car conformal or complete filling of complex Substrate topog bides, and nitrides, glass, plastic, or other polymers for raphies depending on the intended use of the Substrate. applications including automotive or aircraft parts and 0061 While the substrate is not limited, preferred sub engines, cutting tools, cosmetic coatings for jewelry, light Strates which may be coated with the tungsten nitride films bulb filaments and multilayered films for flat panel display, of the present invention are those which are intended to be Solar cell devices, and optoelectronic applications. used in an integrated circuit and which have complex 0064. It should be understood, based on this disclosure topography including holes, trenches, Vias and the like for that other substrates may be used within the scope of the providing the necessary connections between materials of invention which would benefit from the application of a various electrical conductivities that form a Semiconductor tungsten nitride films according to the invention. However, device. Other substrates which may be used with the tung it is preferred that the substrate used with the precursor of Sten nitride films are those which are intended for ultra-large the present invention be stable at the conditions as described Scale integration (ULSI) integrated circuit technologies and herein for depositing tungsten nitride films on a particular are also patterned with holes, trenches, and other features Substrate. AS Such, Substrates should preferably be stable at with diameters of less than 1.0 micron, and often less than temperatures up to about 600 C., depending on the intended 0.5 microns, and even 0.25 microns or less. Such Substrates use of the Substrate. having Such Small features are known as "Sub-quarter micron'. Substrates. Sub-quarter-micron Substrates which 0065. The tungsten nitride films may be deposited first may have tungsten nitride barrier and/or adhesion promotor and then coated by deposition with other coatings Such as type films deposited on them in accordance with the present metallic coatings or the tungsten nitride films may be invention include those having features with high aspect deposited on a Substrate or coated Substrate Such as a ratios of from about 3:1 to about 6:1 or more, where the Substrate having a metallic coating(s). In addition, the films aspect ratio is defined as the ratio of the depth of a feature of the invention may be deposited in any Sequence or to its diameter as viewed in croSS-Section. In this disclosure, alternately layered. Such depositions may be performed eX “Sub-quarter-micron Substrates' have feature diameters leSS situ, in different reactors, or in situ, either in the Same reactor than about 0.25 microns and an aspect ratio of typically (a Stand alone reactor) or in two or more separate reactors larger than about 3:1. Those of aspect ratios of about 4:1 and (for example, a cluster tool), each for deposition of one of greater are associated with Substrates for ULSI circuitry. the multilayered metallic coatings. If one reactor is used, it may be interconnected through leak-tight transfer arms and 0062) Exemplary substrate types which may be coated load locks which allow Sample transfer without exposure to with deposited tungsten nitride films of the present invention include blanket and patterned Semiconductor Substrates, a. Such as Silicon and gallium arsenide; Substrates already 0066. There is no limit to the type of CVD which may be coated with Single or multilayer metallic coatings, Surfaces used in forming tungsten nitride films according to the requiring a hard protective coating, and Substrates which invention, such that thermal CVD, plasma-promoted CVD, US 2003/O198587 A1 Oct. 23, 2003

plasma-assisted CVD as well as newly developed atomic donors or ligands. However, fluorine while it may be used, layer deposition (ALD) or atomic layer CVD (ALCVD) may is not preferred due to its high reactivity. Such additional all be practiced using the MOCVD processes of the inven gases may be provided to the precursor and/or transport tion, including the novel ALCVD process of the invention gas(es) entering the deposition chamber as well as, for described below for use in forming the tungsten nitride films example, other high electron density ligands, weak nucleo of the invention as well as other thin films or films requiring philes Such as alkenes, alkynes, phosphines, diketones and a high level of uniformity, conformality and the like. mixtures thereof. Such compounds may also be introduced 0067. In the processes of the present invention, the reac by dissolving them in the Source precursor and vaporizing tion can occur in any Suitable apparatus, however, it is the mixture Such that the compound is delivered along with preferred that the reaction occur using a CVD process in the vapor State precursor as opposed to being provided as an order to obtain high purity and conformality. In using additional gas(es) in the at least one transport gas. various CVD processes, in order to deliver the tungsten nitride precursor to the reaction chamber, it is preferred but 0072 Preferred chemical vapor deposition methods used not required to use at least one transport gas. However, it in the processes of the present invention may be thermal will be understood, based on the disclosure that a transport CVD, plasma-promoted CVD and ALCVD as described gas is not necessary. The transport gas may include one or below although any CVD process may be used in the more reactant gases, Such as nitrogen-containing reactant processes of the invention. “Thermal’ CVD as used herein gas(es) and/or hydrogen. Hydrogen can act as a transport is intended to describe a CVD process in which all compo gas, and is kept from reacting in transport, for example, by nents are introduced to the chamber in gaseous form and the using a delivery temperature in the delivery and transport energy needed for bond cleavage is Supplied completely by lines which is not high enough to cause reaction of hydrogen thermal energy. “Plasma-promoted” CVD as used herein is and the tungsten carbonyl compound. However, once in the intended to describe a CVD process in which all components reaction area, and without wishing to be bound by theory, as are introduced into the reactor in gaseous form, and the noted above, the hydrogen assists in the decomposition energy needed for bond cleavage is Supplied in part by high proceSS and also bonds with CO ligands resulting from the energy electrons formed in a glow discharge or plasma decomposition process assisting in removal of Such ligands having a plasma power density of from about 0 to about 100 from the reaction Zone and helping to prevent their incor W/cm. Plasma-promoted CVD takes advantage of the high poration into the tungsten nitride being formed. energy electrons present in glow discharge to assist in dissociation of gaseous molecules, as is the case of plasma 0068. In addition to hydrogen, the transport gas may enhanced CVD, a known CVD technique. Also plasma include one or more inert gases which may function merely assisted CVD may be used, although it does not take as a carrier to deliver the precursor to the reaction area. advantage of the use of low power plasma densities as with Preferred transport gases are hydrogen or inert gases, how plasma-promoted CVD. The use of low power plasma ever, it is within the Scope of the invention to use a gas which density can prevent electrical damage to the film and Sub is reactive within the deposition chamber for forming more Strate. If plasma-promoted CVD is used in the processes of Stable intermediates during deposition. Preferably, if a reac the present invention, it is preferred that the plasma power tant gas is used in the transport gas, Such as hydrogen, it is density be from about 0.01 W/cm° to about 10 W/cm’, and used in addition to a nitrogen-containing gas which will more preferably the density should be less than 0.5 W/cm°. ultimately reacted with the tungsten nitride precursor used for forming the tungsten nitride film, and would carry out the 0073. According to one preferred embodiment of the roles of assisting in decomposition and removal of CO processes of the invention, a tungsten nitride film is depos ligands and in preventing of contamination of the tungsten ited by thermal CVD on a substrate. The source precursor, nitride in accordance with the reactions described above. the at least one transport gas, preferably hydrogen and the Substrate are maintained in the reaction chamber at an 0069. Other inert gases for use in the transport gas in interior reaction substrate temperature of up to about 600 order to help transport the precursor to the reaction area C., preferably from about 200° C. to about 350° C. For which may be used instead of or included with any reactive formation of an amorphous tungsten nitride film, the pre gas Such as hydrogen and/or nitrogen-containing reactant ferred substrate temperature is from about 200 C. to about gas(es) within the transport gas include helium, argon, 275 C. Temperatures higher than about 275 C. tend to form Xenon, neon, krypton, carbon monoxide and/or mixtures and polycrystalline phase tungsten nitride, In addition, a nitro other combinations thereof. While nitrogen-containing gen-containing gas is introduced into the chamber, including gases, Such as nitrogen, ammonia, hydrazine and the like gases Such as nitrogen, ammonia, or hydrazine, most pref may also be pre-mixed in Some cases before the reaction erably ammonia. The components are maintained under Zone with the transport gas(es) for certain processes within these conditions for a period of time Sufficient to deposit a the Scope of the invention, caution must be taken to avoid tungsten nitride film on the substrate, preferably for about 10 premature reaction prior to reaching the reaction chamber. Seconds to about 30 minutes depending on the processing 0070 The transport gas(es) may be varied depending on conditions used and the desired film thickness. the particular process parameters used and the desired 0074 Preferably, if using thermal CVD, the tungsten composition of the resulting tungsten nitride film desired. In nitride film is deposited by introducing the tungsten nitride addition, the gases may be added with the precursor and/or precursors of the invention alone or with a transport gas as added Separately into the deposition chamber. described above, preferably hydrogen; a mixture of hydro 0071. It is also possible to add gases which can be used gen with argon and/or Xenon; or either of hydrogen alone or as ligands in the tungsten nitride precursor Such as halogen, with an inert gas further in combination with an additional ammonia, amine, carbonyl compounds and Similar electron nitrogen-containing gas Such as ammonia. However, it is US 2003/O198587 A1 Oct. 23, 2003 most preferred that nitrogen-containing gas be introduced as agents when using a liquid delivery System. Most preferably, a reactant gas separately from the precursor. Such gases are introduced into the tungsten nitride precursor in a mixing Zone prior to entering the reaction area. Liquid 0075). In a further preferred embodiment of the method delivery Systems may include a combination micropump and according to the invention, plasma-promoted CVD is used to Vaporizer head. A preferred example of a delivery System for deposit a tungsten nitride film on a Substrate. The Source the tungsten nitride Source precursor is a hot Source mass precursor, alone or in combination with a transport gas as flow controller, for example, an MKS Model 1150 MFC described above, preferably hydrogen and/or an inert gas is which does not require the use of a carrier or pressurizing introduced together with, or preferably Separately from a gas. Another example includes a Solid Source delivery Sys nitrogen-containing reactant gas as described above, and the tem such as the MKS 1153 system which also does not Substrate is maintained in the chamber at an interior reaction require use of a carrier gas or pressurizing gas for delivery. substrate temperature of less than about 600 C., and pref erably from about 200° C. to about 350° C., and most 0079. In one preferred embodiment of the method of the preferably from about 200° C. to about 275° C. In using present invention, the tungsten nitride precursor, in Vapor plasma-promoted CVD, it is preferred that the plasma pro form, or a mixture of the tungsten nitride precursor and any Vided to the chamber has a plasma power density of from transport gas combined with the precursor, are transported about 0.01 to about 10 W/cm and a frequency of preferably into the CVD reactor through delivery lines which are from about 0 Hz to about 10 Hz and more preferably from preferably maintained at the same temperature as the reser about 100 Hz to about 10 Hz. The conditions are main voir, using a combination of resistance heating tape and an tained for a period of time Sufficient to deposit a tungsten asSociated power Supply to prevent precursor recondensa nitride film onto the Substrate, preferably from about 10 tion. The CVD reactor may be an cold-wall stainless steel Seconds to about 30 minutes depending on the processing reactor which can accommodate eight-inch wafer or 200 mm conditions and the desired film thickness. In addition to the preferably equipped with plasma generation capability. The use of a transport gas, a nitrogen-containing gas Such as plasma may be generated by various Sources, Such as direct ammonia is introduced into the chamber. current plasma, radio frequency plasma, low frequency plasma, high density plasma, electron cyclotron plasma, 0.076 While the tungsten nitride of the invention may be inductively coupled plasma, microwave plasma or other made in any Suitable apparatus capable of accommodating Similar Sources. The plasma may be used for dual purposes the reaction and reaction conditions, it is preferred that a Such as for in Situ pre-deposition Substrate cleaning and/or CVD reactor be used. Such a reactor may be any CVD for actual deposition if using plasma-promoted CVD. reactor which preferably has the following basic compo nents: a precursor delivery System for Storing and control 0080. The reactor is preferably also equipped with an ling the delivery of the tungsten nitride Source precursor, i.e., electrical bias on the Substrate. The bias can be derived from the tungsten carbonyl compound, a vacuum chamber, a direct current, a low radio frequency of from less than about pumping System for maintaining an appropriately reduced 500 kHz to about 10 kHz, or a microwave frequency of preSSure, a power Supply for creating plasma discharge from about 10° to about 10 kHz or from other suitable (when using plasma-promoted CVD), a temperature control SOUCCS. System, and gas or vapor handling capability for metering 0081 Evacuation of the CVD deposition reactor may be and controlling the flow of reactants and products resulting accomplished by various pumping Systems. Suitable pump from the process. The precursor delivery System may be a ing Systems include a high vacuum (10 torr or less) preSSure-based bubbler or Sublimator, a hot-source mass pumping System, which may use either a cryogenic-type or flow controller, a liquid delivery System, a direct liquid a turbomolecular-type pump, preferably a cryogenic-type injection System, or other similar apparatus. pump. Such a System ensures a high vacuum base preSSure 0077. When depositing tungsten nitride films according in the reactor. A vacuum System having a roots blower or dry to the method, the Source precursor mixture is preferably pump may also be used for handling the high gas throughput placed in a reservoir which may be heated, to a temperature during CVD runs. The high vacuum system and the roots Sufficient to ensure Sublimation or vaporization but not So blower or dry pump system are both preferably isolated from high as to cause premature decomposition of the tungsten the CVD reactor by high vacuum gate valves. carbonyl compound, and preferably from about 85 C. to 0082 The CVD reactor is preferably equipped with a about 115 C. The reservoir may be heated by a combination high Vacuum load-lock System for transporting and loading of resistance heating tape and associated power Supply. The Substrates as large as about 300 mm wafers into the reactor heating temperature will vary depending upon the physical in order to provide tight control over the cleanliness of the properties of the precursor used and the presence of any CVD chamber and to prevent undesirable contamination mixed gases as transport gases and/or reactant gases. A mass from exposure to ambient conditions during Sample loading flow controller, which may be isolated from the reservoir by and unloading. The reactor may also interface with a a high vacuum valve, is preferably provided to control gas Vacuum central handling unit which may be used to transfer flow into the reservoir. the substrate between multiple CVD reactors to deposit 0078 Various transport gases may be used as noted Sequential or alternating layers of tungsten nitride and other above, Such as hydrogen, helium, argon, or Xenon as inert types of films for Single or multilayered coatings or metal transport gases and/or nitrogen-containing gases as reactant lization Schemes Such as those described above. gases, when a conventional preSSure- and/or temperature 0083. As noted above, after being charged to the reser based mass flow control delivery System is used as the voir, the tungsten nitride Source precursor is heated to a delivery System for the Source precursor into the deposition temperature high enough to ensure the precursor's Sublima chamber. Such gases may also function as preSSurizing tion or vaporization, but not So high that the precursor would US 2003/O198587 A1 Oct. 23, 2003

be prematurely decomposed. When conventional pressure sten nitride films are formed using the ALCVD process of based and/or temperature-based, mass flow control-type the invention which was developed by applicants and found delivery Systems or Solid-Source-type delivery Systems are to be useful to form atomic level thin films of tungsten used to control the flow of precursor into the CVD reactor, nitride in accordance with the invention. However, the the liquid in the reservoir is generally at room temperature. ALCVD process of the invention may also be used to form In a liquid delivery System, the vaporizer head, not the liquid other thin films, including but not limited to tantalum, in the reservoir, is heated to a temperature high enough to tantalum nitride, tungsten, copper, Silicon nitride, tantalum ensure Sublimation or vaporization without causing decom nitride-Silicide and any other thin films useful in various position. microelectronic devices, Similar Small microStructures or as 0084. When a gas delivery system is used, any gaseous protective or other hard coatings for objects. This ALCVD Substance may be used which is Substantially inert to the process of the invention is particularly useful in the pro Source precursor mixture or which reacts with the Source ceSSes of the present invention for forming the tungsten precursor to form one or more intermediates which are more nitrides of the invention having the preferred Stoichiometry easily transported to the reaction Zone and/or which could as noted above. more readily decompose to yield the desired tungsten nitride 0089. The ALCVD process of the invention, involves film. Exemplary gases for use in gas delivery Systems atomic layer CVD (ALCVD) or atomic layer deposition include those listed above as transport gases. Hydrogen is (ALD) (hereinafter referred to collectively for purpose of particularly preferred as a carrier gas in a gaseous delivery convenience as ALCVD) in which verythin liners of atomic system for both thermal CVD and plasma-promoted CVD. level controllability can be formed and the resulting liners The flow rate of the gas in the gaseous delivery System for and films can be used for incorporating in Semiconductor either plasma-promoted or thermal CVD preferably varies Structures for filling in fabrication flaws. Such techniques from about 10 standard cm/min to about 25 standard I/min, are based on the principle of Self-limiting adsorption of and preferably from about 10 to about 5000 cm/min. individual monolayers of the Source precursor on the Sub 0085 For all delivery modes, the flow rate of the vapor Strate Surface, followed by reaction with an appropriately of the tungsten nitride Source precursor could range from Selected reactant to grow a single molecular layer of the about 0.01 to about 500 standard cm/min, and more pref desired material. Thicker films are produced through repeat erably from about 1 to about 100 standard cm/min. The ing the procedure through repeated growth cycles until the transport gas for forming films according to the method of desired target thickness is met. the invention may be any of those described above, and is 0090 The applicants have developed a modified ALCVD preferably hydrogen for both thermal CVD and plasma in which a Substrate with at least one Surface to be coated, promoted CVD. The flow rate of the at least one transport a Source precursor, preferably a Single Source precursor, and gas which may be or may include hydrogen is preferably any reactant(s), preferably in gaseous or vapor form, for a from about 10 standard cm/min to about 10 standard l/min, necessary for forming a desired reactant product and which and more preferably, from about 100 standard cm/min to is capable of reacting with the precursor to form a desired about 5 l/min. The corresponding reactor preSSure is pref reactant product on the SubStrate Surface Such as a film, liner, erably from about 0.1 torr to about 1000 torr, and more layer or other Similar material are introduced into a depo preferably from about 0.2 to 10 torr. Sition chamber. The reactant(s) and precursor, preferably in 0.086 While the transport gas(es) may include one or Vapor or gaseous form, are pulsed Sequentially into the more carrier or reactant gases, it should be understood that deposition chamber, with inert gas pulses in between the the function of the gases is determined by the type of gases reactant and precursor pulses, for a specified, preferably being used, the nature of the precursor, the type of CVD and predetermined short period of time, and allowed to react on the associated process parameters. Transport gases while a Substrate Surface to form an atomic layer of desired performing the function of transporting the tungsten nitride thickness, preferably on the Scale of an atomic monolayer. Source precursor vapor to the Substrate may also undergo reaction in the chamber during deposition. Further, reactive 0091. The pulsing of the precursor is carried out using gases Such as nitrogen-containing reactant gases may conventional preSSure-based and/or temperature-based mass include inert components and may be introduced together flow control-type delivery Systems, Solid-Source-type deliv with the precursor or, more preferably, independently ery Systems, which could be coupled to the deposition thereof. All gases, regardless of their inert or reactive nature chamber, if needed, through pneumatically or electronically which are used in the transport gas(es) assist the tungsten controlled valves that allow introduction of the Source nitride Source precursor in reaching the Substrate. precursor in Short pulses into the deposition chamber. 0.087 Tungsten nitride and tungsten nitride films accord 0092. The pulsing of the reactant gas is carried-out using ing to the invention may also be prepared using thermal electronic mass flow controllers which can also be coupled CVD based on the method of the present invention. To to the deposition chamber, if needed, through a pneumati prepare a tungsten nitride film, it is preferred that hydrogen, cally or electronically controlled valves that allow introduc argon, helium and/or Xenon, preferably hydrogen, are intro tion of the Source precursor in short pulses into the deposi duced as the transport gas(es) and that there also be a tion chamber. nitrogen-containing reactant gas introduced together with 0093. The time period of the precursor and reactant gas the transport gas or independently thereof as discussed pulse varies in accordance with the reaction rate, reactivity above. The preferred conditions and apparatus are as of the components used, the reactor pressure, Substrate described above. temperature, and desired thickness. For example, the faster 0088 Tungsten nitride films may also be formed using the reaction and/or higher the component reactivities with ALD or ALCVD techniques. Preferably, however, the tung respect to each other, the Shorter the time period. The pulse US 2003/O198587 A1 Oct. 23, 2003 time period for the precursor and reactant gas ranges from thicknesses as noted above, or alternatively, the proceSS may about 0.5 seconds to about 10 minutes, and more preferably, be repeated by pulsing the precursor and any necessary from 1 second to five minutes. The pulse times for the reactant(s) again into the chamber to form another atomic precursor and reactant gas are varied to achieve layers of film of desired thickness on the prior film and further preferred thickness from about 0.25 nm to about 10 nm and, purging as described above. This process of intermittent more preferably, from about 0.5 nm to about 2.5 nm. It will pulsing of reactant(s) and precursor followed by purging be understood, based on this disclosure, that the time and with inert gas continues until a film is formed of desired thickneSS may be varied for different applications and opti thickness, preferably a total film thickness of from about 2.5 mized within the parameters of a given reaction and depo nm to about 1 lum, although the total thickness would be sition, provided the thin layers benefits of the invention are determined by the ultimate application of the film. not significantly diminished. 0096. It is further within the scope of the invention to 0094. A basic overview of the process, in one preferred vary the reactants or precursors in different intermittent Steps embodiment includes introducing into a deposition chamber in order to form pulsed multilayer films having very Small a Substrate having a Surface, and heating the Substrate to a dimensions on the order of monolayers. Further, alloy layers temperature that allows adsorption of the tungsten Source may also be formed by mixing more than one precursor precursor or an appropriate intermediate of the Source pre and/or more than one reactant or by varying the layers to cursor. The heated Substrate Surface is then exposed to a include different Species. tungsten Source precursor for a period of time Sufficient to form a Self-limiting monolayer of the Source precursor or 0097. When using atomic layer CVD, the substrate tem appropriate Source precursor intermediate on the Substrate perature is maintained at a temperature high enough to allow Surface. The precursor is introduced into the deposition precursor Species adsorption, but not So high as to cause chamber by pulsing it using an appropriate precursor deliv premature precursor decomposition. Preferably, the Sub ery method with or without the use of a transport gas, which Strate is maintained in the chamber at an interior reaction may be any of the transport gases described above. The substrate temperature of less than about 450° C., more deposition chamber is then purged with an inert gas for a preferably from about 50° C. to about 350° C., and most period long enough to ensure removal of all gas phase preferably from about 100° C. to about 275° C. When using precursor Species, without removing the adsorbed precursor atomic layer CVD, all other processing conditions, including layer. The inert gas is introduced by pulsing using an flow rates and pressure ranges, are similar to those noted appropriate mass flow controller or Valving System. Any above for thermal CVD. Such System, including those mentioned above and known to those skilled in the art or to be developed may be used for 0098. A critical pathway in the successful achievement of Such purpose. A nitrogen-bearing gas Such as the nitrogen ALCVD growth is the adsorption on the substrate surface of containing reactant gases described above is then introduced precursor intermediate species that are conducive to the into the deposition chamber for a period long enough to monolayer-by-monolayer reaction with reactant gases. ensure reaction with the adsorbed precursor layer, leading to Without wishing to be bound by theory, in one preferred the formation of a first WN atomic layer on the substrate embodiment, the ALCVD reaction of W(CO) and ammonia Surface. The nitrogen bearing gas is also introduced by is believed to proceed according to the following pathways: pulsing using an appropriate mass flow controller or Valving W(CO)(gas)->W(CO)n (adsorbed, 1WN+carbon monoxide+organic with an inert gas for a period long enough to ensure removal compounds+nitrogen compounds (V) of all remaining nitrogen-bearing gas from the deposition chamber. In the preferred embodiment, the inert gas is 0099] In the example in which W(CO) and ammonia are introduced by pulsing using an appropriate mass flow con used in the presence of hydrogen, the pathways are believed troller or Valving System and the gas introducing and purging to be as follows: StepS described above are repeated in a Sequential and W(CO)(gas)->W(CO) (adsorbed, 1<5, n) (VI) alternating manner until the desired tungsten nitride film W(CO)+H+NH->WN+carbon monoxide--organic thickneSS is achieved through atomic layer by atomic layer compounds+nitrogen compounds (VII) growth. 0100. The advantages of the process are immediately 0.095. After every precursor or reactant(s) pulse is intro apparent in that the growth of the film can be highly duced into the deposition chamber, and after each atomic regulated and the conformality achieved is high with good layer is formed by reacting the precursor and reactant(s) on Step coverage while maintaining a very Small thicknesses if the Substrate Surface, an inert, cleaning or purge gas, Such as desired. Further, Such thin films have numerous applications argon, Xenon, nitrogen, krypton, or the like, preferably argon in the ULSI and Small scale electronic devices of the future or nitrogen, is pulsed into the deposition chamber preferably and in a wide variety of applications, including Sub-100 nm in the same manner and using Similar apparatus as was used interconnect architectures, and giga-Scale and tera-Scale with respect to pulsing the reactant gas into the reactor in computer device Schemes. order to remove any remaining precursor, reactant or reac tion byproducts from the deposition chamber. The purging 0101 This ALD or ALCVD process can be adapted for should continue for a Sufficient period of time to terminate use in forming tungsten nitride and tungsten nitride films the reaction, which time will vary for different reactions. according to the present invention, although it will be However, preferably purging occurs from about 0.5 Seconds understood, based on this disclosure that the proceSS is Such to about 10 minutes, and more preferably, from 1 Second to that any Suitable reaction process, particularly any Suitable five minutes. At this point, the proceSS may be stopped with CVD reaction process, may be used to form tungsten nitride the formation of only a thin monolayer of the preferred and tungsten nitride films according to the present invention US 2003/O198587 A1 Oct. 23, 2003 provided the temperature is kept at the desired levels, and X-ray diffraction (XRD), transmission electron microscopy preferably below about 600° C. (TEM), four-point resistivity probe, and Rutherford back Scattering spectrometry (RBS). 0102) The invention, as well as the appearance and com position of the pure tungsten nitride and other tungsten 0106 XPS studies were performed using a Perkin-Elmer based films deposited according to the method of the inven Physical Electronics Model 5600 multi-technique system tion, and their Structural, compositional, and electrical with a spherical capacitor analyzer. The analyzer was cali properties, will now be described in accordance with the brated using the gold f, line at 83.8 eV as a reference line. following, non-limiting examples: All Spectra were acquired using a pass energy of 58.7 eV at a resolution of 0.25 eV. The primary X-ray beam employed EXAMPLE 1. was Mg Ka at 1274 eV, with primary beam energy and power of, respectively, 15 keV and 300 W. the results were 0103) An alpha-type, 200mm-wafer, cold-wall, plasma Standardized with a pure tungsten film Sputtered on a Silicon capable CVD reactor, equipped with a high vacuum load substrate since a WN standard was not available. lock for wafer transport and handling was used in this Example. The use of the loadlock system allowed for tight 0107 XPS results indicated that at the lowest processing control over the cleanliness of the CVD chamber, and temperature investigated, where precursor dissocation prevented undesirable contamination from exposure to would be expected to be the least efficient given the reduced ambient conditions during Sample loading and unloading. In thermal budget available to the decomposition reaction, the addition, a cryogenic pump was used to routinely achieve a films grown had carbon and oxygen concentrations of s5 at chamber base pressure at about 10 torr, while a roots %. In addition, XPS indicated a constant N:W ratio through blower pumping Stack was used during actual growth runs. out the bulk of the tungsten nitride films. Additionally, high The precursor was placed in a reservoir heated to tempera resolution XPS elemental core peak analysis was performed tures ranging from 85 to 100 C., depending on the pro to determine the exact nature of the tungsten nitride phase cessing conditions, and the precursor flow rate into the produced. In this respect, FIG. 1 in spectra (a) and (b) reactor was regulated by an MKS 1153 solid source delivery compare peak profile and associated binding energy location system (SSDS). The SSDS and all delivery lines were heated for the XPS W4f, core peaks from, respectively, a sputter to 120° C. to prevent precursor recondensation. deposited W standard and a representative MOCVD WN Sample. For both Samples, binding energy locations were 0104 Parallel plate, radio-frequency plasma (13.56 calibrated using the energy location of the corresponding MHz) capability was used for in situ, pre-deposition, wafer Surface hydrocarbon peak as a reference. A shift of ~1 eV cleaning in a hydrogen-argon mixture plasma prior to the towards higher binding energy was observed for the W4f, actual deposition Step. In this parallel plate configuration, peak in the MOCVD WN sample in comparison with its the wafer was loaded onto the lower, heated, electrode. Once counterpart in pure W. This shift is in agreement with the the pre-deposition cleaning Step was completed, precursor findings of Takeyama and Noya, and indicates the formation Vapor of tungsten heXacarbonyl was pre-mixed with hydro of a WN phase. M. Takeyama et al., Japan J. Appl. Phys., gen (H) at the reactor inlet, then introduced into the reactor 36, pp. 2261 (1997). chamber through a cone-shaped showerhead. The cone was designed for uniform distribution of reactant vapor acroSS 0108) XRD analyses were carried out on a Scintag XDS the entire surface area of the 200 mm wafer. Conversely, 2000 X-ray diffractometer equipped with a Cu Ka X-ray NH, was introduced directly into the chamber through a Source and a horizontal wide angle four axis goniometer Separate feedthrough. The use of a separate inlet for NH with Stepping motors which allowed independent or coupled was designed to eliminate any early contact with the pre 0/20 axes motion. XRD spectra were collected in the low cursor vapor, which could lead to premature reaction and angle incidence geometry, with an angle of incidence of 5 precursor decomposition. The deposition of tungsten nitride being used (angle as measured between the incident beam was investigated by performing a large number of tungsten and the sample Surface). In this mode, data collection is nitride films within the process window presented in Table performed by fixing the incidence angle at 5, and the XRD 1. pattern is collected for 20 ranging from 30 to 80. The collected XRD patterns were compared to tungsten nitride TABLE 1. reference patterns from the standard Joint Committee for Powder Diffraction Standards (JCPDS) powder diffraction Values Of Parameters During file (PDF). In this respect, XRD indicated a completely Parameter Formation of Tungsten Nitride Films amorphous phase for films grown at Substrate temperatures W(CO) Source Temperature 85-1OO C. below 275 C., as shown in FIG. 2 in spectra (a). Alterna Wafer Temperature 2OO-350° C. tively, films grown at Substrate temperatures above 275 C. Reactor Base Pressure -10 torr exhibited XRD reflections that are consistent with JCPDS Reactor Process Pressure 0.2-0.5 torr Precursor Flow Rate 1-20 standard cm/min PDF for a WN reference pattern, as shown in spectra (b) of Hydrogen Flow Rate 50-200 standard cm/min FIG. 2. The XRD findings are thus in agreement with the Ammonia Flow Rate 100-500 standard cm/min XPS data in terms of the formation of WN phase. Growth Rate 4-10 mm/min 0109 Further microstructural investigations of the MOCVD-grown WN films were performed by TEM using 0105 The structural, compositional, and electrical prop a JEOL 2010F field emission electron microscope operating erties of the various tungsten nitride films thus produced at 200 keV primary energy beam. TEM was performed on a were analyzed by X-ray photoelectron spectroscopy (XPS), JEOL 2010F system operating at 200 keV. Samples were cross-section Scanning electron microscopy (CS-SEM), tilted during TEM imaging so that the <110> Zone axis of the US 2003/O198587 A1 Oct. 23, 2003

Silicon Substrate was normal to the incident electron beam. EXAMPLE 2 The Fast Fourier Transform (FFT) method was applied to 0113. In this Example, the same procedures were used as obtain diffraction patterns from high-resolution bright field described above in Example 1 in detail with the exception images when the selected area electron diffraction (SAED) that in this Example, thermal deposition of a number of Spot size exceeded the size of the area of interest. In this tungsten nitride films was carried out using a Stand-alone respect, FIG. 3 displays a representative cross-section TEM Single module deposition chamber and reacting tungsten bright field image of a WN film deposited at 200 C. As can heXacarbonyl with ammonia in the presence of hydrogen be seen, TEM confirmed the XRD data in terms of the gas. The reaction parameters are shown below in Table 2. amorphousness of 30 nm-thick WN films. This result is also supported by FFT analyses, as exhibited in FIG. 4, which TABLE 2 yielded a pattern that is characteristic of amorphous struc tures, namely a continuous diffuse ring with no diffraction Parameter Name Parameter in Example 2 spots. Substrate Temperature 220° C. Ammonia Flow Rate 100 standard cm/min 0110 Rutherford backscattering spectroscopy (RBS) was Hydrogen Flow Rate 50 standard cm/min also performed to investigate the presence of any heavy Process Pressure 0.5 torr element contaminants. A2MeV He'" beam was used for the Precursor Flow Rate 18.7 standard cm/min RBS measurements, and the resulting data was calibrated Deposition Rate 2 mm/min using bulk gold and carbon Samples. No heavy element contaminants were detected by RBS, as shown in FIG. 5 for 0114. Using the above parameters, the following tests as a representative RBS spectrum of an MOCVD WN sample. set forth in Table 3 below, which are described in further 0111 WN conformality was studied using cross-section detail in Example 1 were carried out and demonstrate that scanning electron microscopy (CS-SEM). CS-SEM was amorphous WN films were formed with less than about 5 carried out on a Zeiss SDM940 microscope, employing a 20 at % hydrogen, about 5-8 at % oxygen, and about 4-6 at % keV primary electron beam and a beam current of 3.0 mA. carbon. The relevant representative Spectra for this Example Images taken by CS-SEM show step coverage of WN films are shown in FIGS. 7-10. Further, the resistivity was mea to be better than 90% in nominal 0.25 um trench structures, Sured using a four point probe and found to range between as demonstrated in the CS-SEM displayed in FIG. 6. In this 300-400 uS2/cm. The RMS Surface roughness and surface case, Step coverage was defined as the ratio of film thickneSS grain size was further measured using Atomic Force MicroS at the bottom of the structure to that in the field. copy (AFM) and found to be <5% of the film thickness. 0112) In Summary, the low-temperature MOCVD process TABLE 3 used in accordance with the present invention provided for the formation of tungsten nitride and tungsten nitride films Evaluation Finding for potential applications Such as for a diffusion barrier in Composition/Phase (XPS, AES, RBS) WN emerging copper-based metallization Schemes among oth Carbon, Oxygen Inclusion (XPS, SIMS, --5-8 at % O RBS) ~4-6 at % C ers. The proceSS is based on the reaction of tungsten carbo Hydrogen Inclusion --5 at % nyl compounds as tungsten nitride Source precursors, pref (Nuclear Reaction Analysis, SIMS) erably the non-fluorinated tungsten heXacarbonyl Texture/Structure (XRD) Amorphous (W(CO))) with a nitrogen-containing reactant gas Such as Resistivity (Four Point Probe) 300-400 uS2/cm ammonia (NH), and preferably in the presence of hydrogen RMS Surface Roughness and Surface <5% Film Thickness (H). The tungsten nitride and tungsten nitride films formed Grain Size in accordance with the present invention may be formed *Secondary Ion Mass Spectroscopy within a wide range of process parameters. Microstructural and microchemical analyses indicated that the tungsten nitride films were predominantly formed of a WN phase, EXAMPLE 3 with carbon and oxygen contamination levels of s5 at %, 0.115. In this Example, the procedure of Example 1 was even at the lowest deposition temperature of 200 C. Films followed with the exception that thermal CVD of tungsten deposited below 275 C. were amorphous, while those nitride films was undertaken using a cluster tool platform. deposited between 275 C. and 350° C. were polycrystalline. The reactants were tungsten heXacarbonyl and ammonia. Resistivities as low as 123 uC2/cm were achieved for 50 The reaction conditions are shown below in Table 4. nm-thick films, with corresponding Step coverage being higher than 90% in nominal 0.25 um trench structures with TABLE 4 an aspect ratio of 4:1. These results indicate that the MOCVD route to tungsten nitride using a preferred precur Parameter Name Parameter in Example 3 Sor according to the invention Such as non-fluorinated tung Substrate Temperature 225° C. Sten heXacarbonyl provides a viable alternative to inorganic Ammonia Flow Rate 150 standard cm/min Hydrogen Flow Rate CVD from tungsten hexafluoride and ammonia, especially Process Pressure 0.5 torr in terms of potential elimination of transport and handling Precursor Flow Rate 20 standard cm/min concerns attributed to the high reactivity of the fluorinated Deposition Rate 4 mm/min tungsten hexafluoride Source, and the reliability issues per taining to the possible inclusion of fluorine in the tungsten hexafluoride-based CVD process due to the fast diffusion of 0116. The resulting films and coated substrates formed the fluorine in metals. Such as copper. using the above parameters were tungsten nitride films of US 2003/O198587 A1 Oct. 23, 2003

varied compositions within the formula WN where parameters or an amorphous structure. Such films also 0.1sxs2.0. The oxygen and carbon impurities were less provide Such benefits without damaging Structures by expo than about 1-3 at % O and less than about 1-2 at % carbon Sure to high temperatures or corrosive byproducts with no hydrogen. The resulting phases of the different films varied from amorphous to amorphous with partial polycrys EXAMPLE 4 tallinity in a B-phase. FIGS. 11-14 show the characteristics 0119). In this Example, ALCVD was carried out using of the films. The resisitivities were measured to be low and W(CO), NH and argon as, respectively, the Source precur in the 100-250 uS2/cm range with a <5% of film thickness Sor, reactant and purging gas. The corresponding experi Surface roughness and Surface grain size (AFM). The param mental conditions are Summarized in Table 6 below. eters and characteristics of the films are Summarized below in Table 5. TABLE 6 TABLE 5 Values Of Parameters During Parameters Formation of Tungsten Nitride Films Evaluation Finding W(CO) Source Temperature 85-1OO C. Composition/Phase (XPS, AES, RBS) WN (0.1 s x s 2.0) Wafer Temperature 125-275° C. Carbon/Oxygen Inclusion (XPS, SIMS, --1-3 at % O Reactor Base Pressure -10-6 for RBS) --1-2 at % C Reactor Process Pressure 0.2-0.5 torr Hydrogen Inclusion (Nuclear Reactive O% Precursor Flow Rate 1-20 standard cm/min Analysis, SIMS) Purge Gas (Argon) Flow Rate 50-500 standard cm/min Texture (XRD) amorphous or partly Ammonia Flow Rate 100-500 standard cm/min polycrystalline ?-phase Precursor Pulse Time 30 seconds to 2 minutes Resistivity (Four Point Probe) 100-250 uS2/cm Purge Gas (Argon) Flow Rate 20 seconds to 3 minutes RMS Surface Roughness and Surface <5% of Film Thickness Ammonia Flow Rate 30 seconds to 2 minutes Grain Size (AFM) 0120) The resulting tungsten nitride films exhibited struc 0117. As the above disclosure and examples demonstrate, tural, electrical, and compositional properties similar to applicants have developed a proceSS for forming tungsten those listed in Table 5. Step coverage was nearly 100% in nitride and tungsten nitride films, as well as tungsten nitride 300 nm-wide, 4:1 aspect ratio, trench Structures, as shown in precursors and tungsten nitride vapor deposition processes the typical TEM micrograph of FIG. 15. which allow for excellent coating, barrier and liner proper ties. Tungsten nitride films formed at low-temperature 0121. It will be appreciated by those skilled in the art that MOCVD processes, including various CVD methods, or in changes could be made to the embodiments described above accordance with the ALCVD method of the present inven without departing from the broad inventive concept thereof. tion are capable of being produced in a wide proceSS It is understood, therefore, that this invention is not limited window, including substrate temperatures of up to 600 C., to the particular embodiments disclosed, but it is intended to preferably from about 200 C. to about 350° C., at tungsten cover modifications within the Spirit and Scope of the present nitride precursor flow rates of from about 1 to about 20 invention as defined by the appended claims. standard cm/min, nitrogen-containing reactant gas flow rates of from about 100 to about 500 standard cm/min and reactor pressures of from about 0.2 to about 0.5 torr. We claim: 0118. The resulting tungsten nitride and tungsten nitride 1. A process for the production of tungsten nitride com films demonstrate predominantly WN phase, with carbon prising reacting a tungsten carbonyl compound with a nitro and oxygen contamination levels of typically S5 at %, even gen-containing gas at a temperature below about 600 C. at the lowest deposition temperatures. Films deposited 2. The proceSS for production of tungsten nitride accord between 200 C. and about 275 C. are amorphous in nature ing to claim 1, wherein the nitrogen-containing gas is while those deposited between about 275° C. and 350° C. ammonia. were more polycrystalline in nature. Resistivities as low as 3. The proceSS for the production of tungsten nitride 123 uC2/cm were achieved in 50 nm-thick films, with cor according to claim 1, wherein the tungsten nitride has a responding Step coverage being higher than 90% in nominal formula WN, wherein 0.1sxs 2.0. 0.25 um trench structures with aspect ratio of 4:1. Such 4. The proceSS for the production of tungsten nitride results indicate that the MOCVD and/or the ALCVD process according to claim 1, further comprising reacting the tung of this invention may be used to form WN films using Sten carbonyl compound with ammonia in the presence of tungsten carbonyl compounds which are preferably non hydrogen. fluorinated and provide a viable alternative to inorganic 5. The process for the production of tungsten nitride CVD using fluorinated tungsten hexafluoride. This is par according to claim 1, wherein the temperature is from about ticularly significant in View of the potential elimination of 200 C. to about 350° C. transport and handling concerns attributed to the high reac 6. The process for the production of tungsten nitride tivity of the fluorinated tungsten hexafluoride Source and according to claim 5, wherein the temperature is from about reliability issues pertaining to the possible inclusion of 200° C. to about 275 C. fluorine, a fast diffuser in metals. Such as copper, in tungsten 7. The process for the production of tungsten nitride hexafluoride processes. The films of the invention further provide consistent film properties which are important par according to claim 1, wherein the tungsten carbonyl com ticularly in microelectronic applications, including uniform pound is a compound according to formula (I): electrical properties, StreSS free coatings, uniform lattice US 2003/O198587 A1 Oct. 23, 2003

wherein X is a two-electron donor or a ligand Selected 18. The process for the chemical vapor deposition of a from the group consisting of ammonia, amine, carbonyl tungsten nitride film onto a Substrate according to claim 17, and halogen, and n is 0 or 1. wherein the substrate temperature is from about 200 C. to 8. A proceSS for the production of a tungsten nitride film about 250 C. comprising reacting a tungsten carbonyl compound with a 19. A tungsten nitride precursor comprising a tungsten nitrogen-containing gas at a temperature below about 600 carbonyl compound, wherein the precursor is capable of C. forming a tungsten nitride film in the presence of a nitrogen 9. The process for the production of a tungsten nitride film containing reactant gas at a temperature of less than about according to claim 8, wherein the nitrogen-containing gas is 600° C. ammonia, and the process further comprises introducing the 20. The tungsten nitride precursor according to claim 19, tungsten carbonyl into a deposition chamber having a Sub wherein the tungsten carbonyl compound has the following strate, wherein the substrate temperature is from about 200 formula (I): C. to about 600 C., and introducing the ammonia into the W(CO)(6X, (I) deposition chamber Separately from the tungsten carbonyl wherein X is a two-electron donor or a ligand Selected compound prior to reacting the tungsten carbonyl compound from the group consisting of ammonia, amine, carbonyl and the ammonia. and halogen, and n is 0 or 1. 10. The process for the production of a tungsten nitride 21. The tungsten nitride precursor according to claim 20, film according to claim 9, wherein the Substrate temperature wherein the tungsten carbonyl compound is tungsten hexac is from about 200 C. to about 275 C. and the tungsten arbonyl. nitride film is amorphous. 22. A tungsten nitride film having an electrical resistance 11. The process for the production of a tungsten nitride of less than about 600 uS2/cm, wherein the film is a reaction film according to claim 8, wherein the tungsten nitride film product of a tungsten carbonyl compound and a nitrogen has a formula WN, wherein 0.1sxs 2.0. containing gas. 12. The process for the production of a tungsten nitride 23. The tungsten nitride film according to claim 22, film according to claim 8, wherein the tungsten carbonyl wherein the film is a reaction product of the tungsten compound has the following formula (I): carbonyl compound and the nitrogen-containing gas at tem peratures below about 600 C. W(CO), X, (I) 24. The tungsten nitride film according to claim 22, wherein X is a two-electron donor or a ligand Selected wherein the nitrogen-containing gas is ammonia and the from the group consisting of ammonia, amine, carbonyl tungsten carbonyl compound is tungsten heXacarbonyl. and halogen, and n is 0 or 1. 25. A tungsten nitride coated Substrate, comprising a 13. A process for the chemical vapor deposition of a Substrate coated on at least one side with a tungsten nitride tungsten nitride film onto a Substrate, comprising film, wherein the tungsten nitride film has an electrical resistance of less than about 600 uS2/cm and is a reaction (a) introducing into a deposition chamber: product of a tungsten carbonyl compound and a nitrogen containing gas. (i) a Substrate; 26. A process for forming a film by atomic layer deposi (ii) a tungsten carbonyl compound in a vapor state; and tion, comprising (iii) at least one nitrogen-containing gas, and (a) introducing into a deposition chamber a Substrate having a Surface and heating the Substrate to a tem (b) maintaining a Substrate temperature of from about perature Sufficient to allow adsorption of a tungsten 200° C. to about 600° C. for a period of time sufficient Source precursor or an intermediate of a tungsten to deposit a tungsten nitride film on the Substrate. Source precursor, 14. The process for the chemical vapor deposition of a tungsten nitride film onto a Substrate according to claim 13, (b) introducing a tungsten Source precursor into the depo wherein the tungsten carbonyl compound has the following Sition chamber by pulsing the tungsten Source precursor to expose the heated Substrate Surface to the tungsten formula (I): Source precursor for a period of time Sufficient to form W(CO)(6X, (I) a Self-limiting monolayer of the Source precursor or an wherein X is a two-electron donor or a ligand Selected intermediate of the tungsten Source precursor interme from the group consisting of ammonia, amine, carbonyl diate on the Substrate Surface; and halogen, and n is 0 or 1. (c) introducing an inert gas into the deposition chamber 15. The process for the chemical vapor deposition of a by pulsing the inert gas to purge the deposition chamber tungsten nitride film onto a Substrate according to claim 14, with an inert gas for a period of time Sufficient to wherein the nitrogen-containing reactant gas is ammonia. remove the tungsten nitride precursor in the gas phase 16. The process for the chemical vapor deposition of a but without removing adsorbed precursor in the mono tungsten nitride film onto a Substrate according to claim 15, layer; further comprising introducing hydrogen to the deposition (d) introducing a nitrogen-containing gas into the depo chamber. Sition chamber by pulsing the nitrogen-containing gas 17. The process for the chemical vapor deposition of a for a period of time sufficient to react with the adsorbed tungsten nitride film onto a Substrate according to claim 14, precursor monolayer on the Substrate Surface and to wherein the substrate temperature is from about 200 C. to form a first tungsten nitride atomic layer on the Sub about 350° C. Strate Surface. US 2003/O198587 A1 Oct. 23, 2003

27. The process for forming a film by atomic layer Source precursor is pulsed into the deposition chamber using deposition according to claim 26, wherein the precursor is a at least one of a pressure-based delivery System and a Single Source precursor in a vapor State. temperature-based delivery System. 28. The process for forming a film by atomic layer deposition according to claim 26, wherein the nitrogen 33. The process for forming a film by atomic layer containing reactant gas is ammonia. deposition according to claim 26, wherein the inert gas is 29. The process for forming a film by atomic layer introduced into the deposition chamber using a mass flow deposition according to claim 26, further comprising (e) controller or a Valving System. introducing an inert gas by pulsing the inert gas into the 34. The process for forming a film by atomic layer deposition chamber to purge the deposition chamber of the deposition according to claim 26, wherein the precursor is nitrogen-containing reactant gas. pulsed into the deposition chamber with a transport gas. 30. The process for forming a film by atomic layer 35. The process for forming a film by atomic layer deposition according to claim 29, wherein steps (b) through deposition according to claim 26, wherein a Substrate tem (e) are repeated until a tungsten nitride film of a predeter mined thickness is formed. perature of the substrate is from about 50 C. to about 450 31. The process for forming a film by atomic layer C. deposition according to claim 26, wherein the precursor is a 36. The process for forming a film by atomic layer tungsten carbonyl compound, the reactant is a nitrogen deposition according to claim 26, wherein the Substrate containing reactant gas and the film is a tungsten nitride film. temperature is from about 100° C. to about 275° C. 32. The process for forming a film by atomic layer deposition according to claim 26, wherein the tungsten