Dynamic Instruction Scheduling and the Astronautics ZS-1

Total Page:16

File Type:pdf, Size:1020Kb

Dynamic Instruction Scheduling and the Astronautics ZS-1 I i Dynamic Instruction Scheduling and the Astronautics ZS-1 James E. Smith Astronautics Corporation of America ipelined instruction processing I I computer of considerable historical in- has become a widely used tech- I I terest, the IBM 360/9 1 ,s used dynamic nique for implementing high-per- scheduling methods even more exten- formance computers. Pipelining first ap- Dynamic instruction sively than the CDC 6600. peared in supercomputers and large As the RISC philosophy becomes ac- maiiiframes, but can now be found in less scheduling resolves cepted by the design community, the expensive systems. For example, most of benefits of dynamic instruction schedul- the recent reduced instruction set com- control and data ing are apparently being overlooked. puters use pipelining.’.’ Indeed, a major dependencies at Dynamic instruction scheduling can argument for RISC architectures is the provide performance improvements ease with which they can be pipelined. At runtime. This extends simply not possible with static schedul- the other end of the spectrum, computers ing alone. with more complex instruction sets, such performance over that This article has three major purposes: as the VAX 8800,’ make effective use of possible with static pipelining as well. (1) to provide an overview of and The ordering, or “scheduling,” of in- scheduling alone, as survey solutions to the problem of structions as they enter and pass through instruction scheduling for pipe- an instruction pipeline is a critical factor shown by the ZS-1. lined computers, in determining performance. In recent (2) to demonstrate that dynamic in- years, the RISC philosophy has become struction scheduling can provide pervasive in computer design. The basic performance improvements not reasoning behind the RISC philosophy possible with static scheduling can be stated as “simple hardware means Many features of the pioneering CDC alone, and faster hardware, and hardware can be 66004 have found their way into modem (3) to describe a new high-perform- kept simple by doing as much as possible pipelined processors. One noteworthy ance computer, the Astronautics in software.” A corollary naturally fol- exception is the reordering of instruc- ZS-1, which uses new methods for lows, stating that instruction scheduling tions at runtime, or dynamic instruction implementing dynamic schedul- should be done by software at compile scheduling. The CDC 6600 scoreboard ing and which can outperform time. We refer to this as static instruction allowed hardware to reorder instruction computers using similar-speed scheduling, and virtually every new execution, and the memory system stunt technologies that rely solely on computer system announced in the last box allowed reordering of some memory state-of-the-art static scheduling several years has followed this approach. references as well. Another innovative techniques. July 1989 001S-9162/89/0700-0021$01.00 01989 IEEE 21 in one cycle from a cache memory. (2) Instruction decode -- the instruc- tion's opcode is examined to determine the function to perform and the resources fetch needed. Resources include general-pur- pose registers, buses, and functional units. (3) Instruction issue -- resource availability is checked and resources are (a) time -+ reserved. That is, pipeline control inter- locks are maintained at this stage. As- inst. 1 FDIEEEE sume that operands are read from regis- inst. 2 FDIEEEE ters during the issue stage. inst. 3 FDIEEEE (4) Instruction execution -- instruc- inst. 4 FDIEEEE tions are executed in one or several exe- cution stages. Writing results into the general-purpose registers is done during Figure 1. Pipelined instruction processing: (a) a typical pipeline; (b) ideal the last execution stage. In this discus- flow of instructions through the pipeline. sion, consider memory load and store operations to be part of execution. Figure Ib illustrates an idealized flow of instructions through the pipeline. Time is measured in clock periods and IIruns from left to right. The diagram notes RI t(Y) Load register RI from memory location Y the pipeline stage holding an instruction R2 t (Z) Load register R2 from memory location Z each clock period. F denotes the instruc- R3 t RI +f R2 Floating add registers RI and R2 tion fetch stage, D denotes the decode (X)t R3 Store the result into memory location X stage, I denotes the issue stage, and E R4 t (B) Load register R4 from memory location B denotes the execution stages. R5 t (C) Load register R5 from memory location C In theory, the clock period for a p- R6 t R4 *f R5 Floating multiply registers R4 and R5 stage pipeline would be I/p the clock (A)+ R6 Store the result into memory location A period for a nonpipelined equivalent. Consequently, there is the potential for a p times throughput (performance) im- provement. There are several practical time -+ limitations, however, on pipeline per- formance. The limitation of particular RI t(Y) FDIEEEE interest here is instruction dependencies. R2 t (Z) FDIEEEE Instructions may depend on results of R3 t RI +f R2 FD. .IEEE previous instructions and may therefore (X)tR3 F...D..IEEEE have to wait for the previous instructions R4 t (B) F. .DIEEEE to complete before they can proceed R5 t (C) FDIEEEE through the pipeline. A data dependence R6 t R4 *f R5 FD. IEEE occurs when instructions use the same (A)+ R6 F...D..IEEEE input and/or output operands; for ex- ample, when an instruction uses the re- (b) sult of a preceding instruction as an input operand. A data dependence may cause Figure 2. Pipelined execution of X=Y+Z and A=B*C: (a) machine code; (b) an instruction to wait in the pipeline for pipeline timing. a preceding instruction to complete. A control dependence occurs when control decisions (typically as conditional branches) must be made before subse- quent instructions can be executed. Figure 2 illustrates the effects of de- Introduction to stages. Figure 1 illustrates a simple ex- pendencies on pipeline performance. ample pipeline. In Figure la, the pipeline Figure 2a shows a sequence of machine pipelined computing stages are: instructions that a compiler might gener- ate to perform the high-level language Pipelining decomposes instruction ( I) Instruction fetch -- for simplicity statements X = Y + Z and A = B * C. processing into assembly line-like assume that all instructions are fetched Assume load and store instructions take 22 COMPUTER four execution clock periods while float- ing-point additions and multiplications take three. (These timing assumptions represent a moderate level of pipelining. R1 t(Y) Load register RI from memory location Y In many RISC processors fewer clock R2 t (Z) Load register R2 from memory location Z periods are needed. On the other hand, R4 t (B) Load register R4 from memory location B the Cray-1 requires 11 clock periods for R5 t (C) Load register R5 from memory location C a load, and floating-point additions take R3 t R1 +f R2 Floating add X and Y six. Cray-2 pipelines are about twice the R6 t R4 *f R5 Floating multiply B and C length of the Cray-1’s.) (X)t R3 Store R3 to memory location X Figure 2b illustrates the pipeline tim- (A)+ R6 Store R6 to memory location A ing. A simple in-order method of instruc- tion issuing is used; that is, if an instruc- tion is blocked from issuing due to a dependence, all instructions following it time -+ are also blocked. The same letters as before are used to denote pipeline stages. RI t(Y) FDIEEEE A period indicates that an instruction is R2 t (Z) FDIEEEE blocked or “stalled” in a pipeline stage R4 t (B) FDIEEEE and cannot proceed until either the in- R5 t (C) FDIEEEE struction ahead of it proceeds, or, at the R3 t R1 +f R2 FD. IEEE issue stage, until all resources and data R6 t R4 *f R5 F .D.IEEE dependencies are satisfied. (X)t R3 F .DIEEEE The first two instructions issue on (A)+ R6 FD. IEEEE consecutive clock periods, but the add is dependent on both loads and must wait three clock periods for the load data before it can issue. Similarly, the store to Figure 3. Reordered code to perform X=Y+Z and A=B*C: (a) machine code; location X must wait three clock periods (b) pipeline timing. for the add to finish due to another data dependence. There are similar blockages during the calculation of A. The total time required is 18 clock periods. This I I time is measured beginning when the first instruction starts execution until the last starts execution. (We measure time Execute Execute in this way so that pipeline “fill” and E “drain” times do not unduly influence relative timings.) Instruction fetch Decode + Issue Execute Execute Instruction scheduling D I E An important characteristic of pipe- lined processors is that using equivalent, but reordered, code sequences can result in performance differences. For ex- ample, the code in Figure 3a performs the same function as that in Figure 2a except that it has been reordered, or Figure 4. Block diagram of the CDC 6600-style processor. “scheduled,” to reduce data dependen- cies. Furthermore, registers have been allocated differently to eliminate certain register conflicts that appear to the hard- uling or reordering of instructions that years ago, it is rarely used in today’s ware as dependencies. Figure 3b illus- can be done by a compiler prior to execu- pipelined processors. trates the pipeline timing for the code in tion. Most, if not all, pipelined comput- Figure 3a. Note that there is considerably ers today use some form of static sched- Dynamic instruction scheduling: more overlap, and the time required is uling by the compiler.
Recommended publications
  • Computer Science 246 Computer Architecture Spring 2010 Harvard University
    Computer Science 246 Computer Architecture Spring 2010 Harvard University Instructor: Prof. David Brooks [email protected] Dynamic Branch Prediction, Speculation, and Multiple Issue Computer Science 246 David Brooks Lecture Outline • Tomasulo’s Algorithm Review (3.1-3.3) • Pointer-Based Renaming (MIPS R10000) • Dynamic Branch Prediction (3.4) • Other Front-end Optimizations (3.5) – Branch Target Buffers/Return Address Stack Computer Science 246 David Brooks Tomasulo Review • Reservation Stations – Distribute RAW hazard detection – Renaming eliminates WAW hazards – Buffering values in Reservation Stations removes WARs – Tag match in CDB requires many associative compares • Common Data Bus – Achilles heal of Tomasulo – Multiple writebacks (multiple CDBs) expensive • Load/Store reordering – Load address compared with store address in store buffer Computer Science 246 David Brooks Tomasulo Organization From Mem FP Op FP Registers Queue Load Buffers Load1 Load2 Load3 Load4 Load5 Store Load6 Buffers Add1 Add2 Mult1 Add3 Mult2 Reservation To Mem Stations FP adders FP multipliers Common Data Bus (CDB) Tomasulo Review 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 LD F0, 0(R1) Iss M1 M2 M3 M4 M5 M6 M7 M8 Wb MUL F4, F0, F2 Iss Iss Iss Iss Iss Iss Iss Iss Iss Ex Ex Ex Ex Wb SD 0(R1), F0 Iss Iss Iss Iss Iss Iss Iss Iss Iss Iss Iss Iss Iss M1 M2 M3 Wb SUBI R1, R1, 8 Iss Ex Wb BNEZ R1, Loop Iss Ex Wb LD F0, 0(R1) Iss Iss Iss Iss M Wb MUL F4, F0, F2 Iss Iss Iss Iss Iss Ex Ex Ex Ex Wb SD 0(R1), F0 Iss Iss Iss Iss Iss Iss Iss Iss Iss M1 M2
    [Show full text]
  • Integrating Program Optimizations and Transformations with the Scheduling of Instruction Level Parallelism*
    Integrating Program Optimizations and Transformations with the Scheduling of Instruction Level Parallelism* David A. Berson 1 Pohua Chang 1 Rajiv Gupta 2 Mary Lou Sofia2 1 Intel Corporation, Santa Clara, CA 95052 2 University of Pittsburgh, Pittsburgh, PA 15260 Abstract. Code optimizations and restructuring transformations are typically applied before scheduling to improve the quality of generated code. However, in some cases, the optimizations and transformations do not lead to a better schedule or may even adversely affect the schedule. In particular, optimizations for redundancy elimination and restructuring transformations for increasing parallelism axe often accompanied with an increase in register pressure. Therefore their application in situations where register pressure is already too high may result in the generation of additional spill code. In this paper we present an integrated approach to scheduling that enables the selective application of optimizations and restructuring transformations by the scheduler when it determines their application to be beneficial. The integration is necessary because infor- mation that is used to determine the effects of optimizations and trans- formations on the schedule is only available during instruction schedul- ing. Our integrated scheduling approach is applicable to various types of global scheduling techniques; in this paper we present an integrated algorithm for scheduling superblocks. 1 Introduction Compilers for multiple-issue architectures, such as superscalax and very long instruction word (VLIW) architectures, axe typically divided into phases, with code optimizations, scheduling and register allocation being the latter phases. The importance of integrating these latter phases is growing with the recognition that the quality of code produced for parallel systems can be greatly improved through the sharing of information.
    [Show full text]
  • Sections 3.2 and 3.3 Dynamic Scheduling – Tomasulo's Algorithm
    EEF011 Computer Architecture 計算機結構 Sections 3.2 and 3.3 Dynamic Scheduling – Tomasulo’s Algorithm 吳俊興 高雄大學資訊工程學系 October 2004 A Dynamic Algorithm: Tomasulo’s Algorithm • For IBM 360/91 (before caches!) – 3 years after CDC • Goal: High Performance without special compilers • Small number of floating point registers (4 in 360) prevented interesting compiler scheduling of operations – This led Tomasulo to try to figure out how to get more effective registers — renaming in hardware! • Why Study 1966 Computer? • The descendants of this have flourished! – Alpha 21264, HP 8000, MIPS 10000, Pentium III, PowerPC 604, … Example to eleminate WAR and WAW by register renaming • Original DIV.D F0, F2, F4 ADD.D F6, F0, F8 S.D F6, 0(R1) SUB.D F8, F10, F14 MUL.D F6, F10, F8 WAR between ADD.D and SUB.D, WAW between ADD.D and MUL.D (Due to that DIV.D needs to take much longer cycles to get F0) • Register renaming DIV.D F0, F2, F4 ADD.D S, F0, F8 S.D S, 0(R1) SUB.D T, F10, F14 MUL.D F6, F10, T Tomasulo Algorithm • Register renaming provided – by reservation stations, which buffer the operands of instructions waiting to issue – by the issue logic • Basic idea: – a reservation station fetches and buffers an operand as soon as it is available, eliminating the need to get the operand from a register (WAR) – pending instructions designate the reservation station that will provide their input (RAW) – when successive writes to a register overlap in execution, only the last one is actually used to update the register (WAW) As instructions are issued, the register specifiers for pending operands are renamed to the names of the reservation station, which provides register renaming • more reservation stations than real registers Properties of Tomasulo Algorithm 1.
    [Show full text]
  • Multiple Instruction Issue and Completion Per Clock Cycle Using Tomasulo’S Algorithm – a Simple Example
    Multiple Instruction Issue and Completion per Clock Cycle Using Tomasulo’s Algorithm – A Simple Example Assumptions: . The processor has in-order issue but execution may be out-of-order as it is done as soon after issue as operands are available. Instructions commit as they finish execu- tion. There is no speculative execution on Branch instructions because out-of-order com- pletion prevents backing out incorrect results. The reason for the out-of-order com- pletion is that there is no buffer between results from the execution and their com- mitment in the register file. This restriction is just to keep the example simple. It is possible for at least two instructions to issue in a cycle. The processor has two integer ALU’s with one Reservation Station each. ALU op- erations complete in one cycle. We are looking at the operation during a sequence of arithmetic instructions so the only Functional Units shown are the ALU’s. NOTES: Customarily the term “issue” is the transition from ID to the window for dy- namically scheduled machines and dispatch is the transition from the window to the FU’s. Since this machine has an in-order window and dispatch with no speculation, I am using the term “issue” for the transition to the reservation stations. It is possible to have multiple reservation stations in front of a single functional unit. If two instructions are ready for execution on that unit at the same clock cycle, the lowest station number executes. There is a problem with exception processing when there is no buffering of results being committed to the register file because some register entries may be from instructions past the point at which the exception occurred.
    [Show full text]
  • Tomasulo's Algorithm
    Out-of-Order Execution Several implementations • out-of-order completion • CDC 6600 with scoreboarding • IBM 360/91 with Tomasulo’s algorithm & reservation stations • out-of-order completion leads to: • imprecise interrupts • WAR hazards • WAW hazards • in-order completion • MIPS R10000/R12000 & Alpha 21264/21364 with large physical register file & register renaming • Intel Pentium Pro/Pentium III with the reorder buffer Autumn 2006 CSE P548 - Tomasulo 1 Out-of-order Hardware In order to compute correct results, need to keep track of: • which instruction is in which stage of the pipeline • which registers are being used for reading/writing & by which instructions • which operands are available • which instructions have completed Each scheme has different hardware structures & different algorithms to do this Autumn 2006 CSE P548 - Tomasulo 2 1 Tomasulo’s Algorithm Tomasulo’s Algorithm (IBM 360/91) • out-of-order execution capability plus register renaming Motivation • long FP delays • only 4 FP registers • wanted common compiler for all implementations Autumn 2006 CSE P548 - Tomasulo 3 Tomasulo’s Algorithm Key features & hardware structures • reservation stations • distributed hazard detection & execution control • forwarding to eliminate RAW hazards • register renaming to eliminate WAR & WAW hazards • deciding which instruction to execute next • common data bus • dynamic memory disambiguation Autumn 2006 CSE P548 - Tomasulo 4 2 Hardware for Tomasulo’s Algorithm Autumn 2006 CSE P548 - Tomasulo 5 Tomasulo’s Algorithm: Key Features Reservation
    [Show full text]
  • Computer Architecture: Static Instruction Scheduling
    Key Questions Q1. How do we find independent instructions to fetch/execute? Computer Architecture: Q2. How do we enable more compiler optimizations? Static Instruction Scheduling e.g., common subexpression elimination, constant propagation, dead code elimination, redundancy elimination, … Q3. How do we increase the instruction fetch rate? i.e., have the ability to fetch more instructions per cycle Prof. Onur Mutlu (Editted by Seth) Carnegie Mellon University 2 Key Questions VLIW (Very Long Instruction Word Q1. How do we find independent instructions to fetch/execute? Simple hardware with multiple function units Reduced hardware complexity Q2. How do we enable more compiler optimizations? Little or no scheduling done in hardware, e.g., in-order e.g., common subexpression elimination, constant Hopefully, faster clock and less power propagation, dead code elimination, redundancy Compiler required to group and schedule instructions elimination, … (compare to OoO superscalar) Predicated instructions to help with scheduling (trace, etc.) Q3. How do we increase the instruction fetch rate? More registers (for software pipelining, etc.) i.e., have the ability to fetch more instructions per cycle Example machines: Multiflow, Cydra 5 (8-16 ops per VLIW) IA-64 (3 ops per bundle) A: Enabling the compiler to optimize across a larger number of instructions that will be executed straight line (without branches TMS32xxxx (5+ ops per VLIW) getting in the way) eases all of the above Crusoe (4 ops per VLIW) 3 4 Comparison between SS VLIW Comparison:
    [Show full text]
  • Tomasulo's Algorithm
    Lecture-12 (Tomasulo’s Algorithm) CS422-Spring 2018 Biswa@CSE-IITK Another Dynamic One: Tomasulo’s Algorithm • For IBM 360/91 about 3 years after CDC 6600 (1966) • Goal: High Performance without special compilers • Differences between IBM 360 & CDC 6600 ISA – IBM has only 2 register specifiers/instruction vs. 3 in CDC 6600 – IBM has 4 FP registers vs. 8 in CDC 6600 – IBM has memory-register ops • Why Study? lead to Alpha 21264, HP 8000, MIPS 10000, Pentium II, PowerPC 604, … CS422: Spring 2018 Biswabandan Panda, CSE@IITK 2 Tomasulo’s Organization From Mem FP Op FP Registers Queue Load Buffers Load1 Load2 Load3 Load4 Load5 Store Load6 Buffers Add1 Add2 Mult1 Add3 Mult2 Reservation To Mem Stations FP adders FP multipliers CS422: Spring 2018 Biswabandan Panda, CSE@IITK 3 Tomasulo vs Scoreboard • Control & buffers distributed with Function Units (FU) vs. centralized in scoreboard; – FU buffers called “reservation stations”; have pending operands • Registers in instructions replaced by values or pointers to reservation stations(RS); called register renaming ; – avoids WAR, WAW hazards – More reservation stations than registers, so can do optimizations compilers can’t • Results to FU from RS, not through registers, over Common Data Bus that broadcasts results to all FUs • Load and Stores treated as FUs with RSs as wells CS422: Spring 2018 Biswabandan Panda, CSE@IITK 4 Reservation Station Components Op: Operation to perform in the unit (e.g., + or –) Vj, Vk: Value of Source operands – Store buffers has V field, result to be stored Qj, Qk: Reservation stations producing source registers (value to be written) – Note: No ready flags as in Scoreboard; Qj,Qk=0 => ready – Store buffers only have Qi for RS producing result Busy: Indicates reservation station or FU is busy Register result status—Indicates which functional unit will write each register, if one exists.
    [Show full text]
  • Static Instruction Scheduling for High Performance on Limited Hardware
    This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2017.2769641, IEEE Transactions on Computers 1 Static Instruction Scheduling for High Performance on Limited Hardware Kim-Anh Tran, Trevor E. Carlson, Konstantinos Koukos, Magnus Själander, Vasileios Spiliopoulos Stefanos Kaxiras, Alexandra Jimborean Abstract—Complex out-of-order (OoO) processors have been designed to overcome the restrictions of outstanding long-latency misses at the cost of increased energy consumption. Simple, limited OoO processors are a compromise in terms of energy consumption and performance, as they have fewer hardware resources to tolerate the penalties of long-latency loads. In worst case, these loads may stall the processor entirely. We present Clairvoyance, a compiler based technique that generates code able to hide memory latency and better utilize simple OoO processors. By clustering loads found across basic block boundaries, Clairvoyance overlaps the outstanding latencies to increases memory-level parallelism. We show that these simple OoO processors, equipped with the appropriate compiler support, can effectively hide long-latency loads and achieve performance improvements for memory-bound applications. To this end, Clairvoyance tackles (i) statically unknown dependencies, (ii) insufficient independent instructions, and (iii) register pressure. Clairvoyance achieves a geomean execution time improvement of 14% for memory-bound applications, on top of standard O3 optimizations, while maintaining compute-bound applications’ high-performance. Index Terms—Compilers, code generation, memory management, optimization ✦ 1 INTRODUCTION result in a sub-optimal utilization of the limited OoO engine Computer architects of the past have steadily improved that may stall the core for an extended period of time.
    [Show full text]
  • Tomasulo Algorithm and Dynamic Branch Prediction
    Lecture 4: Tomasulo Algorithm and Dynamic Branch Prediction Professor David A. Patterson Computer Science 252 Spring 1998 DAP Spr.‘98 ©UCB 1 Review: Summary • Instruction Level Parallelism (ILP) in SW or HW • Loop level parallelism is easiest to see • SW parallelism dependencies defined for program, hazards if HW cannot resolve • SW dependencies/compiler sophistication determine if compiler can unroll loops – Memory dependencies hardest to determine • HW exploiting ILP – Works when can’t know dependence at run time – Code for one machine runs well on another • Key idea of Scoreboard: Allow instructions behind stall to proceed (Decode => Issue instr & read operands) – Enables out-of-order execution => out-of-order completion – ID stage checked both for structural & data dependenciesDAP Spr.‘98 ©UCB 2 Review: Three Parts of the Scoreboard 1.Instruction status—which of 4 steps the instruction is in 2.Functional unit status—Indicates the state of the functional unit (FU). 9 fields for each functional unit Busy—Indicates whether the unit is busy or not Op—Operation to perform in the unit (e.g., + or –) Fi—Destination register Fj, Fk—Source-register numbers Qj, Qk—Functional units producing source registers Fj, Fk Rj, Rk—Flags indicating when Fj, Fk are ready 3.Register result status—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions will write that register DAP Spr.‘98 ©UCB 3 Review: Scoreboard Example Cycle 3 Instruction status Read ExecutionWrite Instruction j k Issue operandscompleteResult LD F6 34+ R2 1 2 3 LD F2 45+ R3 MULTDF0 F2 F4 SUBD F8 F6 F2 DIVD F10 F0 F6 ADDD F6 F8 F2 Functional unit status dest S1 S2 FU for jFU for kFj? Fk? Time Name Busy Op Fi Fj Fk Qj Qk Rj Rk Integer Yes Load F6 R2 Yes Mult1 No Mult2 No Add No Divide No Register result status Clock F0 F2 F4 F6 F8 F10 F12 ..
    [Show full text]
  • WCAE 2003 Workshop on Computer Architecture Education
    WCAE 2003 Proceedings of the Workshop on Computer Architecture Education in conjunction with The 30th International Symposium on Computer Architecture DQG 2003 Federated Computing Research Conference Town and Country Resort and Convention Center b San Diego, California June 8, 2003 Workshop on Computer Architecture Education Sunday, June 8, 2003 Session 1. Welcome and Keynote 8:45–10:00 8:45 Welcome Edward F. Gehringer, workshop organizer 8:50 Keynote address, “Teaching and teaching computer Architecture: Two very different topics (Some opinions about each),” Yale Patt, teacher, University of Texas at Austin 1 Break 10:00–10:30 Session 2. Teaching with New Architectures 10:30–11:20 10:30 “Intel Itanium floating-point architecture,” Marius Cornea, John Harrison, and Ping Tak Peter Tang, Intel Corp. ................................................................................................................................. 5 10:50 “DOP — A CPU core for teaching basics of computer architecture,” Miloš BeþváĜ, Alois Pluháþek and JiĜí DanƟþek, Czech Technical University in Prague ................................................................. 14 11:05 Discussion Break 11:20–11:30 Session 3. Class Projects 11:30–12:30 11:30 “Superscalar out-of-order demystified in four instructions,” James C. Hoe, Carnegie Mellon University ......................................................................................................................................... 22 11:50 “Bridging the gap between undergraduate and graduate experience in
    [Show full text]
  • Verification of an Implementation of Tomasulo's Algorithm by Compositional Model Checking
    Verification of an Implementation of Tomasulo's Algorithm by Compositional Model Checking K. L. McMillan Cadence Berkeley Labs 2001 Addison St., 3rd floor Berkeley, CA 94704-1103 [email protected] Abstract. An implementation of an out-of-order processing unit based on Tomasulo's algorithm is formally verified using compositional model checking techniques. This demonstrates that finite-state methods can be applied to such algorithms, without recourse to higher-order proof sys- tems. The paper introduces a novel compositional system that supports cyclic environment reasoning and multiple environment abstractions per signal. A proof of Tomasulo's algorithm is outlined, based on refinement maps, and relying on the novel features of the compositional system. This proof is fully verified by the SMV verifier, using symmetry to reduce the number of assertions that must be verified. 1 Introduction We present the formal design verification of an "out-of-order" processing unit based on Tomasulo's algorithm [Tom67]. This and related techniques such as "register renaming" are used in modern microprocessors [LR97] to keep multiple or deeply pipelined execution units busy by executing instructions in data-flow order, rather than sequential order. The complex variability of instruction flow in "out-of-order" processors presents a significant opportunity for undetected er- rors, compared to an "in-order" pipelined machine where the flow of instructions is fixed and orderly. Unfortunately, this variability also makes formal verifica- tion of such machines difficult. They are beyond the present capacity of methods based on integrated decision procedures [BD94], and are not amenable to sym- bolic trajectory analysis [JNB96].
    [Show full text]
  • Lecture 1 Introduction
    Lecture 1 Introduction • What would you get out of this course? • Structure of a Compiler • Optimization Example Carnegie Mellon Todd C. Mowry 15-745: Introduction 1 What Do Compilers Do? 1. Translate one language into another – e.g., convert C++ into x86 object code – difficult for “natural” languages, but feasible for computer languages 2. Improve (i.e. “optimize”) the code – e.g, make the code run 3 times faster – driving force behind modern processor design Carnegie Mellon 15-745: Introduction 2 Todd C. Mowry What Do We Mean By “Optimization”? • Informal Definition: – transform a computation to an equivalent but “better” form • in what way is it equivalent? • in what way is it better? • “Optimize” is a bit of a misnomer – the result is not actually optimal Carnegie Mellon 15-745: Introduction 3 Todd C. Mowry How Can the Compiler Improve Performance? Execution time = Operation count * Machine cycles per operation • Minimize the number of operations – arithmetic operations, memory acesses • Replace expensive operations with simpler ones – e.g., replace 4-cycle multiplication with 1-cycle shift • Minimize cache misses Processor – both data and instruction accesses • Perform work in parallel cache – instruction scheduling within a thread memory – parallel execution across multiple threads • Related issue: minimize object code size – more important on embedded systems Carnegie Mellon 15-745: Introduction 4 Todd C. Mowry Other Optimization Goals Besides Performance • Minimizing power and energy consumption • Finding (and minimizing the
    [Show full text]