E LECTRON DEVICES S OCIETY IEEEIEEE ELECTRONELECTRON DEVICESDEVICES SOCIETYSOCIETY

Jan. 2004 Vol. 11, No. 1 ISSN:1074 1879 Editor-in-Chief: Ninoslav D. Stojadinovic

20042004 IEEEIEEE InternationalInternational VacuumVacuum ElectronicsElectronics ConferenceConference (IVEC)(IVEC) Table of Contents

Upcoming Technical Meetings ...... 1 • 2004 IVEC • 2004 MIEL • 2004 P2ID • 2003 IRPS Message from the EDS President ...... 2 2004 EDS Graduate Student Fellowship Call for Nominations...... 7 Society News...... 8 • 2002 EDS George Smith Award • 2002 EDS Paul Rappaport Award • Announcement of the EDS Graduate Student Fellowship Winners for 2003 • Call for IEEE Fellow Nominations The Fifth International Vacuum Electronics Conference (IVEC 2004) is • 2002 IEEE Transactions on returning to the picturesque city of Monterey, California April 27-29, Manufacturing 2004. The meeting will be held at the Monterey Conference Center at Best Paper Award the Doubletree Hotel under the sponsorship of the IEEE Electron • EDS Members Recently Elected Devices Society (EDS). Dr. Dan M. Goebel of the NASA Jet Propul- to IEEE Senior Member Grade sion Laboratory will serve as the General Chair, and Dr. Carol L. Kory of CCR and Analex Corp/NASA GRC will serve as Technical Program • EDS Region 9 Chapters Chair. The EDS Technical Committee on Vacuum Devices provides over- Meeting Summary sight of the conference; an international committee chaired by Dr. • EDS Distinguished Lecturers James Dayton, Jr. Participate in the 2nd Since its inception in 2000, IVEC has become the premier international WIMNACT – Korea venue for presentations in the field of vacuum electronics. IVEC 2004 continues the tradition of the U.S. “Power-Tube” Conference in Mon- Regional & Chapter News ...... 15 terey, which has become the US home of IVEC, in providing a forum for presentation and discussion of topics on vacuum devices, vacuum micro- EDS Meetings Calendar ...... 23 electronics, applications of vacuum devices, and the theory and techno- EDS Distinguished Lecturers Participate in logical developments of vacuum electron devices. IVEC meets in the U.S. every other year and in Europe and Asia alternately every fourth year. A the 3rd WIMNACT – Singapore ...... 24 highlight of the meeting will be the presentation of the IVEC Award for Excellence in Vacuum Electronics, given at the conference banquet. Com- plete details about the meeting and this award can be found on the con- ference web site at http://www.ivec2004.org. The IVEC 2004 conference will begin with a half-day plenary session followed by two and a half days of oral and poster sessions. Two page Your Comments Solicited abstracts for IVEC 2004 should be submitted electronically to Mr. Ralph Your comments are most welcome. Please write Nadell of Palisades Convention Management at [email protected] directly to the Editor-in-Chief of the Newsletter at by January 5, 2004. Information on the preparation and submission of [email protected] the abstracts can be found on the conference web site. continued on page 6 ElectronElectron DevicesDevices SocietySociety Message from the President Awards Chair EDS President Steven J. Hillenius Alfred U. Mac Rae Agere Systems Mac Rae Technologies Tel: +1 610 712 6054 Tel: +1 908 464 6769 E-Mail: [email protected] E-Mail: [email protected] It has been my privilege and honor to serve as the President of the Electron Vice President Educational Activities Chair Hiroshi Iwai Ilesanmi Adesida Devices Society for the last two years. It Tokyo Institute of Technology University of Illinois has also been two years of tremendous Tel: +81 45 924 5471 Tel: +1 217 244 6379 challenge and change. This will be the E-Mail: [email protected] E-Mail: [email protected] last message I write to you as President, Treasurer Meetings Chair so it is a time to reflect back over this Paul K. L. Yu Kenneth F. Galloway period. I hope that I am leaving the posi- at San Diego Vanderbilt University tion with the Society in better shape to Tel: +1 858 534 6180 Tel: +1 615 322 0720 Steven J. Hillenius face the future. I can look at some of the E-Mail: [email protected] E-Mail: [email protected] high points of the last two years with pride and satisfaction. Secretary Membership Chair These include; the celebration of EDS’ 50th Anniversary; the John K. Lowell James B. Kuo development of a strategic plan with a primary focus on our Consultant National Taiwan University technical committees, and the progress towards fulfilling our Tel: +1 972 839 4900 Tel: +886 2 236352251 Ext. 338 goal of providing our members with on-line access to a E-Mail: [email protected] E-Mail: [email protected] ‘complete’ set of EDS publications by digitizing all legacy Sr. Past President Publications Chair content (pre-1988) for Electron Device Letters, Transactions Bruce F. Griffing Renuka P. Jindal on Electron Devices and the IEDM proceedings. N/A University of Louisiana at Lafayette The challenge over the last two years has been one of Tel: N/A Tel: +1 337 482 6570 responding to the dramatic change in our businesses and the E-Mail: [email protected] E-Mail: [email protected] economy within the world of electron devices. The change Jr. Past President Regions/Chapters Chair has been one where a dramatic decrease in the amount of Cary Y. Yang Cor L. Claeys commercial research and development has occurred. The Santa Clara University IMEC result of this decrease has reduced our pool of traditional Tel: +1 408 554 6814 Tel: +32 16 281328 E-Mail: [email protected] E-Mail: [email protected] members; and in turn, has decreased the attendance at our technical meetings and the number of subscribers to our EDS Executive Director IEEE Newsletters publications. These challenges and the fact that the IEEE as a William F. Van Der Vort Paul Doto whole was experiencing a budget crisis drove much of the IEEE Operations Center IEEE Operations Center behavior over the last two years and it was mostly one of belt 445 Hoes Lane 445 Hoes Lane Piscataway, NJ 08854 Piscataway, NJ 08854 tightening and deferring plans for expansion. Tel: +1 732 562 3926 Tel: +1 732 562 3945 In my last message, I said that the challenges that we Fax: +1 732 235 1626 Fax: +1 732 981 1855 are confronted within the research and businesses that the E-Mail: [email protected] E-Mail: [email protected] Society represents are greater now than they have been for our entire history. We are looking at the end of the Contributions Welcome roadmap for the transistor scaling, a globalized economy that is making many of our technology innovations a wide- Readers are encouraged to submit news items ly available commodity and new technology developments concerning the Society and its members. Please that will challenge and disrupt our established institutions. send your ideas/articles directly to either the Edi- The viability of the Society will be determined by how tor-in-Chief or appropriate Editor. All contact quickly we will be able to adapt to these changes and posi- information is listed on the back cover page. tion the technical component of the Society to the interests Whenever possible, e-mail is the preferred form and needs of the people who will be driving these changes. of submission. I think that we have made significant steps to position the Newsletter Deadlines Society in such a way that it will maintain a technical edge. Issue Due Date We have created the position of Technical Committees Chair January October 1st that has AdCom voting rights and is included as a member of April January 1st the EDS Executive Committee (ExCom). The key purpose of July April 1st October July 1st (continued on page 3)

IEEE Electron Devices Society Newsletter (ISSN 1074 1879) is published quarterly by the Electron Devices Society of the Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. Printed in the U.S.A. One dollar ($1.00) per member per year is included in the Society fee for each member of the Electron Devices Society. Periodicals postage paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE Electron Devices Society Newsletter, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2004 by IEEE: Information contained in this Newsletter may be copied without permission provided that copies are not used or distributed for direct commercial advantage, and the title of the publication and its date appear on each photocopy.

2 IEEE Electron Devices Society Newsletter ❍ January 2004 UpcomingUpcoming TechnicalTechnical MeetingsMeetings 20042004 IEEEIEEE InternationalInternational SymposiumSymposium onon Plasma-Plasma- andand Process-InducedProcess-Induced DamageDamage (P2ID)(P2ID)

The ninth annual International these aspects of damage – mecha- Symposium on Plasma- and nisms, processes, tooling, design Process-Induced Damage will rules, materials - are the province be held May 17-19 in Austin, of this conference and it is why Texas. This conference, popu- the study of these phenomena is larly known as P2ID, has served multi-disciplinary. for nearly a decade to highlight There are several trends in the issues surrounding all aspects industry that signal an evolution of of process-induced damage in this field of study. For example, the semiconductor fabrication. nature of the gate dielectric is Since its inception in 1996, this evolving – will the emergence of conference has been held in Califor- or “electrical.” One example of physical high-k gate insulators reduce or nia, Hawaii, and Paris, making it truly damage is a notch etched at the bottom increase the susceptibility to process- international. This will be the first of a polysilicon gate. Another would be induced damage? Of the various candi- time the conference will be held in the implant-induced damage at the dates are there some that are more Texas, and the venue will be Motoro- edge of the gate. The most common resistant to damage? The continuing la University. Participants have histor- example of electrical damage is the requirement for legacy power supply ically been drawn from the full degradation of the gate insulator due to compatibility requires that new tech- spectrum of academia, research, a charge buildup during a subsequent nologies also have thicker gate development, and manufacturing, fabrication process such as a contact dielectrics available – the compatibility and from all over the world. etch step. Phenomena such as the of advanced processes with these “old- Process-induced damage may be above examples have been extensively er” dielectrics is an interesting question. properly regarded as the unintended discussed at previous P2ID conferences One trend in advanced technology that (and presumably undesirable) conse- from a broad perspective. Fundamental has become more important is that quence of a fabrication step. This may to the effort is to understand the mech- some process controls are no longer be because the process was poorly anism by which the damage occurs, practical to keep the same tight specifi- designed at the outset and invariably but there are myriad approaches to the cation as in older technology. Such limi- induces damage, or it may be because appropriate solution. Proper process tation can either come from the the control of the process variables is conditions may address the problem, extraordinary level of difficulties inadequate, and occasionally damage but careful design of the tool itself may involved or from fundamental physics. is induced, or it may be because a spe- be more relevant. Design rules may Either way, the reduced control cific structure on the wafer is uniquely also be incorporated to limit the dam- inevitably impact circuit performance, sensitive. Although the distinction may age on real circuits, and the robustness yield and reliability. These issues are become blurry in the details, damage is of the semiconductor materials may the very core of process induced “dam- often categorized as either “physical” also alleviate the consequences. All of age” and P2ID is the perfect forum for it.

Message from the EDS President

(continued from page 2) this position is to quickly tie the new ticipate in the Society’s plans. We have EDS Executive Office to better serve the technical activities in the Society to the created several new technical commit- membership and to create a more effi- other areas of our business, mainly our tees over the last several years and cient operation for the Society business. technical meetings and publications, to have given the technical committees a This has made it easier for the volun- ensure the Society maintains its value greater influence in the direction of the teers to use their time more effectively. to our members. We are hoping with Society activities. I would like to see I hope that all of these changes this organizational change that the more Society members become active have created a foundation for the Soci- Society will again be primarily driven in these committees along with the ety to grow and thrive in the future. by its vital technical component. organizing and editorial committees for I believe that the future will be deter- the meetings and journals. We have Steven J. Hillenius mined by how well we can attract the also made considerable progress over Agere Systems best researchers and developers to par- the last two years in positioning the Allentown, PA USA

January 2004 ❍ IEEE Electron Devices Society Newsletter 3 20042004 InternationalInternational ConferenceConference onon MicroelectronicsMicroelectronics (MIEL)(MIEL)

The 24th International Confer- “MICROS: An Experimental Coin- ence on Microelectronics (MIEL Sized, Low Cost, Low Power 2004) will be held May 16-19, CMOS ZigBee Radio at 2.4 GHz as 2004, at the Faculty of Electronic a Ubiquitous Network Node” (K. Engineering, University of Nis, Lee, KAIST, Korea); “CMOS Ana- Serbia & Montenegro. The MIEL log Design for Wireless Commu- 2004 Conference will be orga- nication” (V. Liberali, University nized by the IEEE Yugoslavia of Milan, Italy); “CMOS Reliabili- Section - ED/SSC Chapter, in ty: Empirical Modeling and SPICE cooperation with the Faculty of Simulation” (J. Liou, University , Univer- of Central Florida, Orlando, USA); sity of Nis, Ei-Holding Co.-Nis, “Fast Recovery Diodes – Reverse and Society for ETRAN, under Recovery Behaviour and Dynamic the co-sponsorship of the IEEE Avalanche” (J. Lutz, Chemnitz EDS, with the cooperation of University, Germany); “Advanced IEEE SSCS, and under the auspices than 30 countries all around the Isolation Structures for Power ICs" of Serbian Ministry of Science, world, which will be structured into (P. Mawby, University of Wales, Technology and Development, oral and poster sessions. These UK); “Recent Developments in SiC Yugoslav Academy of Engineering, papers, together with 21 invited Power Devices and Related Tech- and City Assembly of Nis. papers, which are to be presented nology” (J. Millan, CNM-CSIC, MIEL is an outstanding European by the world leading authorities in Spain); “Reliability Overview of conference providing an interna- the field of microelectronics, will MEMS Technology” (R. Plana, tional forum for the presentation form the solid foundation of the LAAS, France); “MEMS Tunable and discussion of the recent devel- Conference MIEL 2004. Two related Capacitors and Switches for RF opments and future trends in the scientific events, namely the work- Applications (RF MEMS)” (T. Rijks, field of microelectronics. Since shops “Power Devices and ICs” and Philips, The Netherlands); “Analysis 1984, there is an aura of internation- “Microsystem Technologies”, con- of High Speed Heterostructures alization around the MIEL confer- taining 5 invited papers each, will Devices” (S. Selberherr, Technical ences, providing an opportunity for round off the technical program. University of Vienna, Austria); “For- specialists from both academic and The invited lectures are: “GaN ward and Reverse Recovery Behav- industrial environments from the Electronics: Promises and iour of Diodes in Power Conversion West and East, as well as from the Prospects” (I. Adesida, University Applications” (N. Shammas, countries of the Third World, to of Illinois, USA); “Concurrent Engi- Staffordshire University, UK); “Pow- meet in an informal and friendly neering – A Tool for Improving er Management in Wireless OEM atmosphere and exchange experi- MEMS Research & Manufacturing” Devices” (K. Shenai, University of ences in the theory and practice of (M. Bazu, National Institute of Illinois at Chicago, USA); “Predic- microelectronics. From 1995, MIEL Microtechnologies, Romania); tive Simulation of Microdevices and has been organized under the tech- “Add-On Process Modules – An Microsystems: The Basis of Virtual nical co-sponsorship of IEEE EDS, Economic Enhancement of Silicon Fabrication, Experimentation and while the conference received IEEE Technology” (J. Burghartz, Delft Test” (G. Wachutka, Munich Univer- EDS co-sponsorship for the first University of Technology, The sity of Technology, Germany); and time in 2000. Netherlands); “Advanced Power “Microwave Photonics Links in The topics to be covered by the Devices for DC/DC Conversion" (M. Modern Communication Network: technical program of the MIEL 2004 Darwish, Vishay Siliconix, Santa Component Technology” (P. Yu, Conference include all important Clara, USA); “Concept of Virtual University of California, San aspects of microelectronic devices, MST-Factory” (H. Detter, Technical Diego, USA). circuits and systems, ranging from University of Vienna, Austria); The city of Nis is the administra- materials and processes, technolo- “Instrumentation and Methodology tive, cultural and economic center gies and devices, device physics for MEMS Testing, Reliability of southeastern Serbia. Among and modeling, process and device Assessment and Failure Analysis” many and various industries locat- simulation, circuit design and test- (I. De Wolf, IMEC, Belgium); “Char- ed in Nis, it is the electronic indus- ing, system design and packaging, acterization and Modelling Issues in try that is to take credit for its and characterization and reliability. MOS Structures with Ultra Thin occasionally used nickname “City of Based on the past decade history, Oxides” (G. Ghibaudo, ENSERG, Electronics”. It is no wonder when the technical program is expected to France); “Memory Technology the largest company in Nis is Ei- consist of about 150 contributed Including Emerging New Memo- Holding Company founded in 1948, papers by the authors from more ry”(K. Kim, Samsung, Korea); continued on page 7

4 IEEE Electron Devices Society Newsletter ❍ January 2004 IEEE International Reliability Physics Symposium (IRPS)

The 42nd Annual International tive gate dielectrics; Interconnects Reliability Physics Symposium will will include defect and wear out be held at the Hyatt Regency phenomena in Cu and Al systems, Phoenix Civic Plaza in Phoenix, low-K/oxide inter/intra-level reliabil- Arizona from April 25 to April 30, ity, mechanical stress issues, and 2004. IRPS is the principal sympo- joule heating effects. Electrostatic sium dealing with the physical Discharge and Latch-up will cover mechanisms that influence the novel structures including SOI and performance or affect the reliabili- bipolar, damage interpretation, ty of integrated circuits and micro- scaling issues, and RF CMOS. electronic devices in the users’ Sessions on Device and Process, environment. which addresses reliability-driven The Symposium has its roots process interactions, new process in the early 1960’s when a forum related reliability issues, and for interchange of information on Process-Induced Damage, which the physical processes, which will describe reliability degradation caused electronic components to associated with damage and early fail, was established. Sponsorship non-destructive in-line detection of was largely from the military, process-induced damage complete most notably from the U. S. Air Force improved, or innovative analytical the program. Rome Air Development Center. In techniques; or demonstrates tech- 1967 sponsorship moved to the Insti- niques to build-in or extend reliability Tutorials/Workshops tute of Electrical and Electronic Engi- while meeting performance goals, IRPS’s two day Tutorial Program fea- neers, with the Reliability Society and especially as technologies are scaled. tures two sets of bound notes from all the Electron Devices Society agreeing On the product side, specific areas of the tutorials presented at the sym- to jointly sponsor the Symposium. addressed at the symposium are posium. Seasoned attendees have the The conference aims to stimulate Product Reliability and Burn-In, which opportunity to learn new, challenging progress in these broad areas: covers chip-level reliability issues, areas of interest during the Advanced • Reliability Implications of Transistor burn-in elimination strategies, and Reliability session on Monday, while Scaling correlation between yield, infant mor- new participants can gain familiarity in •Modeling, Simulation, and Under- tality, and burn-in fallout; Non- classic reliability methodologies dur- standing of Failure Mechanisms Volatile Memory, which addresses ing the Reliability Fundamentals ses- and Circuit Reliability unique reliability phenomena and fail- sion on Sunday. Past tutorial sessions •Correlation of Reliability to the ure mechanisms in non-volatile mem- have covered a wide variety of topics Physical and Electrical Properties of ories; Qualification Strategies, which such as Electro migration, Insulators, Microelectronic Materials describes new techniques/test struc- Defects, Testing, Noise, Electrostatic • Reliability-Driven Circuit Design and tures/vehicles for product qualifica- Discharge Damage, Reliability Tools Wafer Processing tion along with best practices to and Modeling, Hot Carriers, and Sur- IRPS affords attendees the oppor- reduce cost and time to market. face Mount Technology. tunity to hear technical presentations The product sessions will also cover The IRPS Workshops enhance the on the latest developments in the Assembly and Packaging (Cu and low- synergy of the Symposium by afford- rapidly changing field of semiconduc- K issues, chip scale integration, stress ing the attendees an opportunity to tor/microelectronic reliability in a modeling) Failure Analysis (evidence meet in informal groups to discuss relaxed professional environment. of new mechanisms, new techniques, key reliability physics topics with the Scheduled activities also allow time case histories), MEMS (reliability of guidance of experienced moderators. for social contact so that attendees new structures sensors, and actuators) Some of the workshop topics are can network with other participants and Circuits (soft error upsets, analog directly coupled to the Tutorial Pro- who share common interests. circuit reliability issues). gram. This allows each attendee to Process issues scheduled to be learn more about a particular topic or Technical Program discussed are Device Dielectrics, field of interest in a Tutorial session The conference is a forum for present- which addresses oxide breakdown during the day on Monday and then ing original work that identifies new mechanisms and models and the exchange ideas with other attendees microelectronic failure or degradation effects of processing on MOS gate having similar interests in an open mechanisms; describes how fabrica- reliability; Transistor Reliability, moderated forum on Wednesday tion processes influence the suscepti- which covers new hot carrier phe- evening. Workshop topics will deal bility of products to particular failure nomena, NBTI, material degradation with electro migration, dielectrics, hot mechanisms; demonstrates new, mechanisms, and impact of alterna- continued on page 6

January 2004 ❍ IEEE Electron Devices Society Newsletter 5 2004 IEEE International Vacuum Electronics Conference (IVEC)(IVEC)

(continued from page 1)

The 2004 conference will open with three plenary talks reviewing Dr. Dan M. Goebel, JPL General Chairman government supported educational Dr. Carol L. Kory Calabazas Creek Research Program Chairperson research programs in the US, Europe Dr. Monica Blank, CPI Local Arrangements and Asia. The plenary session will Dr. John H. Booske, University of Wisconsin Education continue with four invited talks on Dr. James A. Dayton, Jr., Genvac Awards Chair the theme of “High-Frequency Tech- Dr. Yehuda Goren, Teledyne Publicity nology”. The conference will then Dr. Vern Heinen, Northrop Grumman Finance transition into presentations of con- Dr. Baruch Levush, Naval Research Laboratory Plenary Sessions tributed papers on a variety of topics Dr. William L. Menninger Boeing EDD Special Issue Editor related to vacuum electron devices Dr. Richard True, L-3 Communications Finance for the next two and a half days in Dr. David R. Whaley, L-3 Communications Publicity three parallel oral sessions and two Mr. Ralph Nadell, Palisades Conventions Meeting Arrangements poster sessions. Papers will include presentations on a wide range of classic vacuum devices, including Under systems and subsystems, tion, noise, measurement tech- traveling wave tubes, crossed field IVEC is including component parts niques, miniaturization and thermal devices, klystrons, inductive output (guns, collectors etc.), microwave control. tubes, fast wave devices, free elec- power modules, electronic power The IVEC 2004 conference is orga- tron lasers, pulse compression conditioners, power supplies, lin- nized by a Program Committee made devices, high pulsed power devices, earizers, amplifier/antenna coupling, up of representatives of government, plasma filled amplifiers, triodes, device and subsystem integration, industry and university researchers. tetrodes, pentodes and switches. In reliability and life. Under the heading Members of the 2004 program com- the area of vacuum microelectronics, of theory and technologies, IVEC is mittee are listed above. IVEC is seeking papers on seeking papers on computer analysis Dan M. Goebel microwave and millimeter wave and modeling, novel materials, elec- General Chairman devices, displays, sensors, field emit- tron emission, RF and high voltage NASA Jet Propulsion Laboratory ter arrays and microwave devices. breakdown, linearity, intermodula- Pasadena, CA, USA

IEEE International Reliability Physics Symposium (IRPS) (continued from page 5) carriers, and several specialized ses- focused ion beam and SEM sys- Further Information sions covering wafer-level reliability, tems, functional/parametric test The 2004 IRPS will be held at the Hyatt ESD/Latch-up, and micro-electro- equipment, IR imaging/thermal Regency Phoenix Civic Plaza in Phoenix, mechanical systems. analysis, probing systems (includ- Arizona from April 25 to April 30, 2004. ing laser cutters) reliability simula- The hotel is located adjacent to the Equipment Demonstrations tion tools, and wafer-level reliability Phoenix Civic Plaza where the technical A unique aspect of the Symposium assessment systems are also sessions will take place. Social events is the Equipment Demonstration planned. currently being planned are the Monday program held throughout the week. evening reception in the Equipment Manufacturers of state-of-the-art Reliability Year In Review Demonstration area, and the Tuesday analytical and test equipment are The Reliability Year in Review is a evening poster reception. For further on hand to demonstrate their prod- new session added in 2003 that will 2004 IRPS information, contact: Bernie ucts and systems to individuals be offered again in 2004 due to its Pietrucha, IRPS General Chair, Rowan and small groups. Some demon- popularity and innovative format. University, (856) 256-5338, fax (856) 256- strators will analyze attendees’ Reliability experts in selected fields 5241, email:[email protected]. samples by appointment. Equip- will offer their assessment of current Information is also available on the IRPS ment to be demonstrated includes and recent publications in their areas Web Page at: http://www.irps.org/ acoustic imaging, burn-in/device of expertise in a forum that allows stressing, computer-aided naviga- for maximum interaction. The ses- Bernie Pietrucha tion, confocal laser scanning sion is slated for Friday morning, General Chair microscopy, emission microscopy, April 30, and should again attract a Rowan University and ESD testing. Demonstrations of strong turnout. Glassboro, NJ, USA

6 IEEE Electron Devices Society Newsletter ❍ January 2004 CALL FOR NOMINATIONS 2004 Electron Devices Society Graduate Student Fellowship

Description: One year fellowships student’s faculty advisor in support of graduate and graduate trans- awarded to promote, recognize, and the student’s project; travel subsidy cripts/grades. Please provide an support graduate level study and of up to US$3,000 to each recipient to explanation of the grading system if research within the Electron Devices attend the IEDM for presentation of different from the A-F format Society’s field of interest. The field of award plaque. The EDS Newsletter •Two letters of recommendation interest for EDS is all aspects of the will feature articles about the EDS from individuals familiar with the physics, engineering, theory and phe- Graduate Fellows and their work over student’s research and educational nomena of electron and ion devices the course of the next year. credentials such as elemental and compound Eligibility: Candidate must: be an semiconductor devices, organic and IEEE EDS student member at the time Timetable: other emerging materials based of nomination; be pursuing a doctor- • Nomination packages will be due at devices, quantum effect devices, opti- ate degree within the EDS field of the EDS Executive Office no later cal devices, displays and imaging interest on a full-time basis; and con- than May 15, 2004 devices, photovoltaics, solid-state tinue his/her studies at the current • Recipients will be notified by July sensors and actuators, solid-state institution with the same faculty advi- 15, 2004 power devices, high frequency sor for twelve months after receipt of •Monetary awards will be given by devices, micromechanics, tubes and award. Sponsor must be an IEEE EDS August 15, 2004 other vacuum devices. member. Previous award winners are • Formal presentation of the awards The society is concerned with ineligible. will take place at the IEDM Awards research, development, design, and Basis for Judging: Demonstration Ceremony in December 2004. manufacture related to the materials, of his/her significant ability to perform • Nominations packages can be sub- processing, technology, and applica- independent research in the fields of mitted by mail, fax or e-mail, but a tions of such devices, and the scien- electron devices and a proven history hard copy must be received at the tific, technical and other activities of academic excellence. EDS Office. that contribute to the advancement of this field. Nomination Package: Send completed package to: At least one fellowship will be • Nominating letter by an EDS member IEEE Operations Center awarded to students in each of the •Two-page (maximum) statement by EDS Executive Office, EDS Graduate following geographical regions every the student describing his or her Student Fellowship Program year: Americas, Europe/Middle education and research interests 445 Hoes Lane, Piscataway, NJ 08854 East/Africa, Asia & Pacific. and accomplishments USA Prize: US$5,000 to the student; •One-page biographical sketch of http://www.ieee.org/eds/fellowship US$1,000 grant to the student’s the student For more information contact: department; US$1,000 grant to the • One copy of the student’s under- [email protected]

2004 International Conference on Microelectronics (MIEL)

(continued from page 4) which is the leading Serbian manu- atively young, has come to be ence Secretariat, Department of facturer of wide range of electronic called “my alma mater” by many of Microelectronics, Faculty of Electron- products. Situated in the Nisava the highly skilled professionals. The ic Engineering, University of Nis, valley, some 240 kilometers away Faculty of Electronic Engineering, Beogradska 14, 18000 Nis, Serbia & from Belgrade, Nis is one of the one of the organizers of the Confer- Montenegro; Tel.: +381 18 529 325; busiest road and railway junctions ence MIEL 2004, has constantly Fax: +381 18 524 931; E-mail: in this part of Europe. Good road been giving a significant contribu- [email protected] and railway connections put Nis tion to the development of the Uni- The MIEL organizers and commit- within easy striking distance from versity of Nis, as one of its most tee members look forward to seeing Belgrade. Rich in ancient monu- prosperous institutions. you in May 2004. ments that bear witness of turbu- For registration and other infor- Ninoslav Stojadinovic lent periods of its history, Nis is mation, visit the MIEL 2004 Home General Chairman now a burgeoning seat of learning. Page at http://europa.elfak.ni.ac.yu/ University of Nis The University of Nis, although rel- miel/, or contact the MIEL Confer- Serbia & Montenegro

January 2004 ❍ IEEE Electron Devices Society Newsletter 7 Society News 2002 EDS GEORGE E SMITH AWARD

The George E. Smith Award was David Angell (photo not available) Frank Golan, prior established in 2002 to recognize the received a Doctorate in Physics from to working in sili- best paper appearing in a fast turn- State University of New York at con germanium around archival publication of the Albany, concentrating on defects in microelectronics IEEE Electron Devices Society, tar- semiconductor materials. Worked for process integra- geted to IEEE Electron Device IBM in research, development and pro- tion, worked since Letters. This award has been named duction of semiconductor chips. 1998 in photolitho- after the founding editor-in-chief of Current assignment is in Real-time graphy metrology the publication, George E. Smith. Process Monitoring and Control in and chemical mech- Among other selection criteria, an IBM’s 300mm chip manufacturing anical planarization process develop- equally important one, is comprehen- facility at East Fishkill, New York. ment with IBM in Hopewell Junction, sive and fair referencing of prior art. NY. He was previously employed with The first-ever paper winning the Huajie Chen re- Philips Semiconductor (formerly George E. Smith has been selected. ceived his B.S. and Micrus) as an equipment engineer in The winning paper is entitled “Self- M.S. in physics CMP while working on his undergrad- Aligned SiGe NPN Transistors with 285 from Fudan Uni- uate degree in chemical engineering GHz fMAX and 207 GHz fT in a Manu- versity, Shanghai which he received in 1998 from Rens- facturable Technology”. The paper in 1993 and 1996, selaer Polytechnic Institute. appeared in the May 2002 issue of respectively, and Electron Device Letters and the authors Ph. D. in physics David R. Green- are: D. Ahlgren, D. Angell, H. Chen, J. from Carnegie berg is a semicon- Florkey, G. Freeman, F. Golan, D.R. Mellon University, Pittsburgh in 2000. ductor device Greenberg, R. Groves, B. Jagannathan, He is currently a development engi- researcher working S.J. Jeng, J. Johnson, M. Khater, E. neer at IBM Semiconductor Research in advanced RF tech- Mengistu, F. Pagette, J.-S Rieh, C.M. and Development Center, working on nology development Schnabel, K.T. Schonenberg, P. Smith, Si/SiGe materials, SiGe BiCMOS, and and assessment. He K. Stein, A. Stricker, and S. Subbanna. strained Si CMOS. earned a B.S. degree The recipients are awarded a certificate in electrical engi- and a check for $2,500. The 2002 award John Florkey (photo not available) neering at Columbia University under a will be presented at the IEDM on 8 received the B.S. and M.S. degrees in Pulitzer scholarship in 1988 and com- December, 2003 in Washington, D.C. Materials Science at The Ohio State pleted both his M.S. and Ph.D. at M.I.T The following are brief biographies of University, in 1997 and 2001, respec- under Hertz and Intel Foundation fellow- the twenty-one winners. tively. From 1997 to 1999, he worked at ships in 1990 and 1994, respectively. Dr. Seagate Technology Incorporated’s Greenberg joined IBM in 1995. He is an David C. Ahlgren Recording Head Operations in Bloom- author or coauthor of 4 patents and received his BA ington, MN. In 2001, he joined the Sili- over 30 technical papers and is a mem- from DePauw Uni- con Germanium Passives Integration ber of Tau Beta Pi and the Institute of versity, Greencas- Group, IBM Microelectronics. Electrical and Electronics Engineers. tle, IN, in 1973 and PhD in Chem- Greg Freeman re- Robert A. Groves ical Physics from ceived his PhD in received the B.S.E.E The University of EE from Stanford degree from the Michigan, Ann University in 1991, State University of Arbor, MI, in 1979. He joined IBM in and has since New York in 1996. Hopewell Junction, NY in 1979 con- worked at IBM He joined IBM Cor- ducting semiconductor process Microelectronics in poration, Microelec- development. He is currently Senior East Fishkill NY. He tronics Division in Engineering Manager of SiGe currently is a senior East Fishkill, NY in Advanced BiCMOS Technology engineering manager, managing a 1989 as a Development Lab Techni- Development. department with responsibility for high cian. Since 1994, he has worked on Dr. Ahlgren has published over 50 performance SiGe and RFCMOS SiGe technology development, with an technical papers and holds 9 patents device design, RF characterization, emphasis on high frequency modeling in semiconductor device and process and compact modeling. and characterization. His current inter- technology. est is in microwave passive devices on

8 IEEE Electron Devices Society Newsletter ❍ January 2004 silicon (interconnect, capacitors, and bipolar transistor technology for Christopher inductors), particularly integrated spiral high speed applications. Schnabel inductor optimization and modeling. received the B.S. Endeshaw H. and M.S. degrees Basanth Jagan- Mengistu was in electrical engi- nathan obtained born in Addis neering from Case B.Tech. degree Ababa, Ethiopia, Western Reserve from IIT, Kharag- in 1968. He University, Cleve- pur, India and M.S received the B.S. land, Ohio in 1999 and Ph.D from and M.S degrees and 2000, respectively. He joined State University of in Physics from IBM Corporation, Hopewell Junc- New York at Buffa- Addis Ababa Uni- tion, NY, in 2000, where he is work- lo. He is with IBM versity, Addis Ababa, in 1989 and in ing on analog and mixed signal Microelectronics where his current 1995 respectively. He received an technology development. research interests lie in CMOS/BiCMOS M.S degree in Physics from Clem- technology development for rf and son University, Clemson, South Car- Kathryn Schonen- high speed communication systems. olina, USA, in 1997 and received an berg received a M.S degree in Electrical engineering B.S. in Chemical Shwu-Jen Jeng from Brigham Young University Engineering from received the Ph.D. (BYU), Provo, Utah, USA, in 1999. Purdue University degree in Materials In 1994, he was with KFA , Juelich, in 1985. She Science and Engi- Germany. From 1999 to 2001, he joined IBM as a neering from Uni- worked with IBM. process engineer versity of Illinois, Currently, he is with HaloLSI in 1985, working Champaign- Device inc. working as a Test/Device in photomask manufacturing, semi- Urbana. She joined engineer in MONOS flash memory. conductor manufacturing. She IBM in 1986 and received a M.S. in Materials Sci- worked on reactive ion etching dam- François Pagette ence from Columbia University in age, in-situ oxide removal and silicida- received the B.S. 1996. She currently works for the tion of silicon as well as wide band gap degree in Phy- IBM T.J. Watson Research Center emitter. In 1994, she joined IBM, SRDC sics from Uni- in Yorktown Heights, NY as a SiGe and has been working on SiGe BiC- vesité de Sher- process integration engineer. MOS Device and Process Development. brooke, Québec (1992) and the Peter Smith rec– Jeffery Johnson, MS degree in eived the B.S. and after receiving a electrical engi- M.S. degrees in Ph.D. degree in neering from Carleton University, Electrical Engi- electrical engi- Ontario (1995). He occupied vari- neering from the neering from ous BiCMOS process develop- Pennsylvania Carnegie-Mellon ment positions at Nortel Net- State University University in 1987, works, Ontario (1990-1994). He in 1999 and 2001. he joined IBM to was a photolithography engineer He subsequently work on technolo- at Texas Instruments, Dallas, joined the IBM Semiconductor gy CAD. He is currently a Senior (1995-2001). He since joined Research and Development Center Technical Staff Member. IBM’s SiGe integration team. as a Staff Engineer. His work pri- marily involves development, Marwan H. Khater Jae-Sung Rieh design, and characterization of received B.S. received B.S. and high performance SiGe heterojunc- degree in Physics M.S. degrees in tion bipolar transistors. from Middle East electronics engi- Technical Univer- neering from Kenneth J. Stein sity, Turkey, M.S. Seoul National is an Advisory degree in Physics, University, and Engineer in the Sil- with Computer Ph.D. degree in icon Germanium Science minor, electrical engineer- BiCMOS Depart- from Southwest Texas State Univer- ing from the University of Michigan, ment, with respon- sity, and Ph.D. in Electrical Engi- Ann Arbor. Since 1999, he has been sibilities in the neering from the University of with IBM Semiconductor R&D Cen- areas of FEOL, Texas at Dallas. Currently he is ter, Hopewell Junction, NY, where he BEOL and Passives working at IBM Microelectronics on is involved in high-speed SiGe HBT process integration. He has held development of Silicon-Germanium device design and characterization. both management and process

January 2004 ❍ IEEE Electron Devices Society Newsletter 9 integration positions in both ies at the Integrated Systems Laborato- joining IBM Microelectronics, East Research and Microelectronics Divi- ry. He published his thesis, “TCAD of Fishkill, NY, he has held positions sions, and holds a number of patents ESD Protection devices”, in November in base technology development, in bipolar and passive devices. 2000. Andreas Stricker is now with IBM 0.22mm CMOS and SRAM technol- Microelectronics, Burlington, Vermont ogy development from 1989 to Andreas D. Stri- where he joined the SiGe RF device and 1996. Currently he is with the cker was born in modeling group. Microelectronics division, systems Bern, Switzerland, Group Business Unit, as program in February 1965. In Seshadri Sub- manager involved with advanced January 1992, he banna received technology development & integra- received his M.S. the Ph.D. degree tion into systems. degree in applied in electrical engi- physics from the neering and mate- University of Bern rials science from where he worked in the field of LASER the University of Renuka P. Jindal interactions with solid state materials. California, Santa University of Louisiana at Lafayette Andreas Stricker started his Ph.D. stud- Barbara. Since Lafayette, LA, USA

2002 EDS PAUL RAPPAPORT AWARD

Starting 2002, the IEEE Electron Jeffrey Bokor is tions to MOSFET reliability physics. Devices Society has decided to con- Professor of Elec- fer the Paul Rappaport Award to the trical Engineering Jakub Kedzierski best paper published in the IEEE and Computer received his Ph.D. Transactions on Electron Devices Sciences at the degree from the exclusively. In prior years, papers Uni-versity of Cal- University of Cali- from both IEEE Transactions on ifornia at Berke- fornia at Berkeley Electron Devices and IEEE Electron ley, with a joint in 2001. He is cur- Device Letters were considered for appointment at rently a research this award. The recipients are the Lawrence Berkeley Na-tional staff member at awarded a certificate and a check for Laboratory. His current research the IBM Watson $2,500, presented at the Internation- activities include novel techniques Research Center. His research al Electron Devices Meeting (IEDM). for nanofabrication, new devices interests include the investigation for nanoelectronics, and extreme of thin-body devices, and the inte- The winner of the 2002 award is ultraviolet lithography. gration of silicides as gate elec- a paper entitled, “Design and Fabri- trodes. cation of 50-nm Thin-Body p-MOS- Chenming Hu is FETs with a Silicon-Germanium the CTO of TSMC Tsu-Jae King Heterostructure Channel”, which and the TSMC received the Ph.D. appeared in the February, 2002 Distinguished Pro- degree in Electri- issue of Transactions on Electron fessor of Electrical cal Engineering Devices, and was authored by Jef- Engineering and from Stanford frey Bokor, Chenming Hu, Jakub Computer Science University. From Kedzierski, Tsu-Jae King, Vivek at UC Berkeley. 1992 to 1996, she Subramanian, Peiqi Xuan and Yee He received the was a Member of Chia Yeo. The 2002 award will be 2002 IEEE Solid State Circuits Research Staff at presented at the IEDM on 8 Decem- Award for co-developing BSIM, the the Xerox Palo Alto Research Cen- ber, 2003 in Washington, D.C. The industry standard MOSFET model ter. Since 1996, she has been with following are brief biographies of for IC simulation, and the 1997 IEEE the seven winners. Jack Morton Award for contribu- continued on page 14

10 IEEE Electron Devices Society Newsletter ❍ January 2004 Announcement of the EDS Graduate Student Fellowship Winners for 2003

In 2000, the IEEE approved the the University of Central Florida, establishment of the Electron Yu-Long Jiang Orlando, Florida, in 2003. Devices Society Graduate Student was born in 1977 From 1997 to 2001, he was a mem- Fellowship Program. It is designed in Hebei Province, ber of the technical staff at USB Solid- to promote, recognize, and support China. He received State Electronics Laboratory. While graduate level study and research his B.S. degree in there, he conducted research on sub- within the Electron Devices Soci- physics in 1999 micron MOS physics-based modeling ety’s field of interest. The field of and his M.S. and parameter extraction, embedded interest for EDS is all aspects of the degree in micro- systems design, and transducers physics, engineering, theory and electronics and solid-state electronics design for RF applications. He has pub- phenomena of electron and ion in 2002 at Fudan University, Shang- lished ten papers in referred technical devices such as elemental and hai, China. Since September of 2002, journals and conference proceedings, compound semiconductor devices, he has been pursuing his Ph.D. and has worked on the design of inno- organic and other emerging materi- degree in microelectronics & vative electrostatic discharge (ESD) als based devices, quantum effect solid-state electronics at Fudan Uni- protection cells for emerging MEMS devices, optical devices, displays versity. He was invited by Axcelis applications at NIST and for commer- and imaging devices, photo- Technologies Inc. (Massachusetts) to cial communication systems at Intersil voltaics, solid-state sensors and co-develop Ni-salicide technology on Corporation. In 2003, he received the actuators, solid-state power ultra shallow junctions from February IEEE Outstanding Graduate Student devices, high frequency devices, to August of 2003. He was the winner Award from the IEEE Orlando Section. micromechanics, tubes and other of 2002 GE Fund Edison Cup Technol- He is currently a Ph.D student at vacuum devices. ogy Innovation Competition. His cur- the University of Central Florida, The society is concerned with rent research interests include VLSI where his research work focuses on research, development, design and technology, device physics and mod- the development of CAD tools for the manufacture related to the materi- eling. He has authored or co-authored design and simulation of novel ESD als, processing, technology, and nine papers. protection cells for integrated circuits applications of such devices, and the and MEMS-based embedded sensor scientific, technical and other activi- Javier A. Salcedo system-on-chip technologies. ties that contribute to the advance- was born in Méri- ment of this field. da, Venezuela, on Ilesanmi Adesida EDS proudly announces the two August 16, 1977. EDS Educational Activities Chair 2003 EDS Graduate Student Fellow- He received his University of Illinois ship winners. Brief biographies of the Professional Urbana, IL, USA 2003 recipients follow. degree in Electron- Detailed articles about each ics Engineering Stephen Parke Graduate Student Fellowship win- from the Universi- EDS Graduate Student Fellowship ners and his work will appear in dad Simón Bolívar (USB), Caracas, Chair forthcoming issues of the EDS Venezuela, in 1999, and his M.S. Boise State University Newsletter. degree in Electrical Engineering from Boise, ID, USA

Call For IEEE Fellow Nominations

Nominations are being accepted for Directors, members of the IEEE Fel- years of service in any grade of IEEE the 2005 class of IEEE Fellows. Earlier low Committee, IEEE Technical Membership. NOTE: IEEE affiliate this year, the new Electronic Fellow Society/Council Fellow Evaluating membership does not apply. Nomination Process (EFNP) was Committee Chairs, members of IEEE The deadline for receipt of com- launched. This procedure will allow Technical Society/Council Evaluat- plete IEEE Fellow Nominations is 15 nominators, references, and endorsers ing Committees reviewing the nom- March 2004. the capability to submit IEEE Fellow ination, or IEEE Staff. To learn more about the new elec- Nomination forms electronically. A Candidate must be an IEEE tronic nomination process or to Any person, including non-mem- Senior Member at the time the nomi- request a hard copy of the Fellow bers, are eligible to serve as a nomi- nation is submitted, his/her IEEE Nomination Kit, please visit the IEEE nator with the following exceptions: membership dues must be current, Fellow Activities Web Site at members of the IEEE Board of and he/she must have completed five www.ieee.org/fellows.

January 2004 ❍ IEEE Electron Devices Society Newsletter 11 2002 IEEE Transactions on Semiconductor Manufacturing Best Paper Award

The IEEE Transactions on Semiconduc- advanced semiconductor processing. lithography. He has consulted with tor Manufacturing Best Paper Award is industry on numerous projects including presented to the authors of that paper Lay Lay Lee recei- RTP, RTCVD, MOCVD, and thermal considered by the Transactions’ Editori- ved the B.Eng. cycling for semiconductor processing. al Staff and reviewers to be the out- degree (with hon- Dr. Schaper was a co-winner of standing paper published during the ors) in electrical the American Statistics in Chem- year. The Award is based on the accu- engineering in 1998 istry Award. racy, originality, and importance of the and is currently pur- technical concepts, as well as the quali- suing the Ph.D. Weng Khuen Ho ty and readability of the manuscript. degree in electrical received the B.S. The Best Paper is also based on the engineering from degree (with hon- immediate or potential impact that this National University of Singapore ors) in electrical work will have on the overall (NUS), Singapore. She was a Visiting engineering from semiconductor manufacturing industry. Researcher at Stanford University, CA, the National Univer- The Editorial Staff is pleased to in 1999 and 2000. Her research interests sity of Singapore announce that the paper entitled, “Real- include process control and applica- (NUS), Singapore, in time Predictive Control of Photoresist tions of control and signal processing 1987 and the Ph.D. Film Thickness Uniformity,” by Lay Lay in semiconductor manufacturing. degree in control engineering from the Lee, Charles D. Schaper, and Weng Department of Electrical Engineering, Khuen Ho has been recognized as the Charles D. Schap- NUS, in 1992. Currently, he is the director best paper published in the 2002 Trans- er received the B.S. for Intelligent Control in the Faculty of actions. This paper, which appeared in and M.S. degrees Engineering and an Associate Professor the February issue, has been chosen chemical engineer- at the Department of Electrical Engineer- because it demonstrates the gains possi- ing from the Univer- ing in NUS. His research interests ble through development and applica- sity of Connecticut, include process control, knowledge- tion of advanced process control Storrs, and the Ph.D. based adaptive control, and application methods in photoresist processing. By degree in chemical of control and signal processing in the combining arrays of real-time thickness engineering from manufacturing of . He sensors, a multizone hotplate for actua- the University of California, Santa Bar- was a Visiting Scholar in Stanford Uni- tion, and generalized predictive control bara, in 1990. versity, Stanford, CA, in 1998 and 1999. algorithms, real-time control of resist He is a Senior Research Scientist in He has co-authored (with C. C. Huang thickness is achieved and tenfold electrical engineering at Stanford Univer- and T. H. Lee) the book, Adaptive Control improvements in thickness uniformity sity, Stanford, CA. His current research (Instrument Society of America, 1993). are shown. The work vividly shows the interests include molecular methods of improvements possible with real-time pattern generation for nanofabrication Duane S. Boning, T-SM Editor sensing, actuation, and control in applications and temperature control for Cambridge, MA, USA

Congratulations to the EDS Members Recently Elected to IEEE Senior Member Grade!

Angela Antoniu John S. Fairbanks Joseph Nahas Truc Q. Vu Zaiki Awang Lorenzo Faraone Andrzej Pradzynski Robert A. Weller Sandra G. Biedron Yuriy V. Gandel* Erwin J. Prinz Steven E. Wetterling Richard S. Burton Craig A. Gaw Franklin Schellenberg* Richard L. Woodin William Chen John R. Jones Fernando Silveira Ken H. Wong Carlos A. Couto Scotten W. Jones Ooi-Kiang Tan Viktor D. Yeryomka* Ranadeep Dutta Omar M. Manasreh* Deepak Uttamchandani

* = Individual designated EDS as nominating entity

If you have been in professional practice for 10 years, you may be eligible for Senior Membership, the highest grade of membership for which an individual can apply. New senior members receive a wood and bronze plaque and a credit cer- tificate for up to US $25 for a new IEEE society membership. In addition, a letter will be sent to employers, recognizing this new status. For more information on senior member status, visit http://www.ieee.org/membership/grades_cats.html#SENIORMEM To apply for senior member status, fill out an application at http://www.ieee.org/organizations/rab/md/smelev.htm.

12 IEEE Electron Devices Society Newsletter ❍ January 2004 EDS Region 9 Chapters Meeting Summary

The EDS Region 9 (Latin present IEEE “mini- America and the mum income” policy. Caribbean) Chapters Meet- The meeting partici- ing was held on Sunday, 7 pants unanimously September 2003, at the agreed to submit for Blue Tree Conventions immediate approval to Center in Sao Paulo, EDS and IEEE for the Brazil, in conjunction with creation of an annual SBmicro2003 (18th Sym- “Region 9 EDS Out- posium on Microelectron- standing Student Paper ics Technology and Award” to encourage Devices). The meeting was student ED related activ- attended by Cor L. Claeys, ities and to promote Chair of the Regions/Chap- much needed EDS ters Committee; Magali membership growth in Estrada del Cueto, EDS Region 9. If approved, Chair of the R9 Sub-com- EDS Region 9 Chapters Meeting on September 7, 2003, Sao Paulo, Brazil the award is expected mittee for Regions/Chap- to be conferred for the ters; Francisco J. García Sánchez, Magali Estrada del Cueto present- first time in 2004 at one of the EDS Vice-Chair of the R9 Sub-committee ed, on behalf of Ilesanmi Adesida, a sponsored international technical meet- for Regions/Chapters, and CAS/ED/PEL description of the Educational Com- ings regularly held in Region 9. Venezuela Chapter Chair; Rodolfo mittee’s Activities, including the Dis- As part of their goals for the com- Quintero, ED Mexico Chapter Chair; tinguished Lecturer Program. ing year, the members of the R9 Sub- Jacobus W. Swart, ED South Brazil Francisco García Sánchez, on behalf committee for Regions and Chapters Chapter Chair; Miguel Alemán Arce of Region 9 EDS Newsletter Editor (Chair, Vice-Chair, and all R9 Chapter and Antonio Cerdeira, CINVESTAV-IPN Adelmo Ortiz, talked about the impor- Chairs) will undertake actions to iden- Mexico Student Branch Chapter Chair tance of sending timely reports of tify and promote the formation of and Faculty Advisor, respectively; as chapters’ activities to this newsletter. new regular and student chapters in well as several special invited guests, Following the general discussion, strategic regional areas, starting with i.e., Sergio E. Barbin, Chair of the IEEE three active chapters (Mexico, South Argentina, Chile, Colombia, Peru and South Brazil Section, Nilton Morimoto, Brazil, and Venezuela) and one student Puerto Rico. They will also continue of the Escola Politecnica da Universi- branch chapter (CINVESTAV-IPN Mexi- to assist some chapters to become dad de Sao Paulo, who coordinated co) reported their recent activities as well more active, and to promote the more local arrangements for this meeting, as their future plans. Additionally, Hugo organized chapters to further their and Oliver Bonnaud of the Université Martín Fernandez and Carlos Vega, both activities. de Rennes. of Cristóbal Colón University in Vera It was decided to create a Region 9 The meeting was convened to Cruz (Mexico), Edval J. P. Santos of Uni- EDS web site, to be maintained by the bring together R9 Chapter leaders to versidad Federal de Pernambuco, Recife R9 Sub-committee for Regions and discuss a variety of challenging (Brazil), and José Camargo da Costa of Chapters. It will contain up-to-date issues confronting EDS activities in Universidad de Brasilia (Brazil) presented information about ED related activities, the Latin American and Caribbean their ongoing work towards the forth- including announcements, bulletins, Region, its chapters and especially coming establishment of three new EDS meetings, awards, etc, as well as direct their members. Chapters at Vera Cruz, Recife and Brasil- links to all R9 Chapters and other EDS After Cor L. Claeys, the EDS ia, respectively. The Venezuela’s Chapter and IEEE sites of interest. It was also Regions/Chapters Chair, presented the Chair advised that the Fifth IEEE Interna- agreed that each Chapter would con- welcome and opening remarks, the tional Caracas Conference on Devices, tinue and strengthen the efforts to meeting started with a discussion of Circuits and Systems (ICCDCS2004), increase the number of Senior Mem- several general issues of interest, such biannually held with EDS technical co- bers in the Region, as well as the num- as: chapters placement within IEEE’s sponsorship, will take place in November ber of Distinguished Lecturers. regional and societal structure, EDS’ 2004 in the Dominican Republic. The formal meeting was adjourned organizational structure, nature of its One of the critical issues discussed late in the afternoon, after having different activities, procedures, and rela- at the meeting was the effect on R9 covered all the agenda’s items. Later tionships among chapters, committees, EDS membership of the relatively the meeting continued very informal- sections, societies, etc. Cor Claeys went onerous IEEE membership fees, which ly at a local “currascaria” during a on to describe EDS chapter growth his- many members in Region 9 find very good part of the evening. tory by region, and commented on the difficult to afford. In the view of the 2001 peak and the outstanding increase participants, this poses a serious Francisco J. Garcia Sanchez experienced by Regions 8 and 10, problem for the Region that is not University Simon Bolivar specifically the former. being effectively addressed by the Caracas, Venezuela

October 2003 ❍ IEEE Electron Devices Society Newsletter 13 EDS Distinguished Lecturers Participate in the 2nd WIMNACT- Korea

The 2nd Workshop and IEEE tor. IDEC is our national organi- EDS Mini-colloquia on zation arranging foundry inter- NAnometer CMOS Technology face, distributing ECAD, and (WIMNACT 2003) was held on technical education. Then we September 19, 2003 in Dae- visited the Korean National jeon, Korea. The speakers were Nano Fab Building, a 25 million composed of three EDS Distin- USD project sponsored by our guished Lecturers. After the government and industries that welcoming address by Kwyro was hosted by KAIST recently, Lee, EDS Chair of the Asia & and met with Professor Kye. H. Pacific subcommittee for Oh, Director. Then we moved Regions/Chapters (SRC-AP), to MICROS (Micro Information Professor Marcel Profirescu, and Communication Remote from the Technical University Object-oriented Research Cen- of Bucharest, Bucharest, ter), an Engineering Center of Romania, presented a lecture, From Left to Right: J.J. Liou, University of Central Florida, Kwyro Lee, KAIST, Excellence supported by the entitled, “Recent Develop- Professor Mitiko Miura, Hiroshima University and Marcel Profirescu, Technical Korea Science and Engineering ments in Deep Submicron University of Bucharest Foundation, and met with my, Devices-Theory and Experi- Director. ment”. J. J. Liou from the University of local semiconductor manufacturers In summary, the second WIMNACT Central Florida, Orlando, Florida, USA, such as Samsung, Hynix, and Anam, was very successful, following the followed with a talk entitled “ESD protec- including some from the national first one in China in 2002. The audi- tion design and modeling”. After lunch research institute such as the Elec- ences especially liked the EDS mini- at the KAIST faculty club, Professor tronics and Telecommunication colloquium format, because they can Mitiko Miura-Mattausch from Hiroshima Research Institute. They showed deep listen to several lecturers together in University, Japan, gave the last lecture interest in all of the lectures. one place. entitled, “Circuit Simulation Models for After the workshop, we had a tour of Coming MOSFET Generations”. the IDEC (Integrated-circuit Design Edu- Kwyro Lee There were more than 50 atten- cation Center in KAIST), and met with KAIST dees; about half of them were from Professor In Cheol Park, deputy-direc- Taejon, Korea

2002 PAUL RAPPAPORT AWARD continued from page 10 the University of California at versity of California, Berkeley. He Yee-Chia Yeo Berkeley, where she is currently was nominated to Technology received the M.S. Professor of Electrical Engineering Review’s TR100 list (2002), to the and Ph.D degrees and Computer Sciences, and Direc- NAE’s “Frontiers of Engineering” from the Univer- tor of the UC Berkeley Microfabri- (2003), and received an NSF sity of California, cation Laboratory. Her research CAREER award. Berkeley. For the activities are in advanced materi- period 2001-2003, als, devices, and technology for Peiqi Xuan was he worked on integrated circuits and micro- born in Shaoxing, exploratory electromechanical devices. China, in 1973. He devices at TSMC. He is currently received a Bache- an Assistant Professor at the Vivek Subraman- lor's degree in National University of Singapore. ian received his physics from Pek- His research interest relates to PhD from Stan- ing University in nano-transistor technology, includ- ford University in 1996, and received ing strained-channel devices, met- 1998. He co- a Master’s degree al-gates, and advanced dielectrics. founded Matrix in EECS from University of California Semiconductor. at Berkeley in 2000. His Ph.D. Renuka P. Jindal He is currently an research at UC Berkeley involves the University of Louisiana Assistant Profes- fabrication and modeling of sub at Lafayette sor in the EECS Department, Uni- 50nm UTB and DG MOSFETs. Lafayette, LA

14 IEEE Electron Devices Society Newsletter ❍ January 2004 Regional and Chapter News

USA, Canada and devices, be low power, and be integrat- area companies, the US Army Latin America able with molecular memory. Another Research Office, Duke University, and goal is to create a molecular sensor North Carolina State University. At the (Regions 1-6, 7 & 9) that is as good as “nature’s best nose.” meeting, Dr. Dev Palmer was elected The roadmap for Moleapps starts with Chair and Dr. Brett Guenther was moletronics nanomemory and branch- elected Vice-Chair. The ACME winter ED Washington/ es into processors and sensors, before meeting will be in late November, Northern Virginia recombining in moleprocessors and with technical talks on high-speed and - by Michael Hurt systems before evolving into 2-D and high-density electronic packaging by The Washington/Northern Virginia eventually 3-D integration. Dr. Kwok chapter members from DuPont and Chapter of the Electron Devices Soci- predicted that by 2023, there would be Unitive Electronics. We welcome the ety held the first two meetings of its massively parallel nanoprocessing at participation of all interested IEEE Fall Lecture Series on the topic “Nan- 1018-bit ops/sec. This may allow for a members from government, industry, otechnology and its Applications.” On cerebromorphic system encompassing and academia. September 4, Dr. Cliff Lau, from the a nanotechnology brain, nose, tongue, – Murty S. Polavarapu, Editor Office of Secretary of Defense and and ears. President-Elect of the IEEE Nanotech- For more information, please see ED Santa Clara Valley nology Council, gave a presentation www.ewh.ieee.org/r2/no_virginia/eds/. - by Prasad Chaparala entitled “Nanotechnology — An The ED Santa Clara Valley chapter Overview.” Dr. Lau defined nanotech- AP/CPMT/MTT/ED Eastern held a technical seminar on Septem- nology as the research and technical North Carolina ber 9, 2003 on the National Semicon- development of structures, devices, - by Dev Palmer ductor’s campus in Sunnyvale, CA, materials, etc., in the 1 - 100 nm range. The communications industry in eastern with Professor Yuan Taur (University He provided a glimpse of the various North Carolina has seen dramatic growth of California, San Diego) as the guest nanotechnology developments taking in the technology and manufacturing speaker. In his talk “Challenges Near place at research centers, government areas that feed electronic and radio com- the Limit of CMOS Scaling”, Professor agencies, and multidisciplinary univer- munications. In response, the ACME Taur addressed the issues, challenges sity research initiatives. Dr. Lau pre- (AP/CPMT/MTT/ED) Chapter was formed and limits of CMOS scaling technolo- dicted that microelectronics and in May 2003 to disseminate technical gies beyond 100 nm. He projected that nanotechnology will continue to fol- information and advance the profession- CMOS is likely to extend to 20-nm low concurrent paths of revolution al interests of North Carolina scientists channel lengths, with the gate oxide (molecular electronics, spintronics, and engineers involved in research, and power limiting further scaling. quantum dots) and evolution (manu- development, design, and manufacture Using robust first-order analytical facturing, characterization tech- of electromagnetic and optical compo- modeling, Dr. Taur made a compre- niques). He concluded with the nents and systems. The chapter com- hensive review of MOSFET scaling that statements that nanotechnology is prises four IEEE societies chosen for included the classical bulk structure as here to stay, investment in nanotech- their relevance to electronic and radio well as less conventional structures nology continues to increase, the communications systems: EDS for the such as partially- and fully-depleted investment and research is leading to development of transistors and other SOI MOSFETs and double-gate MOS- commercial products, and that nan- electron devices, MTT for microwave FETs. Based on his original analytical otechnology is the frontier for the theory, circuits, and applications, CPMT design theory of double-gate MOSFET, next industrial revolution. for reliable, high-per- Dr. Kwan Kwok gave a presentation formance packaging on the topic of “Nanodevices and systems, and AP for Nanoelectronics” on October 2. He antennas and wave pointed out the rapid breakthroughs by propagation between referencing quotes from 1992-1997 systems. stating the molecular electronics were The ACME Chap- “impossible,” while just a few years ter kickoff meeting later in 2001 nanotechnology was was held on August deemed the “breakthrough of the 27th at the MCNC year.” Dr. Kwok, from the MTO at Research and Devel- DARPA, reviewed DARPA programs on opment Institute in Moleapps and Moletronics. A goal of Research Triangle the Moleapps program is to produce Park NC. There were an Intel 4004 grade chip on an area 10 over 20 attendees um x 10 um. The chip will have 1011 representing six Dr. Kwan Kwok at the ED Washington/N. Virginia Chapter Meeting

January 2004 ❍ IEEE Electron Devices Society Newsletter 15 Dr. Taur projected that this device cro (Sociedade Brasileira de Micro- be held on September 7 to 11 in Porto architecture could in principle extend eletrônica) and SBC (Sociedade de Galinhas, PE, Brazil. This sympo- scaling to 10 nm, but with tremendous Brasileira de Computação), and was sium is organized by two Brazilian sci- fabrication challenges. technically co-sponsored by the IEEE entific societies: SBMicro (Sociedade The opportunities offered by new Electron Devices Society. During this Brasileira de Microeletrônica) and SBC materials such as high-K gate event, a chapter meeting was held and (Sociedade Brasileira de Computação), dielectrics and high-mobility strained four tutorial and invited seminars were and is technically co-sponsored by the silicon were factored into the scaling given by three distinguished lecturers IEEE Electron Devices Society. The projections. from EDS: Antonio Cerdeira, from CIN- SBMicro symposium is a forum dedi- At the end of his talk, the speaker VESTAV, Mexico: “The Integral Func- cated to fabrication and modeling of mentioned on-going research on non- tion Method to Determine the microsystems, integrated circuits and CMOS replacements such as single- Non-linear Harmonic Distortion”; Cor devices, held annually in Brazil. The electron transistors and carbon Claeys, from IMEC, Belgium: “Submi- goal of the symposium is to bring nanotubes, concluding that there is cron Silicon Technologies”, and “Low together researchers in the areas of “no credible candidate at the horizon Temperature Electronics: From Funda- processing, materials, characteriza- that shows the promise to replace mental Physics to Emerging Silicon tion, modeling and TCAD of integrated CMOS ULSI”. Technologies” and Magali Estrada del circuits and MEMS. In conjunction The event was organized by the Cueto, also from CINVESTAV, Mexico: with the SBMicro 2004, an additional chapter’s secretary Dr. Constantin “Dielectrics for Sub micrometric symposium is held at the same place Bulucea, who introduced the speaker Devices”. These seminars were and time, namely the 17th Symposium as “the coauthor of the most convinc- attended by over 50 people, many of on Integrated Circuits and Systems ing book on sub-micron devices” (Fun- them members of the chapter, others Design. This symposium is also orga- damentals of Modern VLSI Devices, by from other regions of Brazil and other nized by the same two local societies. Yuan Taur and Tak H. Ning, Cambridge countries of Latin America and some The two symposia have been held University Press, 1998). Having known from the USA and Europe. Besides together since the year 2000, with a the speaker from his distinguished giving the seminars, the distinguished total attendance of about 300 partici- contributions to the field as well as lecturers attended a chapters meeting pants with presentation of about 100 from a previous IEEE talk, the Santa and had many discussions with the regular papers, in addition to invited Clara community filled the auditorium participants during the four days of and tutorial lectures. The two sym- to its limits. After-seminar discussions the symposium. One of the topics dur- posia together are named by a fantasy extended well into the evening. ing the chapters meeting was about name that changes in accordance to The ED Santa Clara Valley Chapter starting an EDS student chapter at the its location. This year it has been holds technical seminars on every University of Campinas. Students named: Chip in Sampa (São Paulo, second Tuesday of the month. showed great interest about this idea 2003). For next year it has received the – Sunit Tyagi, Editor and will work on it afterwards. name: “Chip on the Reefs”. The events in the previous years were named as: ED South Brazil 2004 Symposium on Chip in the Pampa (Porto Alegre, -by Jacobus W. Swart Microelectronics Technology 2002), Brasília 2001 (Pirenópolis, 2001) The chapter organized a meeting in and Chip in the Jungle (Manaus, conjunction with the 18th Symposium and Devices & 2004 2000). Since the year 2002, The Elec- on Microelectronics Technology and Symposium on Integrated trochemical Society publishes the pro- Devices – SBMicro 2003, held in São Circuits and Systems Design ceedings of the SBMicro Symposia. Paulo, Brazil, September 8 to 11, 2004. -by Jacobus W. Swart The symposia location is Porto de This symposium was organized by The 19th Symposium on Microelec- Galinhas, a wonderful beach just 60 two Brazilian scientific societies: SBMi- tronics Technology and Devices will km south of Recife, the capital of Per-

Professor Yuan Taur addressing the ED Santa Clara Valley Chapter. From left to right: Antonio Cerdeira, Magali Estrada del Cueto and Cor Claeys

16 IEEE Electron Devices Society Newsletter ❍ January 2004 nambuco state, Brazil. This tropical 2002) took place in Aruba. The word example, other IEEE society chapters in paradise contains some of the most “Caracas” appears in its title in Minsk actually stopped functioning due beautiful beaches of Brazil, with warm remembrance of the first of this series to a loss of membership because the green clean waters. When the low tide of conferences (ICCDCS'95), which fee is too high for local members. comes, natural pools, protected by was held in Caracas, Venezuela, on Regardless, the Minsk Chapter has reefs, are formed. December 12-14, 1995. been very active the past year in orga- This international conference offers For more information, please con- nizing various technical activities, such a unique blend of microelectronics tact: Prof. Francisco J. Garcia as, hosting the annual Inter-University and serves as a major conference for Sanchez, E-mail: [email protected], PhD Research forum in Europe. the discussion of interdisciplinary http://pancho.labc.usb.ve/ICCD- research around the world. CS2004. EDS Distinguished Topics of interest include, but are – Adelmo Ortiz-Conde, Editor Lecturer/Chapter Partner Visits not limited to: IC and MEMS process- Czech Republic ing; novel materials and devices; relia- EUROPE, MIDDLE -by James B. Kuo bility; technology CAD; displays; I visited the MTT/AP/ED Czechoslova- thermal effects and models; nanoelec- EAST & AFRICA kia Chapter September 10-12, 2003. tronics; device characterization and (REGION 8) The IEEE Czechoslovakia Section modeling; sensors and actuators; Chair, Prof. Jan Vobecky gave me a package and technology roadmaps; very nice reception to the Czech Tech- packaging; engineering education. EDS Distinguished Lecturer/ nical University in Prague, where I Important deadlines are as fol- Chapter Partner Visits Minsk also gave an EDS DL talk on Chal- lows: Submission: April 19th, 2004; –by Albert Wang lenges of SOI CMOS VLSI. The Czech Notification of Acceptance: June 1st, I visited the ED Minsk chapter on July 7 Republic has a high potential for 2004; Camara-ready: June 21st, and 8, as the Chapter partner and Dis- recruiting EDS student members, 2004. For more information, see tinguished Lecturer. The local ED since its EE student body is over 2000. http://www.sbmicro.org.br/sbmicro Chapter Chair, Prof. Sergei Malyshev I am quite impressed with the and http://www.sbc.org.br/sbcci, and of the National Academy of Sciences semiconductor research activities or contact the program chair, Prof. of Belarus, hosted me. On July 7th, I there. In addition, the Chapter Vice Edval Santos: [email protected] delivered a lecture for the local ED/SSC Chair, Prof. Pavel Hazdra, also members at the Integral, a major explained to me the status of the ED Venezuela regional microelectronics company, on Czech's semiconductor industry and -by Adelmo Ortiz-Conde Advanced ESD Protection for ICs. The its academia. I also visited a local IC The next “IEEE International Caracas seminar was well accepted by the design company in Prague, which is Conference on Devices, Circuits and audience of 40. Further discussion fol- reflecting the booming high-tech Systems” (ICCDCS) will be held in the lowed after the lecture, including industry in the Czech Republic. I was Dominican Republic during the first reviewing some of their recent designs told that after the Czech Republic joins week of November 2004. ICCDCS 2004 related to the technical topics. There EU next year; the semiconductor is the fifth in the series of ICCDCS bian- were mutual interests in future collabo- industry will be even more promising. nual international conferences intended ration on related IC designs. On July – Andrzej Napieralski, Editor to provide a forum for exchanging 8th, I visited the Institute of Electronics recent information, knowledge and of the National Academy of Sciences MTT/ED/AP/CPMT/SSC West experience, and for establishing person- and held an in-depth discussion with Ukraine al and professional contacts among Prof. Malyshev on various issues -by Mykhailo I. Andriychuk engineers, scientists and academicians regarding local chapter activities. In Mr. Richard L. Doyle, IEEE Division VI working in the areas of its coverage. The particular, Prof. Malyshev pointed out Director and past President of the IEEE conference will cover several fields of that the EDS Membership Fee Subsidy Reliability Society, visited the Chapter Electronics Engineering, from basic sci- Program has been entific theory to industrial applications, vital for the local dealing with research, development, chapter to keep the design, technology and applications of member volume electron devices, the theory, analysis, and organize vari- design, and practical implementation of ous activities. How- circuits, and the application to systems ever, concern was and to signal processing. The program raised as to whether will include a plenary session, invited such society sup- talks on selected topics and contributed port would continue oral presentations. in the future, which ICCDCS has been held periodically is really critical to since 1995 at different locations in the the chapters in the Caribbean basin. The previous occur- relatively lower rence of the Conference (ICCDCS income areas. For Professor Albert Wang (center) visits the ED MINSK Chapter

January 2004 ❍ IEEE Electron Devices Society Newsletter 17 James Kuo visits the MTT/AP/ED Czechoslavakia Chapter Mr. Richard L. Doyle (in center) and the West Ukraine Chapter Officers at the business luncheon

between higher education and on September 5-6, 2003. The questions man was Prof. V. Tuchin, and there fundamental science, EDM’2003 of cooperation between the IEEE Relia- were over 100 participants, Internet received financial support from the bility Society and the West Ukraine session). It’s an annual conference in Institute of Semiconductor Physics of Chapter, as well as the Chapter activity optics and electromagnetics. SB RAS (Siberian Branch of Russian and perspectives were discussed. 4. Seventh Chapter Workshop ‘CAD and Numerical Methods in Applied Academy of Sciences). The Vice- MTT/ED/AP/CPMT Saratov- Electrodynamics and Electronics’, director of this Institute, Professor Penza November 27, 2002, SSU (The Chair- Igor Neizvesnty, visited EDM’2003 as an invited lecturer. -by Michael V. Davidovich man was Prof. M. Davidovich, and The volume of the Proceedings of The Chapter’s activity within the period there were over 60 participants 270 pages was prepared for from August 2002 to August 2003 is including 16 IEEE Members). publication in the Technical University, presented here. 5. International School on Microwave Chemnitz, Germany and printed with Due to our efforts, the total number Electronics and Radio Physics a hard-illustrated colorful cover. of IEEE members was increased in (ISMER’2003), January 27 - February 2003. Today we have 29 members 3, 2003 (The Chairman was Prof. D. The scientific directions covered by (including 3 Student Members and 2 Trubetskov, and there were almost EDM’2003 were: simulation of SM) in Saratov and Penza cities. We can 150 participants from the Microwave microsystems and semiconductor note the good potential for new growth centers in Russia and Ukraine). electron devices, modern technologies of IEEE membership in the Saratov The Chapter organized several lec- in control and information transmission, State University (SSU) and Saratov tures and seminars on microwave industrial electronics and instruments State Technical University (SSTU). electronics and antennas and three for medicine and biology. The This year our chapter successfully ExCom meetings. EDM’2003 Organizing Committee notes held 5 scientific events. These events are: the high scientific level of presented 1. International Conference Actual ED Novosibirsk State Technical reports. Problems of Electron Devices Engi- University (NSTU) Student EDM’2003 collected papers from 14 neering (APEDE’2002), SSTU, Saratov, Branch cities and 6 countries. Thus, we can September 21-23, 2002 (The Chair- -by Vladimir A. Kolchuzhin upgrade the status of EDM to man was Dr. A. Zakharov, and there The 4th Siberian Russian Workshop International. We invite all interested were approximately 200 participants and Tutorial EDM’2003 was organized young specialists to submit original including 16 IEEE Members). and successfully held July 1-5, 2003 in and unpublished papers until March 1, 2. ‘Nonlinear Days in Saratov for NSTU Recreation Department ‘Erlagol’. 2004 to the EDM Publishing Chair Mr. Young Scientists’ (NDSYS’2002), The total number of accepted papers Vladimir Kolchuzhin ([email protected] October 2-6, 2002 (The Chairman was was 72; the total number of authors and [email protected]). Prof. D. Trubetskov, Rector of SSU, was 165. Member, IEEE). It’s an annual event The first EDM was held in 2000 due ED Tomsk Student Branch for attracting young scientists and to the great support from the Radio –by Oleg V. Stoukach scholars in nonlinear dynamics and Engineering, Electronics and Physics The traditional meeting of the electronics. Twelve distinguished Faculty and Professor Victor Gridchin. Novosibirsk (NSTU) and Tomsk (TPU) Russian lecturers, approximately 20 Taking into attention his great ED Student branch chapters was held postgraduate students and young investment in the matter of organizing in Novosibirsk on July 15, 2003. The scientists, and 80 students and schol- EDM, Professor Gridchin was internship students from the IEEE ars participated in this event. appointed the General Chair of Student Branch Twente, The 3. Saratov Fall Meeting (SFM’02), EDM’2003. Netherlands were invited. The first part October 4-8, 2002, SSU (The Chair- One of the results of integration of the meeting was coincided with a

18 IEEE Electron Devices Society Newsletter ❍ January 2004 electronics compa- sponsored by the IEEE ED and MTT ny in Bulgaria. The societies; and supported by the Engi- lecture, followed by neering and Physical Science Research general technical Council (EPSRC) and industry includ- discussions, was ing RF Integration Ltd and TDK. well received by an University representation was audience of around wide-ranging, including the University 40. of Bath, Dublin Institute of Technolo- On August 4th, I gy, University of York, UMIST, Univer- visited the ED/SSC sity College Dublin, University of Varna Chapter Limerick, University College Cork, where the Chapter Imperial College, Queen Mary and Chair, Prof. Jordan Westfield College, University of Leeds, Organizing Committee General Chair, Prof. Victor Gridchin, makes a Kolev of the Techni- Dublin City University, University of presentation for participants of EDM’2003 cal University - Var- Birmingham, Ulster University and na, hosted me. A Queen’s University Belfast. meeting of the IEEE Siberia Section. general meeting was held with the offi- The meeting was opened by a wel- The meeting was continued by a cers of the Varna chapter to discuss var- coming address by the chairman, Pro- business luncheon, where problems ious issues, followed by visits to fessor V F Vusco from QUB, followed of membership and activities of the research labs and the local IEEE chapter by an invited talk by Michael. J. IEEE Siberian units were productively facility. Particularly, the IEEE library of McCullagh of RF Integration Inc., who discussed. A positive EDS role in the the Varna Chapter was very impressive, presented a talk, entitled “Optimum formation of our Section is especially which has been serving the local IEEE Radio Solutions for UWB Systems”. In noted. The Netherlands guests told members well. his presentation, Mr McCullagh gave about their branch activity. It was an overview of the latest trends in the decided to continue contacts between MTT/ED/AP/LEO UK&RI development of ultra wideband (UWB) our chapters. –by Terry Oxley radio systems and discussed the – Alexander V. Gridchin, Editor The 8th IEEE High Frequency Post- impact of the emerging UWB standard graduate Student Colloquium (HFPSC) on future radio system requirements. EDS Distiguished Lecturer/ was held at the Queen’s University The invited presentation was followed Chapter Parnter Visits Bulgaria Belfast (QUB) 8-9 September 2003. The by the evening Colloquium dinner. –by Albert Wang 2003 Colloquium, hosted by the High Thirty-two papers were presented I visited the ED/SSC Sofia & Varna chap- Frequency Electronics Group, returned by postgraduate students within the ters on August 1st and 4th, as the Chap- to QUB where it originated in 1995, technical programme which included ter partner and Distinguished Lecturer. thus continuing a very successful one poster and six oral sessions on My visit was a joint mission for both the series of events. For many postgradu- “Wave propagation”, “Dielectric Char- ED and SSC societies. On August 1st, I ate students studying in the fields of acterisation”, “Nonlinear Devices”, visited the Sofia Chapter and was host- RF, microwave, mm-wave and optical “Guided Propagation”, “Passive ed by the local IEEE Section Chair, Prof. technologies, this Colloquium has Devices” and “Antennas”. Important Marin Hristov of the Technical Universi- become the traditional forum for pre- issues of high frequency ty -Sofia. The visit included general dis- senting their initial research results, wireless/microwave/optical research cussions on various IEEE activities and and for informal exchange with peers were addressed including for exam- issues. In the afternoon, I delivered a DL and senior experienced colleagues. ple the topics of electromagnetic lecture on “Advanced ESD protection Jointly sponsored by the IEEE Chapter, wave interaction with the human for ICs” at the Melexis, a major micro- the 2003 event was also technically co- body, non-linear device model

Officers of ED Student branch chapters of Novosibirsk, Tomsk and Twente The IEEE library. From Left to Right are: Prof. Kolev, Prof. Dimova and Prof. at the business luncheon Wang. Prof. Wang delivered a DL lecture to the local members.

January 2004 ❍ IEEE Electron Devices Society Newsletter 19 Prof Ali A Rezazadeh, MTT/ED/AP/LEOS Chapter Chairman (left) and Prof Prof. V. Ramgopal Rao addressing the audience in the 4 day course Vince Vusco, Chair of HFPSC 2003, at the HFPSC 2003 held in Queen's "Elements of Microelectronics". University of Belfast during 8-9 September 2003. enhancement, practical aspects of University of Manchester Institute of The course was oriented towards antenna design, guided waves prop- Science and Technology (UMIST), teachers from engineering colleges agation, and application of novel P.O. Box 88, Manchester M60 1QD, around Bombay. About forty six micro-machining techniques. UK. Tel: +44 (0) 161 200 4708 teachers attended the course along The Best Paper Prize was awarded (Sec.4801). E-Mail: with 19 students from engineering for the paper titled “Measurements to [email protected]. colleges. The course was found highly 320 GHz of Millimetre- – Gady Golan, Editor useful by the participants, and there Wave Waveguide Components Made were many enthusiastic interaction by High Precision and Economic ASIAASIA && PACIFICPACIFIC sessions. Micro-Machining Techniques” by For more information, please con- W.H. Chow, A. Champion and D.P. (REGION(REGION 10)10) tact Prof. MB Patil, Electrical Engineer- Steenson from the University of ing Department, IIT Bombay, Powai, Leeds. The paper discussed novel AP/ED Bombay Chapter Mumbai 400076, India, Email: micro-machining techniques and its –by Prof. M.B. Patil [email protected]. application to fabrication of active and Kartik Raol of Intel Corp. (Oregon) passive millimetre wave components, conducted a lecture series on “MOS ED Malaysia highlighting a range of terahertz transistor modeling” from 28th June –by Burhanuddin Yeop Majlis waveguide components that demon- to 4th July, 2003 at the Indian Institute ED Malaysia has successfully organized strated high dimensional accuracy of Technology, Bombay. The lectures the 2003 IEEE National Symposium on and superior performance. were attended by graduate students Microelectronics (NSM2003) Septem- In conclusion, with over fifty atten- working on MOS devices. The partici- ber 9 - 10, 2003 at Kangar, Perlis, locat- dees, the meeting was considered pants benefited by developing a good ed north of Malaysia near the border of most successful, again providing a understanding of compact models for Thailand. This is the fourth NSM orga- unique forum for research students to MOS transistors. nized by the Chapter in collaboration engage with each other in a friendly Kartik Raol also delivered a semi- with the Institute of Microengineering open environment at a formative time nar on “Performance Metrics and and Nanoelectronics (IMEN), UKM and in their careers. Issues for Interconnections in VLSI” Northern Malaysia University College The 9th IEEE HFPSC will be held at on July 25, 2003. He discussed a of Engineering (KUKUM). This Sympo- UMIST in Manchester, Monday the methodology to design a multilevel sium is sponsored by Silterra Malaysia 6th and Tuesday the 7th of September interconnect architecture. and was opened by the Chief Minister 2004. Contributions are sought from The AP/ED Bombay Chapter co- of Perlis Datuk Seri Shahidan Kasim. postgraduate students who are work- organized a one-day workshop on The scope of the conference covers all ing in fields relating to electromagnet- “Advances in Microelectronics” at aspects of the semiconductor technolo- ism, RF, microwave, mm-wave and Vidyavardhini College of Engineering gy, from materials issues and device optical technologies. For further and Technology, Mumbai on Aug 23. fabrication, photonics technology, IC details please contact the 9th HFPSC The workshop created great curiosity design (RF and VLSI) and testing, man- Chairman Dr Rob Sloan, E-Mail: and interest among engineering stu- ufacturing, and system applications. A [email protected], or the website dents who attended the course. Vari- total of 72 papers were presented in www.ee.umist.ac.uk/mw/. ous aspects of Microelectronics from two concurrent sessions. All papers For further information on the technology to design were covered in were published in the Proceedings of 2004 Chapter technical programme, the workshop. NSM2003. The participants are mainly please contact the Chapter Chairman: The AP/ED Bombay Chapter co- academics, research students from Ali A Rezazadeh, Professor of sponsored a 4 day course on “Ele- local universities and engineers from Microwave Engineering, Dept. of Elec- ments of Microelectronics” conducted semiconductor industries in Malaysia trical Engineering and Electronics, at IIT Bombay on Sept 20, 21, 27, 28. such as Silterra, On Semiconductor,

20 IEEE Electron Devices Society Newsletter ❍ January 2004 Intel, and also Chartered Semiconduc- copper Based Interconnects?” was versity Convention Center July 16-18, tor Singapore. given by Dr. Gan Chee Lip of the 2003. The IEEE Electron Devices Society For more information, please contact Singapore –MIT Alliance on the 21 & ED Kansai Chapter sponsored the Prof. Majlis, Department of Electrical, May 2003 at the Nanyang Techno first international conference on semi- Electronics and System Engineering, logical University, Singapore. conductor devices held in Kansai, Universiti Kebangsaan Malaysia, Tel: (2) A talk entitled “Packaging Materials, Japan. Three distinguished 603 89265861, Fax: 603 89259080, Email: Processes & Structures for Future researchers, Prof. from [email protected]. Devices & Systems” was delivered Shibaura Institute of Technology, Prof. by Dr Charles Baeur of TechLead Shuji Nakamura from the University of REL/CPMT/ED Singapore Corporation on the 9 June 2003 at California, Santa Barbara, USA and Dr. –by Dr. SH Ong the National University of Singapore. Stefan Lai from Intel, made keynote The Chapter canceled the 10th IPFA, (3) Dr. Mahesh Patil of the Indian Insti- speeches. They demonstrated their which was scheduled 7-11 July 2003 tute of Technology, Bombay pre- visions for future electron devices, sol- due to the SARS epidemic, which hit sented a talk on “The Super junction id-state lighting devices and non- the region during that period. However, Power MOS Transistor” on the 16 volatile memory technologies, the papers selected through peer July 2003 at the Nanyang Techno respectively. The presented papers, 50 review, as well as the invited papers logical University. in total and 14 invited, covered nearly and the exchange papers from ESREF (4) Dr. John H. Lau of Agilent Technolo- the entire field of the semiconductor and ISTFA were published as the IPFA gies Inc. presented a talk entitled devices, ranging from Silicon integra- 03 proceedings per the IEEE Book Bro- “Impacts of Lead-Free Solder on tion to III-V or III-N device technologies, ker programme (IEEE catalog number: Wafer-Level Chip Scale Package further extending to the leading edge of 03TH8662C). Looking forward, The (WLCSP)” on the 12 August 2003 at carbon or organic semiconductor Chapter has made all the arrangements the National University of Singapore. devices. Universities made half of the to organize the IPFA 04 in July 2004. (5)A talk entitled “RF passive devices contributions and the other half was This will be organized at Hsinchu, Tai- on Si with excellent performance from industries. The number of the wan along with the EDS Taipei Chapter. close to ideal devices designed by audience was more than 220. It was a Arrangements for EPTC 2003 in Electro-Magnetic simulation up to great opportunity for discussions and December 2003 are in progress. A 100 GHz” was given by Professor exchanges of various viewpoints of the total of 148 papers were selected for Albert Chin of the Dept. of Electronics participants with different backgrounds, oral presentation. Engineering, National Chiao Tung which was the main purpose of holding The EDS AP-SRC approved the pro- University (Taiwan) on the 17 Sep- the meeting in Kansai. The committee posal for the Chapter to organize an tember 2003 at the National Univer- of IMFEDK selected Dr. Judai (Matushi- EDS DL Mini- Colloquium in Singa- sity of Singapore. ta Electric) and Dr. Dang (Renesas pore. The title is the “3rd Workshop For more information, please Technology) for Best Paper Awards, and IEEE Mini-Colloquium on Nanome- contact Dr. SH Ong, Email: Mr. Shibuya (Osaka University) and Mr. ter CMOS Technology” (WIMNACT) Soon.Huat.Ong@ nsc.com. Nishiguchi (Kyoto Institute of Technolo- which was held on 15 October 2003. gy) for the Student Paper Awards. Dr. Apart from all these conferences, ED Kansai Daisuke Ueda, Chair of EDS Kansai the Chapter has organized the follow- - by Hiroyuki Sakai Chapter and chief editor of IMFEDK, ing technical talks: The 2003 International Meeting for honored them with an award of merit (1)A talk entitled “What is Different Future of Electron Devices, Kansai at the end of the meeting. about the Circuit-level Reliability of (2003 IMFEDK) was held at Osaka Uni- ED/Japan - by Naoki Yokoyama The Japan Chapter held the Distin- guished Lecturers Meeting on July 2nd at Tokyo Institute of Technology in Yokohama, Japan. The meeting focused on the recent activities and prospects on electron devices technolo- gy. Six prestigious speakers from EDS and SSC DLs gave presentations on: “Information Technology Revolution by Nanotechnology Breakthrough” by Dr. Naoki Yokoyama (Fujitsu Lab.); “The Future of LSIs Driven by Post-Scaling Technology” by Dr. Masao Fukuma (NEC); “AlGaN/GaN FET Technology for Microwave Power Applications” by Dr. Masaaki Kuzuhara (FED and NEC); “Design of Transmission Line Intercon- nect in Si CMOS ULSI” by Prof. Kazuya Participants for NSM 2003

January 2004 ❍ IEEE Electron Devices Society Newsletter 21 Prof. Leo Esaki, Prof. Shuji Nakamura and Dr. Stefan Lai at the 2003 The ED/SSC Seoul Chapter distinguished lecture meeting on Aug. 27, 2003. IMFEDK Masu (Tokyo Institute of Technology); fornia, Irvine, presented another talk the scope and to meet the needs for “Intense Soft and Hard X-ray Excited entitled, “Microprocessor Development the fast growing semiconductor indus- Photoelectron Spectroscopy Study on Perspective,” at Korea University, Sep. try in the Asia-Pacific region, IPFA now Gate Insulators for ULSI” by Prof. 17, 2003. He addressed advances in calls for international participations. A Takeo Hattori, (Musashi Institute of enabling technology and a perspective preparation meeting for IPFA’04 had Technology); “Current status and future for the future development. The fea- been held in early July via teleconfer- prospect on mixed signal SoC Technol- tures, which enabled development of encing because of the concerns of ogy” by Prof. Akira Matsuzawa modern microprocessors and the guid- SARS at that time. Officers from both (Tokyo Institute of Technology).?The ing principles of modern microproces- chapters as well as key members of the meeting was successful, as there sor architecture, were discussed. As a steering committee joined the meeting. were active discussions on each topic part of annual support of the confer- The IPFA’04 will be organized by with about 80 attendees. In the social ences, the Seoul Chapter will sponsor IEEE ED Taipei Chapter, IEEE Taipei Sec- hours following the DL meeting, most SOC Design conference, formerly IEEK tion, National Chiao Tung University, of the attendees enjoyed continued Circuits and Systems Conference, being and in cooperation with IEEE Reliabili- discussions. held at Coex, Asem Hall, Seoul, Korea, ty/CPMT/ED Singapore Chapter. It will Nov. 5-6, 2003. The Seoul Chapter spon- be held from 5 to 8 July 2004 in ED/SSC Seoul sored $300 for the best paper awards. Hsinchu-Science Based-Industrial Park, - by Taegeun Park The IEEE Seoul Chapter has held a where the two world leading foundries: The IEEE Seoul Chapter is a joint chap- chapter meeting on bimonthly basis. TSMC and UMC, as well as many highly ter of SSCS and EDS. The chapter has We also make an effort on membership developed semiconductor manufactur- actively worked to promote the promotion by opening the membership ing and IC design companies are locat- research activities and to build a strong development desk at local meetings. ed. This meeting has traditionally relationship between the members by –Hisayo S. Momose, Editor featured a paper exchange arrangement organizing and sponsoring confer- with the best paper presentations from ences, inviting distinguished lecturers, 2004 International Symposium the other European reliability confer- and having regular chapter meetings. on the Physical and Failure ences, ESREF and ISTFA. Researchers, In the year 2003, the IEEE Seoul Chap- Analysis of Integrated Circuits engineers and scientist in the area of ter hosted two lectures by distin- (IPFA 2004) device and integrated circuit reliability guished lecturers. Prof. Steve Kang, - by Steve Chung are encouraged to submit papers and University of California, Santa Cruz, After more than one-year of discus- attend this wonderful event. The format who was invited as an IEEE Distin- sions and mutual exchanges of ideas, of the conference includes a one-day guished Lecturer, presented a very the ED Taipei Chapter and workshop, 3 days technical paper pre- interesting paper entitled, “Three High- R/CPMT/ED Singapore Chapter have sentation, and concurrently with a Tech Tenors for the 21st Century,”at reached an agreement to have the worldwide exhibition. The deadline for Korea University, Aug. 27, 2003. In this International Symposium on the paper submission is 10 February 2004. talk, important aspects of information Physical and Failure Analysis of Inte- For further information, please con- technology, nanotechnology, and grated Circuits (IPFA) moved to Tai- tact Prof. Steve S. Chung, Department biotechnology were discussed with wan for 2004. of Electronics Engineering, National emphasis on their synergistic engineer- IPFA is an international confer- Chiao Tung University, 1001 Universi- ing applications. A chapter meeting fol- ence, which has been one of the ty Road, Hsinchu 300, Taiwan. Tel: lowed the distinguished lecture at the major conferences in reliability and +886-3-5731830, Fax: +886-3-5734608, dinner table to give the chapter mem- failure analysis of devices and integrat- E-mail: [email protected]; or bers chances to get together with dis- ed circuits, running for 10 years and visit conference web site: http://- tinguished lecturers and to have close sponsored by the Reliability/CPMT/ED www.ieee.org/ipfa conversations on selected topics. Prof. Singapore Chapter, the IEEE EDS, and Vojin G. Oklobdzija, University of Cali- the IEEE Reliability Society. To extend – Hei Wong, Editor

22 IEEE Electron Devices Society Newsletter ❍ January 2004 EDSEDS MeetingsMeetings CalendarCalendar (As(As ofof 2121 OctoberOctober 2003)2003) The complete EDS Calendar can be found at our web site: http://www.ieee.org/organizations/society/eds/meetings_calendar.html Please visit!

January 1 - 3, 2004, T International Conference gress Center of Ministry of Foreign Affairs, Bratislava, +1 408 573 0200 E-Mail: [email protected] Deadline: on Computers and Devices for Communica- Slovak Republic Contact: Vojtech Nadazdy, 9/25/03 www: http://www.isqed.org tion Location: Hyatt Regency Kolkata, Calcutta Dubravska Cesta 9, Bratislava 45, Slovak Republic (Kolkata), West Bengal, India Contact: Nikhil Das, 845 11 Tel: +421 2 59410542 Fax: +421 2 March 29 - April 2, 2004, T International Scientif- Institute of Radio Physics and Electronics, 92 Acharya 54776085 E-Mail: [email protected] Deadline: ic & Practical Conference of Students, Post P.C. Road, Kolkata, West Bengal, India 700 009 Tel: Not Available www: http://www.asi-net.net Graduates & Young Scientists “Modern Tech- +91 33 2350 9115 ext 54 Fax: +91 33 2351 5828 nique & Technology” Location: Tomsk Polytechnic E-Mail: [email protected] Deadline: 8/15/03 March 7 - 11, 2004, T Nanotechnology University, Tomsk, Russia Contact: Lyudmila Zolnikova, www: http://www.irpel.org/codec/codec04.html Conference and Trade Show Location: Shera- Tomsk Polytechnic University, 30a Lenin Ave, Bldg 4, ton Boston Hotel & Hynes Convention Center, Office 35, Tomsk, Russia 634050 Tel: +7 3822 563 January 5 - 9, 2004, T International Conference Boston, MA, USA Contact: Sarah Wenning, Applied 825 Fax: +7 3822 415 658 E-Mail: [email protected] on VLSI Design Location: Renaissance Hotel, Computational Research Society, 4847 Hopyard Deadline: 2/15/04 www: http://mtt.tpu.ru Mumbai, Bombay, India Contact: Juzer Vasi, Indian Road, Suite 4-381, Pleasanton, CA, USA 94588 Tel: Institute of Technology, Bombay, Dept of Electical +1 925 743 9466 Fax: +1 925 696 6416 E-Mail: April 14 - 15, 2004, T Siberian Conference on Engineering, Powai, Mumbai, India 4000076 Tel: [email protected] Deadline: 9/26/03 www: Electron Devices and Materials Location: +91 22 722545 Fax: +91 22 5723707 E-Mail: http://www.nanotech2004.com TUSCR, 40 Lenin Avenue, Tomsk, 634050, Russia [email protected] Deadline: Not Available www: Contact: Oleg Stoukatch, Tomsk State Univ. of Con- http://vlsi.nj.nec.com March 11 - 12, 2004, T Workshop on Ultimate trol Systems & Radioelec., 40 Lenin Avenue, Tomsk, Integration of Silicon Devices Location: IMEC, Russia 634050 Tel: +7 3822 233077 Fax: +7 January 10 - 12, 2004, T International Sympo- Leuven, Belgium Contact: Kristin De Meyer, Kapel- 3822 223262 E-Mail: [email protected] Deadline: sium on Nanoelectronic Circuits and Giga- dreef 75, Leuven, Belgium 3001 Tel: +32 16 12/10/03 www: http://www.me.tusur.ru/~tieee scale Systems Location: National Lien-Ho Institute 281322 Fax: +32 16 281844 E-Mail: of Technology, Miao-Li, Taiwan Contact: Jui-Lin Lai, [email protected] Deadline: Not Available www: April 25 - 29, 2004, T Science & Technology of National Lien-Ho Institute of Technology, Lien Kung http://www.imec.be/ulis2004 Semiconductor-on-Insulator Structures & 1, Kung-Ching Li,, Maio-Li, Taiwan 360 Tel: +886 Devices in a Harsh Environment Location: 37 381510 Fax: +886 37 362809 E-Mail: March 15 - 16, 2004, T International Work- Sanatorium “Pushcha Ozerna”, Kyiv, Ukraine Con- [email protected] Deadline: Not Available shop on Junction Technology Location: Hotel tact: Yuri Houk, Institute of Semiconductor Physics, www: http://www.isncgs.nlhu.edu.tw Equatorial, Shanghai, China Contact: Guo-Ping Ru, NAS of Ukraine, Prospect Nauky 45, Kyiv, Ukraine Fudan University, Dept of Microelectronics, Shanghai, 03028 Tel: +380 44 265 7022 Fax: +380 44 265 January 26 - 30, 2004, T International Photo- China 200433 Tel: +86 21 6564 3561 Fax: +86 6177 E-Mail: [email protected] Deadline: voltaic Science & Engineering Conference 21-6564 3768 E-Mail: [email protected] Deadline: 1/15/04 www: http://www.lab15.kiev.ua Location: Chulalongkorn University, Bangkok, Thai- Not Available www: http://www.cie-china.org land Contact: Krissanapong Kirtikara, King April 25 - 29, 2004, * IEEE International Relia- Mongkut's University of Technology Thonburi, P.O. Box 51, Ratburana,, Bangkok, Thailand 10140 Tel: March 22 - 25, 2004, @ IEEE International Con- bility Physics Symposium Location: Hyatt +66 2 428 4014 Fax: +66 2 428 4014 E-Mail: ference on Microelectronic Test Structures Regency Phoenix at Civic Plaza, Phoenix, AZ, USA [email protected] Deadline: 11/30/03 www: Location: Awaji Yumebutai International Conference Contact: Bernie Pietrucha, Rowan University, 201 http://www.chula.ac.th/pvsec-14 Center, Hyogo, Japan Contact: Tomoko Hamada, Mullica Hill Road, Glassboro, NJ, USA 08028 Tel: ICMTS 2004 Secretariat, Center for Academic Soci- +1 856 256 5338 Fax: +1 856 256 5241 E-Mail: February 14 - 19, 2004, T IEEE International eties Japan, Osaka, 14th Fl. Senri LC Bldg, 1-4-2 [email protected] Deadline: 10/24/03 www: Solid-State Circuits Conference Location: San Shinsenrihigashi-machi, Toyonaka, Japan 560-0082 http://www.irps.org Francisco Marriott Hotel, San Francisco, CA, USA Tel: +81 6 6873 2301 Fax: +81 6 6873 2300 E- Contact: Akira Kanuma, Toshiba Corporation, 580-1 Mail: [email protected] Deadline: 9/12/03 April 26 - 27, 2004, T IEEE/Sarnoff Symposium Hirikawa-cho, Saiwai-ku, Kawasaki, Kanagawa, www: http://www.ee.ed.ac.uk/ICMTS on Advances in Wired and Wireless Commu- Japan 212-8520 Tel: +81 44 548 2514 Fax: +81 nications Location: Nassau Inn, Princeton, NJ, USA 44 548 8324 E-Mail: [email protected] March 22 - 24, 2004, T IEEE International Sym- Contact: Henry Owen, Sarnoff Corporation, CN4300 Deadline: 9/8/03 www: http://www.isscc.org/isscc posium on Quality Electronic Design Location: 201 Washington Road, Princeton, NJ, USA 08540 Doubletree Hotel, San Jose, CA, USA Contact: Ali Tel: +1 609 734 2751 Fax: +1 609 734 2043 E- February 25 - 27, 2004, T Euroregional Work- Iranmanesh, 26744 Arastradero Road, Los Altos Mail: [email protected] Deadline: 10/30/03 shop on Thin Silicon Devices Location: Con- Hills, CA, USA 94022 Tel: +1 650 868 8844 Fax: www: http://www.sarnoffsymposium.org/

* = Sponsorship or Co-Sponsorship Support @ = Alternates support between ’Sponsorship/Co-Sponsorship’ and ’Technical Co-Sponsorship’ T = Technical Co-Sponsorship Support # = Cooperation Support

January 2004 ❍ IEEE Electron Devices Society Newsletter 23 EDS Distinguished Lecturers Participate in the 3rd WIMNACT-Singapore

The 3rd Workshop and IEEE EDS Mini- NTU staff club with colloquia on NAnometer CMOS Tech- the invited guests nology (WIMNACT-Singapore) was held and Chapter com- on Oct. 15, 2003 in Singapore, orga- mittee members, Dr. nized and sponsored by the IEEE Mitiko Miura-Mat- Rel/CPMT/ED Singapore Chapter, the tausch from Hiroshi- EDS Asia-Pacific Subcommittee of ma University gave Regions/Chapters and the EDS Distin- a talk on “Circuit guished Lecturer Program. The Micro- Simulation Models electronics Division of the School of for Coming MOS- Electrical & Electronic Engineering (EEE) FET Generations,” at Nanyang Technological University following by a talk (NTU) hosted this one-day event, com- on “Nano-CMOS posed of 3 DL’s from Taiwan, Japan, Technology Options: and Hong Kong sent by the EDS and 2 From Traditional to local DL’s and one Chapter committee Futuristic Device member. After the welcome address by Structures” given by the Guest-of-Honor and Head of Micro- Dr. Mansun Chan of From left to right: Xing Zhou (Speaker & Chapter Committee Member), M. K. electronics Division, Dr. Ooi Kiang Tan, Hong Kong Univer- Radhakrishnan (Speaker & Chapter Committee Member), Mitiko Miura- Chapter Vice Chair, Y. C. Ng, delivered sity of Science & Mattausch (Speaker, Hiroshima University, Japan), Mansun Chan (Speaker, an opening address on behalf of the Technology. The Hong Kong University of Science & Technology), Steve Chung (Speaker, Chapter Chair, Dr. Soon Huat Ong, in Workshop ended National Chiao Tung University, Taiwan), Kin Leong Pey (Speaker & Chapter which he also reviewed Chapter activi- with a talk by Dr. Committee Member), Y. C. Ng (Chapter Vice Chair), Chih Hang Tung ties in the past years. This was followed Xing Zhou on “The (IPFA’04 Committee Member) by brief introductions of the Silicon Missing Link to Technology and Computational Nano- Seamless Simulation.” The Chapter tries, and neighboring countries. They Electronics groups in the Microelectron- presented all the speakers with a token showed deep interests in the invited ics Division by the respective Program of appreciation. After an interactive talks and many followed up on inquiries Directors, Dr. Kin Leong Pey and Dr. session with the speakers, the invited for the speakers’ presentation slides. Xing Zhou. guests were given a tour of the Micro The complete information on the 3rd The first talk was given by Dr. Steve Fabrication Lab (MFL) in the School of WIMNACT-Singapore, including all the Chung from National Chiao Tung Uni- EEE, an SGD$25m 6” CMOS slides and snapshots, has been made versity entitled, “Low Voltage/Power teaching/research facility, briefed by available from the following website: and High Speed Flash Memory Tech- the Program Manager, Prof Man Siu http://www.ntu.edu.sg/eee/eee6/conf/WI nology for High Performance and Reli- Tse. The full-day event was ended with MNACT.htm ability,” followed by the talk on “ESD the dinner for the invited DLs hosted in Sub-micron Devices: Issues and by the Chapter. Xing Zhou Challenges” given by Dr. M. K. Rad- In summary, the 3rd WIMNACT-Sin- Nanyang Technological University hakrishnan. The morning session end- gapore was a very successful event. Singapore Singapore ed with a talk by Dr. Kin Leong Pey on The Workshop received an enthusiastic “Ultra shallow Junction Formation for response with 130 pre-registrations and Kin Leong Pey Sub-100nm Technologies Using Pulsed more than 110 attendees, with more Nanyang Technological University Excimer Laser.” After lunch, at the than half of them from the local indus- Singapore