Watchdoglite: Hardware-Accelerated Compiler-Based Pointer Checking

Total Page:16

File Type:pdf, Size:1020Kb

Watchdoglite: Hardware-Accelerated Compiler-Based Pointer Checking WatchdogLite: Hardware-Accelerated Compiler-Based Pointer Checking Santosh Nagarakatte Milo M. K. Martin Steve Zdancewic Rutgers University University of Pennsylvania [email protected] [email protected] [email protected] Abstract Efficiently and comprehensively detecting and protecting against Lack of memory safety in C is the root cause of a multitude of seri- memory safety violations is unsurprisingly a well researched topic ous bugs and security vulnerabilities. Numerous software-only and with numerous proposals over the years [1, 2, 4, 9–14, 27, 29, 31, hardware-based schemes have been proposed to enforce memory 36]. These include both software-only tools [1, 2, 4, 8, 11, 13, safety. Among these approaches, pointer-based checking, which 14, 27, 29, 31] and hardware instantiations [9, 10, 12, 25, 36]. maintains per-pointer metadata in a disjoint metadata space, has Beyond academia, recent tools from industry—such as Google’s been recognized as providing comprehensive memory safety. Soft- Address Sanitizer [40] in the LLVM compiler and Intel’s Pointer ware approaches for pointer-based checking have high performance Checker compiler [15], patent application [35], and recently an- overheads. In contrast, hardware approaches introduce a myriad nounced MPX ISA extensions [19]—illustrate the importance of of hardware structures and widgets to mitigate those performance detecting memory safety violations. overheads. Prior proposals for detecting memory safety violations pro- This paper proposes WatchdogLite, an ISA extension that pro- vide a wide spectrum of protection ranging from partial counter- vides hardware acceleration for a compiler implementation of measures to comprehensive memory safety. These proposals make pointer-based checking. This division of labor between the com- tradeoffs along the dimensions of performance, protection, and piler and the hardware allows for hardware acceleration while us- compatibility with existing applications. Szekeres et al. [43] sur- ing only preexisting architectural registers. By leveraging the com- veyed the entire space of memory safety vulnerabilities and en- piler to identify pointers, perform check elimination, and insert forcement mechanisms and identified pointer-based checking as the the new instructions, this approach attains performance similar to only approach to provide comprehensive and non-probabilistic de- prior hardware-intensive approaches without adding any hardware tection of memory safety vulnerabilities. structures for tracking metadata. Pointer-based checking [2, 10, 12, 15, 27–29, 29, 36, 47] gives every pointer a view of memory that it can legally access by main- Categories and Subject Descriptors C.1 [Computer Systems Or- taining per-pointer metadata. To retain memory layout compatibil- ganization]: Processor Architectures; D.2.5 [Software Engineer- ity, some proposals place this per-pointer metadata in a disjoint- ing]: Testing and Debugging; D.3.4 [Programming Languages]: metadata space [12, 15, 27, 28]. The per-pointer metadata is prop- Processors agated on pointer operations. Conceptually, every pointer derefer- ence is checked using its metadata. General Terms Languages, Performance, Security Comprehensive memory safety requires detecting both spatial (bounds) violations and temporal (dangling pointer or use-after- Keywords memory safety, spatial safety, temporal safety, bounds free) violations. To detect spatial safety violations, base and bounds checking, use-after-free checking metadata is maintained with each pointer. Temporal violations may be detected using unique identifier based checking on memory 1. Introduction accesses [2, 10, 25, 28, 36, 47] or by invalidating the bounds of all pointers to an object when deallocating the object [15, 16, 42] C and C++ are the languages of choice for implementing infras- so that subsequent bounds checks will fail. tructure code and all kinds of low-level software. Such languages Pointer-based checking can be implemented in various parts of remain in common usage both for legacy reasons and because the system stack—via source code rewriting, the compiler, and/or they provide low-level access to underlying hardware, explicit con- in hardware. Recent compiler-based implementations have reduced trol over memory management, and high performance. However, the performance overhead for comprehensive memory safety to a longstanding problem with code written in C/C++ is the lack approximately 2× on average. These overheads are attained by of memory safety: accessing beyond the bounds (spatial safety instrumenting optimized code and using information available to violations) and accessing unallocated/deallocated memory loca- the compiler. Unfortunately, this overhead is likely still too large for tions (temporal safety violations). The lack of memory safety production use. As a consequence, researchers have proposed using causes simple programming errors to become the root cause of hardware to accelerate pointer checking [10, 12, 16, 25, 35], but a multitude of memory corruption bugs and security vulnerabili- these hardware proposals—including Watchdog [25], our own prior ties [7, 37, 38]. proposal—introduce significant hardware complexity and require various hardware structures dedicated to recording metadata state. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed See Section 2 for a comparison of these strategies. for profit or commercial advantage and that copies bear this notice and the full citation This paper proposes WatchdogLite, an ISA extension to accel- on the first page. To copy otherwise, to republish, to post on servers or to redistribute erate pointer-based checking without adding any new hardware for to lists, requires prior specific permission and/or a fee. maintaining metadata state. The proposed instructions accelerate CGO ’14 February 15-19 2014, Orlando, FL, USA the three key memory-safety checking operations: loading and stor- Copyright c 2014 ACM 978-1-4503-2670-4/14/02. $15.00 http://dx.doi.org/10.1145/2544137.2544147 ing metadata, bounds checking, and use-after-free checking. The instructions operate on the ISA’s preexisting architectural registers. (a) Pointer Arithmetic (b) Pointer Load (c) Pointer Store (d) Memory Allocations (f) Temporal Check p = malloc(size); q = p + index; int **p, *q; int **p, *q; tcheck(p_key, p_lock) { p_key = next_key++; // or &p[index] ... ... if (p_key != *(p_lock)) p_lock = allocate_lock(); q_base = p_base; raise exception(); scheck(p, p_base, p_bound); *(p_lock) = p_key; q_bound = p_bound; scheck(p, p_base, p_bound); } tcheck(p_key, p_lock); p_ base = p; q_key = p_key; tcheck(p_key, p_lock); q = *p; p_bound = p != 0 ? p+size: 0; q_lock = p_lock; *p = q; (g) Spatial Check q_base = lookup(p)->base; lookup(p)->base = q_base; scheck(p, p_base, p_bound, size) { q_bound = lookup(p)->bound; (e) Memory Deallocations lookup(p)->bound = q_bound; if (p < p_base || q_key = lookup(p)->key; free(p); lookup(p)->key = q_key; p + size >= p_bound) q_lock = lookup(p)->lock; lookup(p)->lock = q_lock; *(p_lock) = INVALID; raise exception(); deallocate_lock(p_lock); } Figure 1. (a) Pointer metadata propagation with pointer arithmetic, (b) metadata propagation through memory with metadata lookups on loads, (c) metadata lookups with pointer stores, (d) pointer metadata creation on memory allocations, (e) identifier metadata being invalidated on memory deallocations, (f) lock and key checking using identifier metadata, and (g) spatial check performed using bounds metadata. The compiler explicitly inserts these instructions, uses pre- Such vulnerabilities—including buffer overflows and use-after-free existing static optimizations to eliminate many checks, and per- vulnerabilities—are still pervasive but they are not new [37, 43]. forms in-register metadata propagation by copy elimination and Informally, enforcing memory safety has two primary components: standard register allocation. Relying on the compiler to perform preventing spatial violations (out-of-bounds memory accesses and these tasks largely eliminates the need for various previously pro- buffer overflows of all sorts) and preventing temporal safety vio- posed dedicated hardware structures that track and cache metadata. lations (memory accesses to deallocated memory, a.k.a. dangling Experiments based on extensions to our SoftBound+CETS pointer or use-after-free violations). compiler instrumentation show that the performance overhead Pointer-based metadata. In a pointer-based approach, meta- for enforcing comprehensive memory safety is reduced on av- data is maintained with each pointer, providing it a view of the erage from 90% (without hardware acceleration) to 29% (with memory that it can safely access according to the language speci- the new instructions). This overhead is similar to prior hardware fication. This representation permits the creation of out-of-bounds schemes, which use extensive hardware structures to track and pointers and pointers to the internal elements of objects/structs and propagate metadata state, which indicates that the proposed ISA arrays (both of which are allowed in C/C++). Figure 1 illustrates extension is a more pragmatic approach for hardware acceleration the pointer-based metadata propagation and checking abstractly us- of memory safety enforcement than prior hardware-centric propos- ing pseudo C code notation. The metadata—base, bound, lock, and als [12, 25, 35].
Recommended publications
  • Deciding Memory Safety for Single-Pass Heap-Manipulating Programs
    Deciding Memory Safety for Single-Pass Heap-Manipulating Programs UMANG MATHUR, University of Illinois, Urbana-Champaign, USA ADITHYA MURALI, University of Illinois, Urbana-Champaign, USA PAUL KROGMEIER, University of Illinois, Urbana-Champaign, USA P. MADHUSUDAN, University of Illinois, Urbana-Champaign, USA MAHESH VISWANATHAN, University of Illinois, Urbana-Champaign, USA We investigate the decidability of automatic program verification for programs that manipulate heaps, andin particular, decision procedures for proving memory safety for them. We extend recent work that identified a decidable subclass of uninterpreted programs to a class of alias-aware programs that can update maps. We 35 apply this theory to develop verification algorithms for memory safetyÐ determining if a heap-manipulating program that allocates and frees memory locations and manipulates heap pointers does not dereference an unallocated memory location. We show that this problem is decidable when the initial allocated heap forms a forest data-structure and when programs are streaming-coherent, which intuitively restricts programs to make a single pass over a data-structure. Our experimental evaluation on a set of library routines that manipulate forest data-structures shows that common single-pass algorithms on data-structures often fall in the decidable class, and that our decision procedure is efficient in verifying them. CCS Concepts: • Theory of computation → Logic and verification; Automated reasoning. Additional Key Words and Phrases: Memory Safety, Program Verification, Aliasing, Decidability, Uninterpreted Programs, Streaming-Coherence, Forest Data-Structures ACM Reference Format: Umang Mathur, Adithya Murali, Paul Krogmeier, P. Madhusudan, and Mahesh Viswanathan. 2020. Deciding Memory Safety for Single-Pass Heap-Manipulating Programs. Proc. ACM Program.
    [Show full text]
  • Intra-Unikernel Isolation with Intel Memory Protection Keys
    Intra-Unikernel Isolation with Intel Memory Protection Keys Mincheol Sung Pierre Olivier∗ Virginia Tech, USA The University of Manchester, United Kingdom [email protected] [email protected] Stefan Lankes Binoy Ravindran RWTH Aachen University, Germany Virginia Tech, USA [email protected] [email protected] Abstract ACM Reference Format: Mincheol Sung, Pierre Olivier, Stefan Lankes, and Binoy Ravin- Unikernels are minimal, single-purpose virtual machines. dran. 2020. Intra-Unikernel Isolation with Intel Memory Protec- This new operating system model promises numerous bene- tion Keys. In 16th ACM SIGPLAN/SIGOPS International Conference fits within many application domains in terms of lightweight- on Virtual Execution Environments (VEE ’20), March 17, 2020, Lau- ness, performance, and security. Although the isolation be- sanne, Switzerland. ACM, New York, NY, USA, 14 pages. https: tween unikernels is generally recognized as strong, there //doi.org/10.1145/3381052.3381326 is no isolation within a unikernel itself. This is due to the use of a single, unprotected address space, a basic principle 1 Introduction of unikernels that provide their lightweightness and perfor- Unikernels have gained attention in the academic research mance benefits. In this paper, we propose a new design that community, offering multiple benefits in terms of improved brings memory isolation inside a unikernel instance while performance, increased security, reduced costs, etc. As a keeping a single address space. We leverage Intel’s Memory result,
    [Show full text]
  • “Sok: Eternal War in Memory”
    “SoK: Eternal War in Memory” Presented by Mengjia Yan MIT 6.888 Fall 2020 Overview • Discuss the paper “SoK: Eternal War in Memory” with concrete examples • Recent progress on memory safety • With a focus on hardware/architecture 2 Motivation • C/C++ is unsafe • EveryboDy runs C/C++ coDe • They surely have exploitable vulnerabilities 3 Low-level Language Basics (C/C++/Assembly) 0x00..0000 • Programmers have more control + Efficient OS memory - Bugs - Programming productivity TEXT (code) Global/Static • Pointers DATA • Address of variables (uint64): index of memory location where variable is stored Heap • Programmers need to do pointer check, e.g. NULL, out-of-bound, use-after-free Stack 0xFF..FFFF 4 Low-level Language Basics 0x00..0000 TEXT (code) Heap Stack 0xFF..FFFF 5 Low-level Language Basics TEXT (code) stack 6 Attacks Code Injection Attack Example TEXT (code) int func (char *str) { Shell code: char buffer[12]; strncpy(buffer, str, len(str)); PUSH “/bin/sh” CALL system stack return 1; } int main() { …. func (input); … } 8 Code Injection Attack TEXT (code) TEXT (code) stack stack buffer Shell code … … Return addr Return addr 9 Attacks 10 Return-Oriented Programming (ROP) int func (char *str) { TEXT (code) TEXT (code) char buffer[12]; strncpy(buffer, str, len(str)); stack stack return 1; } …. int main() { buffer …. … … func (input); Return addr Return addr … } 11 Attacks 12 HeartBleed Vulnerability • Publicly DiscloseD in April 2014 • Bug in the OpenSSL cryptographic software library heartbeat extension • Missing a bound check 13 Attacks
    [Show full text]
  • MPTEE: Bringing Flexible and Efficient Memory Protection to Intel
    MPTEE: Bringing Flexible and Efficient Memory Protection to Intel SGX Wenjia Zhao Kangjie Lu* Yong Qi* Saiyu Qi Xi’an Jiaotong University University of Minnesota Xi’an Jiaotong University Xidian University University of Minnesota Abstract code, emerge in today’s market. In particular, Intel has pro- Intel Software Guard eXtensions (SGX), a hardware-based vided SGX in its commodity processors, which supports a se- Trusted Execution Environment (TEE), has become a promis- cure region, namely enclave, to protect the internally loaded ing solution to stopping critical threats such as insider attacks code and data. Given its important and practical protection, and remote exploits. SGX has recently drawn extensive re- SGX has been extensively studied and used in practice. For search in two directions—using it to protect the confidentiality example, SCONE [1] uses it to effectively enhance the secu- and integrity of sensitive data, and protecting itself from at- rity of containers with low overhead. JITGuard [17] leverages tacks. Both the applications and defense mechanisms of SGX it to protect the security-critical just-in-time compiler oper- have a fundamental need—flexible memory protection that ations. SGXCrypter[49] utilizes it to securely unpack and updates memory-page permissions dynamically and enforces execute Windows binaries. There are many other useful appli- the least-privilege principle. Unfortunately, SGX does not pro- cations [35], [34], [31],[6], which confirm the practical and vide such a memory-protection mechanism due to the lack of promising applications of SGX. hardware support and the untrustedness of operating systems. Another line of research is to protect SGX itself from at- This paper proposes MPTEE, a memory-protection mech- tacks.
    [Show full text]
  • Intel® Xeon® E3-1200 V5 Processor Family
    Intel® Xeon® E3-1200 v5 Processor Family Specification Update May 2020 Revision 033 Reference Number: 333133-033US Intel technologies’ features and benefits depend on system configuration and may require enabled hardware, software or service activation. Learn more at Intel.com, or from the OEM or retailer. No computer system can be absolutely secure. Intel does not assume any liability for lost or stolen data or systems or any damages resulting from such losses. You may not use or facilitate the use of this document in connection with any infringement or other legal analysis concerning Intel products described herein. You agree to grant Intel a non-exclusive, royalty-free license to any patent claim thereafter drafted which includes subject matter disclosed herein. No license (express or implied, by estoppel or otherwise) to any intellectual property rights is granted by this document. The products described may contain design defects or errors known as errata which may cause the product to deviate from published specifications. Current characterized errata are available on request. Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade. Intel® Turbo Boost Technology requires a PC with a processor with Intel Turbo Boost Technology capability. Intel Turbo Boost Technology performance varies depending on hardware, software and overall system configuration. Check with your PC manufacturer on whether your system delivers Intel Turbo Boost Technology. For more information, see http://www.intel.com/ content/www/us/en/architecture-and-technology/turbo-boost/turbo-boost-technology.html Copies of documents which have an order number and are referenced in this document may be obtained by calling 1-800-548- 4725 or by visiting www.intel.com/design/literature.htm.
    [Show full text]
  • Sok: Hardware Security Support for Trustworthy Execution
    SoK: Hardware Security Support for Trustworthy Execution Lianying Zhao1, He Shuang2, Shengjie Xu2, Wei Huang2, Rongzhen Cui2, Pushkar Bettadpur2, and David Lie2 1Carleton Universityz, Ottawa, ON, Canada 2University of Toronto, Toronto, ON, Canada Abstract—In recent years, there have emerged many new hard- contribute to lowering power consumption, which is critical ware mechanisms for improving the security of our computer for resource-constrained devices. systems. Hardware offers many advantages over pure software Furthermore, hardware is the Root of Trust (RoT) [48], as approaches: immutability of mechanisms to software attacks, better execution and power efficiency and a smaller interface it bridges the physical world (where human users reside) and allowing it to better maintain secrets. This has given birth to the digital world (where tasks run as software). To securely a plethora of hardware mechanisms providing trusted execution perform a task or store a secret, the user trusts at least part of environments (TEEs), support for integrity checking and memory the computer hardware. safety and widespread uses of hardware roots of trust. Dedicated hardware security support has seen its prolif- In this paper, we systematize these approaches through the lens eration since the early days of computers. It can take a of abstraction. Abstraction is key to computing systems, and the interface between hardware and software contains many abstrac- straightforward form as discrete components to assist the tions. We find that these abstractions, when poorly designed, can CPU, ranging from the industrial-grade tamper-responding both obscure information that is needed for security enforcement, IBM Cryptocards (e.g., 4758 [37]), Apple’s proprietary secure as well as reveal information that needs to be kept secret, leading enclave processor (SEP [84]) for consumer electronics, to the to vulnerabilities.
    [Show full text]
  • Operating System Support for Run-Time Security with a Trusted Execution Environment
    Operating System Support for Run-Time Security with a Trusted Execution Environment - Usage Control and Trusted Storage for Linux-based Systems - by Javier Gonz´alez Ph.D Thesis IT University of Copenhagen Advisor: Philippe Bonnet Submitted: January 31, 2015 Contents Preface2 1 Introduction4 1.1 Context.......................................4 1.2 Problem.......................................6 1.3 Approach......................................7 1.4 Contribution....................................9 1.5 Thesis Structure.................................. 10 I State of the Art 12 2 Trusted Execution Environments 14 2.1 Smart Cards.................................... 15 2.1.1 Secure Element............................... 17 2.2 Trusted Platform Module (TPM)......................... 17 2.3 Intel Security Extensions.............................. 20 2.3.1 Intel TXT.................................. 20 2.3.2 Intel SGX.................................. 21 2.4 ARM TrustZone.................................. 23 2.5 Other Techniques.................................. 26 2.5.1 Hardware Replication........................... 26 2.5.2 Hardware Virtualization.......................... 27 2.5.3 Only Software............................... 27 2.6 Discussion...................................... 27 3 Run-Time Security 30 3.1 Access and Usage Control............................. 30 3.2 Data Protection................................... 33 3.3 Reference Monitors................................. 36 3.3.1 Policy Enforcement............................. 36 3.3.2
    [Show full text]
  • ERIM: Secure, Efficient In-Process Isolation with Protection Keys (MPK)
    ERIM: Secure, Efficient In-process Isolation with Protection Keys (MPK) AnjoVahldiek-Oberwagner EslamElnikety NunoO.Duarte Michael Sammler Peter Druschel Deepak Garg Max Planck Institute for Software Systems (MPI-SWS), Saarland Informatics Campus Abstract prevent control-flow hijacks [30], which could circumvent Isolating sensitive state and data can increase the security the bounds checks. On x86-64, pointer masking-based SFI and robustness of many applications. Examples include pro- techniques like Native Client [42] incur overheads of up to tecting cryptographic keys against exploits like OpenSSL’s 42% on the execution of untrusted code [30]. Even with Heartbleed bug or protecting a language runtime from na- hardware-supported bounds checks, like those supported by tive libraries written in unsafe languages. When runtime the IntelMPX ISA extension[26], the overheadis up to 30%, references across isolation boundaries occur relatively in- as shown in by Koning et al. [30] and later in Section 6.5. frequently, then conventional page-based hardware isola- Another approach is to use hardware page protection for tion can be used, because the cost of kernel- or hypervisor- memory isolation [9, 10, 13, 32, 33, 34]. Here, access checks mediated domain switching is tolerable. However, some are performed in hardware as part of the address translation applications, such as the isolation of cryptographic session with no additional overhead on execution within a compo- keys in network-facing services, require very frequent do- nent. However, transferring control between components main switching. In such applications, the overheadof kernel- requires a switch to kernel or hypervisor mode in order to or hypervisor-mediated domain switching is prohibitive.
    [Show full text]
  • Securing Intel Sgx Against Side-Channel Attacks Via Load-Time Synthesis
    SECURING INTEL SGX AGAINST SIDE-CHANNEL ATTACKS VIA LOAD-TIME SYNTHESIS A Dissertation Presented to The Academic Faculty By Ming-Wei Shih In Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy in the School of Georgia Institute of Technology Georgia Institute of Technology December 2019 Copyright © Ming-Wei Shih 2019 SECURING INTEL SGX AGAINST SIDE-CHANNEL ATTACKS VIA LOAD-TIME SYNTHESIS Approved by: Dr. Taesoo Kim, Advisor Dr. Michael Steiner School of Computer Science Security and Privacy Research Georgia Institute of Technology Group Intel Labs Dr. Wenke Lee School of Computer Science Dr. Brendan Saltaformaggio Georgia Institute of Technology School of Computer Science Georgia Institute of Technology Dr. Marcus Peinado Cloud and Infrastructure Security Date Approved: Octobor 23, 2019 Group Microsoft Research To my dear wife, Tiffany, and my family. ACKNOWLEDGEMENTS Foremost, I would like to express my sincere gratitude to my advisor Dr. Taesoo Kim for all the support and guidance he provided to me throughout my Ph.D. study. He has taught me how to conduct research in system security since my first year—when I literally know nothing about how to do so. His solid technical background and logical, critical thinking have always inspired me. I would also like to thank Dr. Marcus Peinado for always providing constructive comments when we collaborated on T-SGX and SGX-Armor. I have learned a lot from working with him. Besides, I would like to thank the rest of my thesis committee: Dr. Wenke Lee, Dr. Michael Steiner, and Dr. Brendan Saltaformaggio for their insightful comments that helped me improve this thesis.
    [Show full text]
  • Lessons Learned from Porting Helenos to RISC-V
    Lessons Learned from Porting HelenOS to RISC-V Martin Děcký [email protected] February 2019 Who Am I Passionate programmer and operating systems enthusiast With a specific inclination towards multiserver microkernels HelenOS developer since 2004 Research Scientist from 2006 to 2018 Charles University (Prague), Distributed Systems Research Group Senior Research Engineer since 2017 Huawei Technologies (Munich), German Research Center, Central Software Institute, OS Kernel Lab Martin Děcký, FOSDEM, February 2nd 2019 Lessons Learned from Porting HelenOS to RISC-V 2 HelenOS in a Nutshell open source general-purpose multiplatform microkernel multiserver operating system designed and implemented from scratch Martin Děcký, FOSDEM, February 2nd 2019 Lessons Learned from Porting HelenOS to RISC-V 4 HelenOS in a Nutshell open source general-purpose multiplatform microkernel multiserver operating system designed and implemented from scratch Custom microkernel Custom user space http://www.helenos.org Martin Děcký, FOSDEM, February 2nd 2019 Lessons Learned from Porting HelenOS to RISC-V 5 HelenOS in a Nutshell open source general-purpose multiplatform microkernel multiserver operating system designed and implemented from scratch 3-clause BSD permissive license https://github.com/HelenOS Martin Děcký, FOSDEM, February 2nd 2019 Lessons Learned from Porting HelenOS to RISC-V 6 HelenOS in a Nutshell open source general-purpose multiplatform microkernel multiserver operating system designed and implemented from scratch Breath-first rather than depth-first
    [Show full text]
  • Memory Corruption Mitigation Via Hardening and Testing
    Memory corruption mitigation via hardening and testing A Dissertation presented by László Szekeres to The Graduate School in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy in Computer Science Stony Brook University May 2017 Copyright by László Szekeres 2017 Stony Brook University The Graduate School László Szekeres We, the dissertation committe for the above candidate for the Doctor of Philosophy degree, hereby recommend acceptance of this dissertation Dr. R. Sekar – Dissertation Advisor Professor, Department of Computer Science Dr. Scott Stoller – Chairperson of Defense Professor, Department of Computer Science Dr. Long Lu – Committee Member Assistant Professor, Department of Computer Science Dr. Franjo Ivančić – External Committee Member Staff Software Engineer, Google Adjunct Assistant Professor, Columbia University This dissertation is accepted by the Graduate School Charles Taber Dean of the Graduate School ii Abstract of the Dissertation Memory corruption mitigation via hardening and testing by László Szekeres Doctor of Philosophy in Computer Science Stony Brook University 2017 Despite decades of research, memory corruption vulnerabilities continue to be at the fore- front of today’s security threats. There are two main avenues for automating defenses against these vulnerabilities: (a) develop automated analysis/testing techniques to find these bugs, or (b) develop software transformations that block their exploitation, or at least make them more difficult (hardening). This dissertation explores both of these avenues. The thesis begins with a general model of memory corruption attacks and a systematic analysis of previous hardening techniques. We show that there none of the existing solutions protect against control-flow hijacks in a both effective and efficient manner.
    [Show full text]
  • Efficient Fault Tolerance Using Intel MPX And
    Efficient Fault Tolerance using Intel MPX and TSX Oleksii Oleksenko*, Dmitrii Kuvaiskii*, Pramod Bhatotia*, Christof Fetzer*, Pascal Felber** * Technische Universitat¨ Dresden, Germany ** University of Neuchatel,ˆ Switzerland Abstract—Hardware faults can cause data corruptions during Memory Memory computation, and they are especially harmful if these corruptions happen in data pointers. Existing solutions, however, incur high performance overheads, which is unacceptable for compute- out-of-bound access intensive applications. In this work, we present an efficient fault- tolerance approach against hardware faults by exploiting the new object bounds object bounds extensions to the x86 architecture. In particular, we propose that Intel MPX can be effectively used to detect faults in data pointers, while Intel TSX can provide roll-back recovery against these corruptions. Our preliminary evaluation supports this hypothesis, and we estimate the average overhead to be roughly around 50%. pointer corrupted pointer I. INTRODUCTION Critical components of the software stack such as operating (a) (b) systems, file systems, and databases are written in a low- level language (primarily, in C/C++) for improved performance Fig. 1: The concept of fault detection using MPX: (a) correct state, (b) a fault in pointer causes object bounds violation. and flexibility in memory management. However, the normal program flow can be arbitrarily corrupted by bit-flips in CPU protection and hardware transactional memory. In particu- or RAM during run-time. The resulting corruptions can lead lar, our approach is based on the new generations of Intel to catastrophic consequences; especially, if the corruption architecture—starting Skylake—with respective support for happens in data pointers—as they can cause the loss of the memory protection via MPX [4] and transactional memory whole data structure [1].
    [Show full text]