Design Enablement and Design-Centric Assessment of Future Semiconductor Technologies

Total Page:16

File Type:pdf, Size:1020Kb

Design Enablement and Design-Centric Assessment of Future Semiconductor Technologies University of California Los Angeles Design Enablement and Design-Centric Assessment of Future Semiconductor Technologies A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of Philosophy in Electrical Engineering by Rani Abou Ghaida 2012 c Copyright by Rani Abou Ghaida 2012 Abstract of the Dissertation Design Enablement and Design-Centric Assessment of Future Semiconductor Technologies by Rani Abou Ghaida Doctor of Philosophy in Electrical Engineering University of California, Los Angeles, 2012 Professor Puneet Gupta, Chair The semiconductor industry is likely to see several radical changes in the manufac- turing, device and interconnect technologies in the next few years and decades. One of the most favorable options of manufacturing technologies is multiple-patterning lithography. This novel technology has serious implications on design, however, and it will require an enabling design to see any adoption. This dissertation contributes to the design enablement of multiple-patterning technology. We propose a general methodology for the automated adaptation of layout to multiple-patterning masking the complexity in dealing with its manufac- turing constraints. We also study the impact of this technology on design and show the benefits of bringing the design perspective into making manufacturing-process decisions. Lastly, we propose a novel technique for DP that reduces cost and improve overlay/Critical-Dimension (CD) control in multiple-patterning. Many technology choices are presented to achieve scaling to every next node and early technology assessment, before the actual development of technologies, has be- come more necessary than ever as a means to ensure faster adoption and manageable technology/design development costs. Technology assessment is currently a highly unsystematic procedure that relies on small-scale experiments and manufacturing tests and much on speculations based on technologists/designers experience with previous technology generations. ii This dissertation also addresses the problem of increasing complexity in making technological decisions. It aims at the development of a computation infrastructure for the systematic and early assessment of technologies and their impact on circuit design. The infrastructure is the first of its kind and is expected to have a lasting impact on technology development. The infrastructure allows for true exploration of design and technology choices, thereby redirecting research and development ef- forts toward options that are more likely to eventually see adoption. Finally, the infrastructure is applied to evaluate multiple-patterning process decisions and study their implications on design. iii The dissertation of Rani Abou Ghaida is approved. Jingsheng Jason Cong Lei He Dejan Markovic Puneet Gupta, Committee Chair University of California, Los Angeles 2012 iv To Said, Nabila, Houssam, Rania, and Hikmat . v Table of Contents 1 Introduction ::::::::::::::::::::::::::::::::: 1 1.1 Scaling in the Sub-wavelength Lithography Regime . .2 1.1.1 Multiple-Patterning Lithography . .3 1.2 Design/Manufacturing Interactions . .7 1.2.1 Design Rules . .7 1.2.2 Design Enablement . .7 1.3 Problem Statement . .9 1.4 Objective and Scope of this Thesis . 12 I Design Enablement of Multiple-Patterning Technology 14 2 Layout Decomposition and Legalization for Multiple-Patterning Technology ::::::::::::::::::::::::::::::::::: 15 2.1 DP Coloring . 17 2.1.1 Prior Art in DP Coloring . 18 2.1.2 Overview of Our DP Coloring Approach . 20 2.1.3 Multiple Spacing-Rules Projection . 21 2.1.4 Coloring Objectives . 21 2.1.5 Implementation Details . 23 2.1.6 Stitches vs. Conflicts and Special Cases . 27 2.1.7 Coloring Results . 28 2.2 TP Coloring . 32 2.2.1 Prior Art in TP Coloring . 34 2.2.2 Overview of Our Approach . 35 vi 2.2.3 Description of the Proposed Methodology for TP Coloring . 36 2.2.4 Experimental Results . 39 2.3 Layout Legalization for MP . 45 2.3.1 Prior Art in DP Conflict-Removal . 45 2.3.2 Our Approach { Legalization with Minimum Layout Pertur- bation . 47 2.3.3 Layout Simplification for More Efficient DP Conflict Removal 50 2.3.4 DP-Compatible Design . 54 2.3.5 Experimental Setup and Results . 56 3 Overlay Impact for Design in Metal Double-Patterning ::::: 62 3.1 Electrical Impact of Within-Layer Overlay . 65 3.1.1 Overlay Modeling . 65 3.1.2 Capacitance Model . 66 3.1.3 Electrical Impact in Positive DP . 66 3.1.4 Electrical Impact in Negative DP . 68 3.2 Experimental Methodology and Results . 69 3.2.1 Experimental Setup . 69 3.2.2 Evaluation Methodology . 72 3.2.3 Results . 72 3.3 Observations and Implications for Design . 73 3.3.1 Results Analysis and Relative Importance of Overlay Sources . 73 3.3.2 Effects of Design Parameters . 75 3.3.3 Expected Worst-Case Overlay Impact in Critical Path . 77 3.3.4 Overlay Impact on Crosstalk Noise . 82 3.3.5 Estimation of Overlay Requirement . 83 vii 3.4 Exploring Processing Options . 84 4 Single-Mask Double-Patterning Lithography :::::::::::: 88 4.1 Shift-Trim DP Overview and Layout Restrictions . 91 4.1.1 Manufacturing Process . 91 4.1.2 STDP Challenges and Downsides . 93 4.1.3 Layout Restrictions at Poly-line Layer . 93 4.1.4 Layout Restrictions at Contacts Layer . 96 4.2 STDP Design Implementation . 98 4.2.1 Limitation on Pitch Relaxation of Double Patterning . 98 4.2.2 Poly-line STDP Standard-Cell Library and Mask Layout Gen- eration . 99 4.2.3 Poly-line Plus Contacts STDP Standard-Cell Library and Mask Layout Generation . 106 4.3 STDP Benefits . 110 4.3.1 Overlay and Throughput Benefits . 110 4.3.2 Alleviating CD Bimodality Problem . 111 4.3.3 Comparison with Popular Double-Patterning Technologies . 112 II Design-Centric Assessment of Technology 115 5 A Framework for Early Co-Evaluation of Design Rules, Technology Choices, and Layout Methodologies :::::::::::::::::::: 116 5.1 Area Estimation . 120 5.1.1 Layout Topology Generation . 120 5.1.2 Tweaking Pairing . 124 5.1.3 Routing Estimation . 125 viii 5.1.4 Congestion Estimation . 128 5.1.5 Area Increase Due to Congestion . 131 5.1.6 Runtime and Validation of Area Estimation . 134 5.2 Manufacturability Evaluation . 135 5.3 Variability Evaluation . 137 5.4 Experimental Setup and Results . 140 5.4.1 Testing Setup . 140 5.4.2 Evaluation of Poly-Patterning Restrictions . 142 5.4.3 Evaluation of Layout Styles . 143 5.4.4 Assessment of Technologies and Wiring Schemes . 146 5.4.5 DR Comparison of Different Processes . 152 5.4.6 DR Exploration . 152 6 Exploration of Design Rules for Multiple-Patterning Technologies156 6.1 A Methodology for the Early Exploration of Design Rules for Multiple- Patterning Technologies . 157 6.1.1 Probabilistic Layout and Congestion Estimation . 159 6.1.2 DP Conflict Prediction Using Machine Learning . 166 6.1.3 DP Design-Rule Exploration . 171 6.2 A Methodology for Exploring the Interaction Between Design Rules and Overlay Control . 176 6.2.1 Design Rules and Overlay Interaction . 178 6.2.2 Overlay and Yield Modeling . 179 6.2.3 Evaluation of Rules Impact on Design . 180 6.2.4 Experimental Results . 181 7 Conclusions and Future Research ::::::::::::::::::: 187 ix 7.1 Research Contributions . 187 7.2 Future Research . 189 References :::::::::::::::::::::::::::::::::::: 192 x List of Figures 1.1 Abrupt poly line-ends formed using the trim exposure of a DP process at 45nm technology node (reprinted from [ACC08]). .4 1.2 Example of pitch-split DP, where dark-colored features were formed with one exposure and light-colored features were formed with a sec- ond exposure (reprinted from [Mac08]). .4 1.3 Process flows for the different approaches of double-patterning (reprinted from [ITRa]). .5 1.4 Examples of a LELE/LLE forbidden pattern (a) and a SADP for- bidden pattern (b). Smin denotes the minimum spacing of single- patterning and mandrel denotes layout features that do not appear on the mask of the first exposure. .6 1.5 Example of a regular fabric, which implements the function !(AB+C) (reprinted from [LPH09]). .8 1.6 Possible technology choices for the scaling to future technology gen- erations. 10 2.1 The flow for our proposed method to achieve MP-enabled layout design. 17 2.2 Example of a layout with odd cycle in its conflict graph (a) that was broken by introducing a stitch (b). 18 2.3 Illustration of the drawbacks of segmenting the layout into rectangles, which is performed in prior art of DP coloring and conflict removal. 19 2.4 DR-dependent projection to identify violating parts and stitch loca- tions. Violating parts are the blue features and non-violating parts are the clear features. 20 xi 2.5 Odd cycle coloring can affect the efficiency of conflict removal. In (a), the conflict is on M1 between shapes A and B and can only be fixed if the gates are spaced apart and area is increased; in (b), the conflict is on M1 between shapes B and C and can be fixed by moving C in the direction of the arrow without increasing area. 22 2.6 An illustrating example showing each step of the coloring process for an isolated region of the layout. 23 2.7 O(n) coloring procedure with greedy algorithm for color-flipping2... 25 2.8 Case of most suboptimal solution for greedy-based flipping. 26 2.9 Example showing two coloring solutions that our method may give for the same layout (rare case with all diagonal violations) depending on the propagation order of the coloring: (a) with two DP violations and (b) with a single DP violation. 28 2.10 Comparison of the number of same-color spacing violations when stitches are forbidden and when they are allowed. 31 2.11 Region of forbidden stitching at corner, which will be merged with the overlapping violating part on the left to prevent stitching at the corner.
Recommended publications
  • Abstracts 2014 EUVL
    2014 International Workshop on EUV Lithography June 23-27, 2014 Makena Beach & Golf Resort ▪ Maui, Hawaii Workshop Abstracts 2014 International Workshop on EUV Lithography Contents Welcome ______________________________________________________ 2 Workshop Agenda _______________________________________________ 4 Abstracts by Paper Number ________________________________________ 17 Organized by: www.euvlitho.com 1 2014 International Workshop on EUV Lithography Welcome Dear Colleagues; I would like to welcome you to the 2014 International Workshop on EUV Lithography in Maui, Hawaii. In this leading workshop, with a focus on R&D, researchers from around the world will present the results of their EUVL related research. As we all work to address the remaining technical challenges of EUVL, to allow its insertion in high volume computer chip manufacturing, we look forward to a productive interaction among colleagues to brainstorm technical solutions. This workshop has been made possible by the support of workshop sponsors, steering committee members, workshop support staff, session chairs and presenters. I would like to thank them for their contributions and making this workshop a success. I look forward to your participation. Best Regards Vivek Bakshi Chair, 2014 International Workshop on EUVL www.euvlitho.com 2 2014 International Workshop on EUV Lithography EUVL Workshop Steering Committee Executive Steering Committee David Attwood (LBNL) Hanku Cho (Samsung) Harry Levinson (GlobalFoundries) Hiroo Kinoshita (Hyogo University) Kurt Ronse (IMEC)
    [Show full text]
  • Mack DFM Keynote
    The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline • History Lessons – Moore’s Law – Dennard Scaling – Cost Trends • Is Moore’s Law Over? – Litho scaling? • The Design Gap • The Future is Here 2 1965: Moore’s Observation 100000 65,000 transistors 10000 Doubling each year 1000 100 Components per chip per Components 10 64 transistors! 1 1959 1961 1963 1965 1967 1969 1971 1973 1975 Year G. E. Moore, “Cramming More Components onto Integrated Circuits,” Electronics Vol. 38, No. 8 (Apr. 19, 1965) pp. 114-117. 3 Moore’s Law “Doubling every 1 – 2 years” 25 nm 100000000000 10000000000 feature size + die size 1000000000 100000000 10000000 1000000 Today only lithography 100000 25 µm contributes 10000 1000 Componentsper chip 100 feature size + die size + device cleverness 10 1 1959 1969 1979 1989 1999 2009 2019 Year 4 Dennard’s MOSFET Scaling Rules Device/Circuit Parameter Scaling Factor Device dimension/thickness 1/ λ Doping Concentration λ Voltage 1/ λ Current 1/ λ Robert Dennard Capacitance 1/ λ Delay time 1/ λ Transistorpower 1/ λ2 Power density 1 There are no trade-offs. Everything gets better when you shrink a transistor! 5 The Golden Age 1975 - 2000 • Dennard Scaling - as transistor shrinks it gets: – Faster – Lower power (constant power density) – Smaller/lighter • Moore’s Law – More transistors/chip & cost of transistor = ‒15%/year • More powerful chip for same price • Same chip for lower price – Many new applications – large increase in volume 6 Problems with Dennard Scaling • Voltage stopped shrinking
    [Show full text]
  • Advanced MOSFET Structures and Processes for Sub-7 Nm CMOS Technologies
    Advanced MOSFET Structures and Processes for Sub-7 nm CMOS Technologies Peng Zheng Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2016-189 http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-189.html December 1, 2016 Copyright © 2016, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission. Advanced MOSFET Structures and Processes for Sub-7 nm CMOS Technologies By Peng Zheng A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Engineering - Electrical Engineering and Computer Sciences in the Graduate Division of the University of California, Berkeley Committee in charge: Professor Tsu-Jae King Liu, Chair Professor Laura Waller Professor Costas J. Spanos Professor Junqiao Wu Spring 2016 © Copyright 2016 Peng Zheng All rights reserved Abstract Advanced MOSFET Structures and Processes for Sub-7 nm CMOS Technologies by Peng Zheng Doctor of Philosophy in Engineering - Electrical Engineering and Computer Sciences University of California, Berkeley Professor Tsu-Jae King Liu, Chair The remarkable proliferation of information and communication technology (ICT) – which has had dramatic economic and social impact in our society – has been enabled by the steady advancement of integrated circuit (IC) technology following Moore’s Law, which states that the number of components (transistors) on an IC “chip” doubles every two years.
    [Show full text]
  • An Etching Study for Self-Aligned Double Patterning
    Rochester Institute of Technology RIT Scholar Works Theses 10-19-2018 An Etching Study for Self-Aligned Double Patterning Christopher O'Connell [email protected] Follow this and additional works at: https://scholarworks.rit.edu/theses Recommended Citation O'Connell, Christopher, "An Etching Study for Self-Aligned Double Patterning" (2018). Thesis. Rochester Institute of Technology. Accessed from This Thesis is brought to you for free and open access by RIT Scholar Works. It has been accepted for inclusion in Theses by an authorized administrator of RIT Scholar Works. For more information, please contact [email protected]. An Etching Study for Self-Aligned Double Patterning Christopher O'Connell October 19,2018 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of Master of Science in Microelectronic Engineering Department of Electrical and Microelectronic Engineering An Etching Study for Self-Aligned Double Patterning Christopher O'Connell A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of Master of Science in Microelectronic Engineering Committee Approval: Dr. Robert Pearson Advisor Date Program Director, Microelectronic Engineering Dr. Karl Hirschman Date Professor, Electrical and Microelectronic Engineering Dr. Michael Jackson Date Professor, Electrical and Microelectronic Engineering Dr. Sohail Dianat Date Deptartment Head, Electrical and Microelectronic Engineering DEPARTMENT OF ELECTRICAL AND MICROELECTRONIC ENGINEERING KATE GLEASON COLLEGE OF ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY ROCHESTER, NEW YORK October 19,2018 Acknowledgments I would like to thank and acknowledge everyone who has helped and supported me through- out this process. Firstly, I would like to thank my committee members, Dr. Robert Pear- son, Dr. Karl Hirschman and Dr.
    [Show full text]
  • Download 2020 Annual Report Based on IFRS
    Annual Report 2020 ’ASML ANNUAL2 REPORT 2020 01 Contents 2020 at a glance Leadership and governance 5 Interview with our CEO 106 Corporate governance 7 2020 Highlights 119 Message from the Chair of our Supervisory Board 8 Business as (un)usual 120 Supervisory Board report 135 Remuneration report Who we are and what we do 10 Our company 147 Directors’ Responsibility Statement 14 Our products and services 17 Our markets Consolidated Financial Statements 18 Semiconductor industry trends and opportunities 150 Consolidated Statement of Profit or Loss 22 How we create value 151 Consolidated Statement of Comprehensive Income 24 Our strategy 152 Consolidated Statement of Financial Position 153 Consolidated Statement of Changes in Equity What we achieved in 2020 154 Consolidated Statement of Cash Flows 27 Technology and innovation ecosystem 155 Notes to the Consolidated Financial Statements 39 Our people 53 Our supply chain Company Financial Statements 59 Circular economy 209 Company Balance Sheet 65 Climate and energy 210 Company Statement of Profit or Loss 211 Notes to the Company Financial Statements CFO financial review 74 Financial performance Other Information 81 Financing policy 217 Adoption of Financial Statements 83 Tax policy 218 Independent auditor’s report 85 Long-term growth opportunities Non-financial statements How we manage risk 225 Assurance Report of the Independent Auditor 87 How we manage risk 227 About the non-financial information 92 Risk factors 229 Non-financial indicators 100 Responsible business 244 Materiality: assessing our impact 247 Stakeholder engagement 250 Other appendices 253 Definitions A definition or explanation of abbreviations, technical terms and other terms used throughout this Annual Report can be found in the chapter Definitions.
    [Show full text]
  • EE241B : Advanced Digital Circuits • Sign up for Piazza If You Haven’T Already
    inst.eecs.berkeley.edu/~ee241b Announcements EE241B : Advanced Digital Circuits • Sign up for Piazza if you haven’t already Lecture 3 – Modern Technologies Borivoje Nikoliü ASML Ramps up EUV Scanners Production: 35 in 2020, up to 50 in 2021. ASML shipped 26 extreme ultraviolet lithography (EUVL) step-and-scan systems to its customers last year, and the company plans to increase shipments to around 35 in 2020. And the ramp-up won't stop there: as semiconductor fabs ramp up their own usage of EUV process technologies, they are going to need more leading-edge equipment, with ASML expecting to sell up to 50 EUVL scanners in 2021. AnandTech, January 23, 2020. EECS241B L03 TECHNOLOGY 1 EECS241B L02 TECHNOLOGY 2 Assigned Reading Outline • Scaling issues • R.H. Dennard et al, “Design of ion-implanted MOSFET's with very small physical dimensions” IEEE Journal of Solid-State Circuits, April 1974. • Technology scaling trends • Just the scaling principles • Features of modern technologies • C.G. Sodini, P.-K. Ko, J.L. Moll, "The effect of high fields on MOS device and circuit performance," IEEE • Lithography Trans. on Electron Devices, vol. 31, no. 10, pp. 1386 - 1393, Oct. 1984. • Process technologies • K.-Y. Toh, P.-K. Ko, R.G. Meyer, "An engineering model for short-channel MOS devices" IEEE Journal of Solid-State Circuits, vol. 23, no. 4, pp. 950-958, Aug. 1988. • T. Sakurai, A.R. Newton, "Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas," IEEE Journal of Solid-State Circuits, vol. 25, no. 2, pp.
    [Show full text]
  • ITRS Lithography Roadmap: 2015 Challenges
    Adv. Opt. Techn. 2015; 4(4): 235–240 Views Mark Neisser* and Stefan Wurm ITRS lithography roadmap: 2015 challenges DOI 10.1515/aot-2015-0036 1 Introduction In 2012, we published a letter describing the current Abstract: In the past few years, novel methods of pattern- lithography challenges as outlined by the Interna- ing have made considerable progress. In 2011, extreme tional Technology Roadmap for Semiconductors (ITRS) ultraviolet (EUV) lithography was the front runner to suc- roadmap [1]. At the time, the 2011 roadmap was the latest ceed optical lithography. However, although EUV tools for published full roadmap. It showed a key decision point pilot production capability have been installed, its high coming up at the end of 2012: What patterning choice volume manufacturing (HVM) readiness continues to be would be used for the 22-nm logic node and for 22-nm gated by productivity and availability improvements tak- half pitch DRAM production? The choices were ArF ing longer than expected. In the same time frame, alter- immersion lithography with double patterning, and four native and/or complementary technologies to EUV have next-generation lithography (NGL) techniques, extreme made progress. Directed self-assembly (DSA) has dem- ultraviolet (EUV) lithography, directed self-assembly onstrated improved defectivity and progress in integra- (DSA), imprint lithography, and maskless lithography tion with design and pattern process flows. Nanoimprint (E-beam direct write). Every NGL technique had its own improved performance considerably and is pilot produc- challenges, but EUV lithography appeared to be the tion capable for memory products. Maskless lithography nearest to commercialization. has made progress in tool development and could have Now, we know that the 22-nm node was manufac- an α tool ready in the late 2015 or early 2016.
    [Show full text]
  • Innovation on Line Cut Methods of Self-Aligned Multiple Patterning
    J. Microelectron. Manuf. 2, 19020301 (2019) doi: 10.33079/jomm.19020301 Innovation on Line Cut Methods of Self-aligned Multiple Patterning Jeff Shu* GLOBALFOUNDRIES, 400 Stone Break Rd Extension, Malta, NY 12020 Abstract: Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use. Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method. Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE). In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning. Keywords: self-aligned multiple patterning, SAMP, self-aligned double patterning, SADP, self- aligned quadruple patterning, SAQP, line cut, edge placement error. 1. Introduction In past 50 years, semiconductor industry Mandrel 1 follows “Moore’s law” doubling the number of Spacer 1 transistors every two years in a dense integrated Mandrel 2 circuit which is achieved by scaling the minimum Spacer 2 feature size of a transistor with a factor 0.7 every two years [1,2]. Self-aligned multiple patterning (SAMP) α β γ Final pattern is widely used in advanced technology nodes due to [3-5] lithography resolution limitation . Figure 2. Schematic flow for self-aligned quadruple Self-aligned multiple patterning (SAMP) also patterning (SAQP), pitch walking defined by difference of called Sidewall Image Transfer (SIT) which the main CD α, β and γ. concept is to deposit a conformal liner spacer on both sides of a mandrel material so that the pitch in One of the challenges of SAMP is pitch walking.
    [Show full text]
  • Lithography (Summary)
    INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS OR EQUIPMENT. THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: 2013 Table of Contents Lithography ........................................................................................................................... 1 1. Scope ................................................................................................................................... 1 2. Difficult Challenges ............................................................................................................... 1 3. Lithography Technology Requirements ................................................................................ 2 4. Lithography Potential Solutions ............................................................................................ 3 5. Specific Technology Requirements and Potential Solutions ................................................. 7 5.1. Resist Requirements ...................................................................................................................... 7 5.2. Optical mask requirements ............................................................................................................ 8 5.3. Multiple Patterning/Spacer Technology ......................................................................................... 8 5.4. EUV Technology – Source Power
    [Show full text]
  • Innovation Drives the Evolution of Semiconductors Innovation Drives the Evolution of Semiconductors
    TOKYO ELECTRON ANNUAL REPORT 2018 PAGE 9 Innovation Drives the Evolution of Semiconductors Innovation Drives the Evolution of Semiconductors Innovation Drives the Evolution of Semiconductors limits of miniaturization (Figure 3). the aforementioned fins. The use of immersion lithography A well-known example, which is already widely used in mass Semiconductor Production Through the combination of new designs and materials technology, in which exposure is conducted in a liquid medi- production, is multiple patterning technology. This approach —A History of Innovation and the creation of new production methods, semiconduc- um with a high refractive index, helps to improve resolution, uses process technologies—such as deposition, lithography, tors have continued to evolve. Today, production technology but even this is insufficient to achieve the desired results. etch and cleaning—to supplement the resolution of expo- is approaching the physical limits of miniaturization, at the On top of this, aligning photomasks and wafers during sure equipment. Patterns with several times the density In 1965, Dr. Gordon Moore, one of Intel’s founders, made a atomic level. The world’s first commercial microprocessor, patterning is also a challenge. In the latest logic and DRAM achievable by the lithography resolution can now be formed prescient observation that eventually became Moore’s Law. manufactured with 10-micron technology, contained devices, transistors and other logic circuit elements are not by employing the litho-etch method, in which lithography Six years later, Intel released the world’s first commercial approximately 2,300 transistors per chip. In contrast, the only small, but arranged in complex configurations. If expo- and etch processes are performed repeatedly, or self- microprocessor, the Intel 4004.
    [Show full text]
  • Promising Lithography Techniques for Next-Generation Logic Devices
    Nanomanufacturing and Metrology https://doi.org/10.1007/s41871-018-0016-9 (0123456789().,-volV)(0123456789().,-volV) REVIEW PAPERS Promising Lithography Techniques for Next-Generation Logic Devices 1 1 Rashed Md. Murad Hasan • Xichun Luo Received: 23 January 2018 / Revised: 26 March 2018 / Accepted: 16 April 2018 Ó The Author(s) 2018 Abstract Continuous rapid shrinking of feature size made the authorities to seek alternative patterning methods as the conventional photolithography comes with its intrinsic resolution limit. In this regard, some promising techniques have been proposed as next-generation lithography (NGL) that has the potentials to achieve both high-volume production and very high reso- lution. This article reviews the promising NGL techniques and introduces the challenges and a perspective on future directions of the NGL techniques. Extreme ultraviolet lithography (EUVL) is considered as the main candidate for sub-10- nm manufacturing, and it could potentially meet the current requirements of the industry. Remarkable progress in EUVL has been made and the tools will be available for commercial operation soon. Maskless lithography techniques are used for patterning in R&D, mask/mold fabrication and low-volume chip design. Directed self-assembly has already been realized in laboratory and further effort will be needed to make it as NGL solution. Nanoimprint lithography has emerged attractively due to its simple process steps, high throughput, high resolution and low cost and become one of the commercial platforms for nanofabrication. However, a number of challenging issues are waiting ahead, and further technological progresses are required to make the techniques significant and reliable to meet the current demand.
    [Show full text]
  • Design for Manufacturability and Reliability in Extreme-Scaling VLSI
    SCIENCE CHINA Information Sciences . REVIEW . June 2016, Vol. 59 061406:1–061406:23 Special Focus on Advanced Microelectronics Technology doi: 10.1007/s11432-016-5560-6 Design for manufacturability and reliability in extreme-scaling VLSI Bei YU1,2 ,XiaoqingXU2 ,SubhenduROY2,3 ,YiboLIN2, Jiaojiao OU2 &DavidZ.PAN2 * 1CSE Department, The Chinese University of Hong Kong, NT Hong Kong, China; 2ECE Department, University of Texas at Austin, Austin, TX 78712,USA; 3Cadence Design Systems, Inc., San Jose, CA 95134,USA Received December 14, 2015; accepted January 18, 2016; published online May 6, 2016 Abstract In the last five decades, the number of transistors on a chip hasincreasedexponentiallyinaccordance with the Moore’s law, and the semiconductor industry has followed this law as long-term planning and targeting for research and development. However, as the transistor feature size is further shrunk to sub-14nm nanometer regime, modern integrated circuit (IC) designs are challenged by exacerbated manufacturability and reliability issues. To overcome these grand challenges, full-chip modeling and physical design tools are imperative to achieve high manufacturability and reliability. In this paper, we will discuss some key process technology and VLSI design co-optimization issues in nanometer VLSI. Keywords design for manufacturability, design for reliability, VLSICAD Citation Yu B, Xu X Q, Roy S, et al. Design for manufacturability and reliability in extreme-scaling VLSI. Sci China Inf Sci, 2016, 59(6): 061406, doi: 10.1007/s11432-016-5560-6 1Introduction Moore’s law, which is named after Intel co-founder Gordon Moore, predicts that the density of transistor on integrated circuits (ICs) roughly doubles every two years.
    [Show full text]