<<

sensors

Article Reduction of Dark Current in CMOS Pixels Using Hydrocarbon-Molecular-Ion-Implanted Double Epitaxial Si Wafers

Ayumi Onaka-Masada * , Takeshi Kadono , Ryosuke Okuyama , Ryo Hirose , Koji Kobayashi, Akihiro Suzuki , Yoshihiro Koga and Kazunari Kurita

SUMCO Corporation, 1-52 Kubara, Yamashiro-cho, Imari-shi, Saga 849-4256, Japan; [email protected] (T.K.); [email protected] (R.O.); [email protected] (R.H.); [email protected] (K.K.); [email protected] (A.S.); [email protected] (Y.K.); [email protected] (K.K.) * Correspondence: [email protected]; Tel.: +81-955-20-2298

 Received: 22 October 2020; Accepted: 17 November 2020; Published: 19 November 2020 

Abstract: The impact of hydrocarbon-molecular (C3H6)-ion implantation in an epitaxial layer, which has low oxygen concentration, on the dark characteristics of complementary metal-oxide- semiconductor (CMOS) image sensor pixels was investigated by dark current spectroscopy. It was demonstrated that white spot defects of CMOS image sensor pixels when using a double epitaxial silicon wafer with C3H6-ion implanted in the first epitaxial layer were 40% lower than that when using an epitaxial silicon wafer with C3H6-ion implanted in the Czochralski-grown silicon substrate. This considerable reduction in white spot defects on the C3H6-ion-implanted double epitaxial silicon wafer may be due to the high gettering capability for metallic contamination during the device fabrication process and the suppression effects of oxygen diffusion into the device active layer. In addition, the defects with low internal oxygen concentration were observed in the C3H6-ion-implanted region of the double epitaxial silicon wafer after the device fabrication process. We found that the formation of defects with low internal oxygen concentration is a phenomenon specific to the C3H6-ion-implanted double epitaxial wafer. This finding suggests that the oxygen concentration in the defects being low is a factor in the high gettering capability for metallic impurities, and those defects are considered to directly contribute to the reduction in white spot defects in CMOS image sensor pixels.

Keywords: CMOS image sensor; gettering; white spot defects; oxygen

1. Introduction Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in various applications such as in vehicles, medical equipment, and consumer cameras. There is a high demand for the fabrication of high-performance CMOS image sensors with characteristics such as high sensitivity, high resolution, and high-speed image data processing owing to the expansion of the CMOS image sensor market. Recently, three-dimensional (3D)-stacked backside illuminated (BSI) CMOS image sensors allowing multiple functions of image sensors have attracted attention [1–3]. The fabrication of 3D-stacked CMOS image sensors is achieved by 3D integration technology that enables the stacking of different kinds of circuit blocks, such as sensor, memory, and logic blocks, into one chip with mainly Cu through-silicon via (TSV) technology. However, the using of Cu TSV technology may cause Cu contamination during the TSV fabrication process and when the property of the barrier layer is insuffcient for preventing Cu diffusion [4,5]. In addition, during the fabrication of BSI-based

Sensors 2020, 20, 6620; doi:10.3390/s20226620 www.mdpi.com/journal/sensors Sensors 2020, 20, 6620 2 of 18

3D stacked CMOS image sensors, the device Si wafer must be thinned by mechanical grinding and chemical mechanical polishing (CMP). The thin-wafer fabrication process has a risk of degradation of the electrical performance of the CMOS image sensor owing to easy metallic impurity contamination into the active region of the [6–8]. Therefore, the fabrication process of 3D-stacked BSI image sensors has many chances for metallic impurities to be introduced compared with that of conventional front-side illuminated (FSI) image sensors. It is well known that metallic impurities form deep-energy-level defects in the Si band gap. These defects allow the thermal generation of carriers, resulting in dark current, which is a key electrical parameter in determing the performance of CMOS image sensors [9–13]. The large dark current generated from the photodiode is known to cause blemished pixels called white spot defects. Therefore, a Si wafer with much a higher gettering capability is required to for suppressing metallic-impurity-related defect formation in the active region of devices and fabricate the high-performance 3D-stacked BSI image sensors. Our research group has been developing novel gettering Si wafers by a hydrocarbon-molecular-ion implantation technique using C3H5 or C3H6 ions to improve the electrical performance of CMOS image sensors [14–16]. The novel gettering Si wafers comprise an epitaxial Si wafer implanted with hydrocarbon molecular ions in the Czochralski (CZ)-Si substrate. It was found that such implantation results in the formation of effective gettering sinks for metallic impurities, such as Fe, Cu, Ni, oxygen (O), and hydrogen (H), under the active region of CMOS image sensors. For a high gettering capability for metallic impurities, the hydrocarbon-molecular-ion-implanted Si wafer can have reduced white spot defects compared with an intrinsic gettering (IG) silicon wafer, which is currently the most common gettering technique in the semiconductor industry. The gettering sinks formed by hydrocarbon-molecular-ion implantation can also act as a diffusion barrier preventing O diffusion from the Czochralski (CZ)-Si substrate by the effective gettering of oxygen impurities. This leads to the reduction in white spot defects [17–19]. Additionally, H atoms gettered in the hydrocarbon-molecular-ion-implanted region can reduce the density of interface state defects at the Si/SiO2 interface by passivating the defects during heat treatment [20,21]. This leads to the reduction in dark current and random telegraph signal (RTS) noise. Additionally, we have recently demonstrated that hydrocarbon-molecular-ion implantation in an epitaxial growth layer with a low O concentration, rather than in the CZ-Si substrate, resulted in a higher gettering capability for intentionally contaminated Fe [22]. It was found that the gettering capability for Fe is determined by the O concentration in the hydrocarbon-molecular-ion implantation region. The implantation in the epitaxial growth layer results in a double epitaxial Si wafer, with a hydrocarbon-molecular-ion-implanted first epitaxial layer on which a second epitaxial layer is grown. It is expected that the hydrocarbon-molecular-ion-implanted Si wafer with a double-epitaxial-layer structure, which has a higher gettering capability for metallic impurities, can solve the technical issue caused by metallic-impurity-related defect formation in 3D-stacked BSI CMOS image sensors. However, it is unclear whether the hydrocarbon-molecular-ion-implanted double epitaxial Si wafer can contribute to improving the performance of CMOS image sensor pixels. The electrical performances of CMOS image sensor pixels, such as the white spot defects and dark current of the hydrocarbon-molecular-ion-implanted double epitaxial Si wafer, must be investigated because we have not yet obtained direct evidence about the metal-gettering behavior in the device fabrication process. Dark current spectroscopy (DCS) is well known to be an extremely powerful method for analyzing the metallic impurity contamination in charge-coupled devices (CCDs) and CMOS image sensors [23–28]. The dark current intensity in image sensors evaluated by DCS strongly depends on the density of metallic-impurity-related defects in the space charge region of the photodiode. The detection of dark current caused by impurity-related defects in the Si band gap by DCS was first proposed by McGrath et al. [23]. It is based on the assumption that the amount of dark current generated at a certain temperature is a value specific to the impurity-related defects. In this study, therefore, we used white spot defects and dark current measured by DCS as a parameter to investigate the impact of O concentration in the Sensors 2020, 20, 6620 3 of 18

Sensorshydrocarbon-molecular-ion-implanted 2020, 20, x FOR PEER REVIEW region on the electrical performance of CMOS image sensor3 of 18 pixels. The white spot defects and dark current of the hydrocarbon-molecular-ion-implanted double conventional single epitaxial Si wafer and an epitaxial Si wafer without implantation. Moreover, we epitaxial Si wafer were compared with those of a conventional single epitaxial Si wafer and an epitaxial investigated the characteristics of O and H gettering on the hydrocarbon-molecular-ion-implanted Si wafer without implantation. Moreover, we investigated the characteristics of O and H gettering on double epitaxial Si wafer, the barrier effect of O diffusion by O gettering, and the concentration of H the hydrocarbon-molecular-ion-implanted double epitaxial Si wafer, the barrier effect of O diffusion diffusion from the implanted region, which contribute to the reduction in interface state defects. by O gettering, and the concentration of H diffusion from the implanted region, which contribute to Finally, in this paper, the appropriate design of the Si wafer gettering layer for solving the 3D-stacked the reduction in interface state defects. Finally, in this paper, the appropriate design of the Si wafer BSI CMOS image sensor technical issue is proposed. gettering layer for solving the 3D-stacked BSI CMOS image sensor technical issue is proposed.

2. Materials Materials and Methods The experimental silicon wafers used in this study are schematically shown in Figure1 1.. WeWe usedused phosphorus-doped epitaxial Si wafers with with epitaxial epitaxial layers layers 5 5 μµmm thick thick for for all all epitaxial epitaxial Si Si wafers. wafers. Single epitaxial Si wafers were fabricated with hydrocarbon-molecular-ionhydrocarbon-molecular-ion implantation in the phosphorus and and carbon carbon (C)-doped (C)-doped CZ-Si CZ-Si substrate. substrate. Double Double epitaxial epitaxial Si wafers Si wafers were werefabricated fabricated with withhydrocarbon-molecular-ion hydrocarbon-molecular-ion implantation implantation in the in thefirst first phosphorus-doped phosphorus-doped epitaxial epitaxial growth growth layer layer of of2 μ2 mµm thickness, thickness, and and then then the the second second epitaxial epitaxial layer was depositeddeposited onon thethe first first epitaxial epitaxial layer. layer. The The CZ-Si CZ- Sisubstrate substrate fabricated fabricated with with the the double double epitaxial epitaxial Si waferSi wafer was was the the same same as as those those of of the the single single epitaxial epitaxial Si waferSi wafer and and the the epitaxial epitaxial wafer wafer without without implantation. implantation. The The concentrations concentrations ofof oxygenoxygen (O)(O) andand C in the CZ-Si substrate were 1.4 × 101818 and 3 × 101616 cm−33, respectively. Hydrocarbon molecular ions were CZ-Si substrate were 1.4 10 and 3 10 cm− , respectively. Hydrocarbon molecular ions were implanted into the wafer to× a C dose of× 1 × 101515 cm−22 with an energy of 80 keV using an ion implanter implanted into the wafer to a C dose of 1 10 cm− with an energy of 80 keV using an ion implanter (Nissin Ion Equipment CLARIS) [29,30]. The× C3H6 ion was selected as the hydrocarbon molecular ion (Nissin Ion Equipment CLARIS) [29,30]. The C3H6 ion was selected as the hydrocarbon molecular in this study because C3H6 ions can implant with higher beam current than other hydrocarbon ion in this study because C3H6 ions can implant with higher beam current than other hydrocarbon molecular ions. The C3H6 beam current was 1700 μA. molecular ions. The C3H6 beam current was 1700 µA.

Figure 1. Cross-sectionalCross-sectional schematic schematic illustrations illustrations of epitaxial wafers used in this study.

DCS measurements were performed at 60 °C◦C using the 4T-type 4T-type pinned photodiode CMOS image sensors with 3 μµm pixel size fabricated by the CMOS image sensor fabrication process. CMOS image sensors werewere fabricated fabricated by by a 65a 65 nm nm process process node. node White. White spot spot defects defects and dark and currents dark currents of image of sensors image weresensors evaluated were evaluated by DCS. by A whiteDCS. A spot white defect spot was defect defined was asdefined the cumulative as the cumulative number ofnumber pixels showingof pixels showingdark current dark generation current generation levels exceeding levels 35exceeding 35/s inelectrons/s the dark currentin the dark distribution current measureddistribution by measuredDCS. Dark by current DCS. wasDark defined current as was the defined median as of the DCS. median of DCS. The density of O precipitate defects, called bulk microdefects (BMDs), in the CZ-Si substrate and the concentration depth profiles profiles of the metallic im impuritiespurities C, O, and H were measured on samples that underwent DCS measurements. The The density density of of BMD BMD in in the the CZ-Si substrate was measured by optical microscopy observation (Raytex Optima MO441,MO441). Woodbury,The concentration NY, USA). depth The profiles concentration in the Cdepth3H6-ion-implanted profiles in the region C3H6-ion-implanted were obtained regionby second wereary obtained ion mass by spectrometry secondary ion (SIMS) mass spectrometryanalysis, and (SIMS)the surface analysis, metal and layer the surfaceof samples metal was layer mechanically of samples waspolished mechanically to a depth polished of about to a depth0.5 μm of before about analysis.0.5 µm before The concentration analysis. The depth concentration profiles depthof O and profiles H before of O device and H beforefabrication device were fabrication also obtained were byalso SIMS obtained analysis. by SIMS analysis. The structure of C3H6-ion-implantation-related defects was analyzed by high-resolution transmission microscopy (TEM) (Hitachi H-9000UHR-I). The morphology of C3H6-ion- implantation-related defects at the atomic level was analyzed by laser-assisted atom probe tomography (L-APT) (AMETEC LEAP 4000XSi) with an ultraviolet laser (wavelength: 355 nm).

Sensors 2020, 20, x 6620 FOR PEER REVIEW 4 of 18

Acicular L-APT samples were lifted out from the C3H6-ion-implanted region located approximately The structure of C H -ion-implantation-related defects was analyzed by high-resolution 5 μm from the sample surface,3 6 using a focused ion beam system, as shown in Figure 2. The APT data transmission electron microscopy (TEM) (Hitachi H-9000UHR-I, Tokyo, Japan). The morphology were analyzed using integrated visualization and analysis software (IVAS) from CAMECA. of C3H6-ion- implantation-related defects at the atomic level was analyzed by laser-assisted atom The C3H6-ion implantation-related electronic defects in single and double epitaxial Si wafers probe tomography (L-APT) (AMETEC LEAP 4000XSi, Berwyn, PA, USA) with an ultraviolet laser before device fabrication were investigated by measuring room temperature photoluminescence (wavelength: 355 nm). Acicular L-APT samples were lifted out from the C H -ion-implanted region (RTPL) (WaferMasters MPL300) using 670 and 827 nm excitation with penetration3 6 depths of ~4 and located approximately 5 µm from the sample surface, using a focused ion beam system, as shown in ~10 μm from the wafer surface, respectively. The RTPL spectra were measured in the wavelength Figure2. The APT data were analyzed using integrated visualization and analysis software (IVAS) range of 900–1400 nm. from CAMECA.

Figure 2. ((aa)) Schematic Schematic illustration illustration of of laser-assisted laser-assisted atom atom probe probe tomography tomography (L-ATP) (L-ATP) analysis analysis region region forfor C C3HH66-ion-implanted-ion-implanted single single and and double double epitaxial epitaxial Si Si wafers. wafers. ( (bb)) Acicular Acicular sample sample for for L-APT L-APT analysis. analysis.

3. ResultsThe C and3H6 Discussion-ion implantation-related electronic defects in single and double epitaxial Si wafers before device fabrication were investigated by measuring room temperature photoluminescence (RTPL)

3.1.(WaferMasters Gettering Capability MPL300, of San C3H Jose,6-Ion-Implanted CA, USA) usingDouble 670 Epitaxial and 827 Si nm Wafers excitation with penetration depths of ~4 and ~10 µm from the wafer surface, respectively. The RTPL spectra were measured in the Figure 3 shows DCS spectra at 60 °C of the epitaxial Si wafer without implantation and C3H6- wavelength range of 900–1400 nm. ion-implanted single and double epitaxial Si wafers. The epitaxial Si wafer without implantation used in3. this Results study and is the Discussion IG-enhanced epitaxial Si wafer that has BMD in the Si bulk. The white spot defect number of the C3H6-ion-implanted double epitaxial Si wafer was the lowest at any dark signal level except3.1. Gettering 100 electrons/s. Capability Additional of C3H6-Ion-Implantedly, the DCS Double spectra Epitaxial exhibited Si Wafersthree peaks at dark signal levels of approximately 35, 100, and 530 electrons/s, which we labeled 1, 2, and 3, respectively. The white spot Figure3 shows DCS spectra at 60 ◦C of the epitaxial Si wafer without implantation and defect numbers of Peak 1 and 3 of both the C3H6-ion-implanted single and double epitaxial Si wafers C3H6-ion-implanted single and double epitaxial Si wafers. The epitaxial Si wafer without implantation wereused lower in this than study that is theof the IG-enhanced epitaxial Si epitaxial wafer without Si wafer implantation. that has BMD In particular, in the Si bulk. Peak The1 was white the lowest in the C3H6-ion-implanted double epitaxial Si wafer. On the other hand, the white spot defect spot defect number of the C3H6-ion-implanted double epitaxial Si wafer was the lowest at any dark numbersignal level of Peak except 2 did 100 not electrons indicate /anys. Additionally,difference am theong DCSall gettering spectra techniques. exhibited threeIt is considered peaks at darkthat Peaksignal 2 levels corresponds of approximately to the dark 35, 100,current and 530induced electrons by /process-induceds, which we labeled defects 1, 2, andand 3,not respectively. metallic- impurity-related defects. The white spot defect numbers of Peak 1 and 3 of both the C3H6-ion-implanted single and double epitaxialTo more Si wafers easily were compare lower DCS than thatcharacteristics, of the epitaxial bar Sigraphs wafer of without white implantation.spot defects exceeding In particular, 35 electrons/s and dark current in C3H6-ion-implanted single and double epitaxial Si wafers are shown Peak 1 was the lowest in the C3H6-ion-implanted double epitaxial Si wafer. On the other hand, inthe Figure white 4. spot That defect of the number epitaxial of PeakSi wafer 2 did without not indicate C3H6-ion any implantation difference among is also all shown gettering in Figure techniques. 4 for comparison.It is considered The that white Peak spot 2 corresponds defects shown to the in darkFigure current 4a of both induced the byC3H process-induced6-ion-implanted defectssingle and doublenot metallic-impurity-related epitaxial Si wafers were defects. lower than that of the epitaxial Si wafer without implantation. The white spot defects of the single epitaxial Si wafer, compared to that of the IG-enhanced epitaxial Si wafer, was in good agreement with a previous study in which dark current was evaluated to exceed 100 electrons/s [16]. However, the reduction in white spot defects of the double epitaxial Si wafer was the largest among gettering techniques. The white spot defect of the double epitaxial Si wafer was 40% lower than that of the single epitaxial Si wafer. Additionally, although the dark currents shown in Figure 4b of both the C3H6-ion-implanted single and double epitaxial Si wafers were lower than that of the epitaxial Si wafer without implantation, there was no large difference between single and double epitaxial Si wafers.

Sensors 2020, 20, 6620 5 of 18 Sensors 2020, 20, x FOR PEER REVIEW 5 of 18

Sensors 2020, 20, x FOR PEER REVIEW 5 of 18

FigureFigure 3. 3. DarkDark current current distribution distribution measured measured by DCS byat 60 DCS °C for at complementary 60 ◦C for complementary metal-oxide-

semiconductormetal-oxide-semiconductor (CMOS) image (CMOS) sensors image of epitaxial sensors ofSi epitaxialwafer without Si wafer implantation without implantation and C3H6-ion- and implantedC3H6-ion-implanted single and singledouble and epitaxial double Si epitaxial wafers. Si wafers.

To more easily compare DCS characteristics, bar graphs of white spot defects exceeding 35 electrons/s and dark current in C3H6-ion-implanted single and double epitaxial Si wafers are shown in Figure4. That of the epitaxial Si wafer without C 3H6-ion implantation is also shown in Figure4 for comparison. The white spot defects shown in Figure4a of both the C 3H6-ion-implanted single and double epitaxial Si wafers were lower than that of the epitaxial Si wafer without implantation. The white spot defects of the single epitaxial Si wafer, compared to that of the IG-enhanced epitaxial Si wafer, was in good agreement with a previous study in which dark current was evaluated to exceed 100 electrons/s [16]. However, the reduction in white spot defects of the double epitaxial Si wafer was the largest among gettering techniques. The white spot defect of the double epitaxial Si wafer was 40% lower than that of the single epitaxial Si wafer. Additionally, although the dark currents shown in FigureFigure4b of both3. Dark the current C 3H6-ion-implanted distribution measured single andby DC doubleS at 60 epitaxial °C for complementary Si wafers were metal-oxide- lower than that of thesemiconductor epitaxial Si wafer (CMOS) without image implantation, sensors of epitaxial there was Si nowafer large without difference implantation between singleand C3 andH6-ion- double epitaxialimplanted Si wafers. single and double epitaxial Si wafers.

Figure 4. Comparison of (a) white spot defect number exceeding 35 electrons/s and (b) dark current

for CMOS image sensors of epitaxial Si wafer without implantation and C3H6-ion-implanted single and double epitaxial Si wafers.

It is considered that generation of white spot defects is due to carrier generation via the impurity- related deep levels in the space charge region of the photodiode [23,27,28]. This means that fewer white spot defects indicate a lower concentration of impurity-related defects in the active region of devices. Therefore, it is considered that the C3H6-ion-implanted double epitaxial Si wafer has the most suppressed impurity-related defect formation in the active region of devices compared with the C3H6- ion-implanted single epitaxial Si wafer and the epitaxial Si wafer without implantation, probably because of the difference in the ease at which gettering sinks formed in each type of Si wafer. The C3H6-ion-implanted double epitaxial Si wafer is expected to have two regions of possible gettering sinks for metallic impurities, i.e., C3H6-ion-implanted regions and the BMD formation region.Figure It is 4.consideredComparison that of (thea) white difference spot defect in the number gettering exceeding capabilities 35 electrons of the/s and two (b C) dark3H6-ion-implanted current for regionsFigure and 4.the Comparison BMD formation of (a) white region spot causes defect numberthe diff erenceexceeding in 35white electrons/s spot defect and (b characteristics) dark current of CMOS image sensors of epitaxial Si wafer without implantation and C3H6-ion-implanted single and for CMOS image sensors of epitaxial Si wafer without implantation and C3H6-ion-implanted single CMOSdouble image epitaxial sensor Si pixels. wafers. and double epitaxial Si wafers. First, the gettering behavior for metallic impurities on C3H6-ion-implanted regions is investigated. Figure 5a–c respectively shows the SIMS depth profiles of C, Ni, and Cu concentrations It is considered that generation of white spot defects is due to carrier generation via the impurity- in the epitaxial Si wafer without implantation (IG enhanced epitaxial Si wafer) and C3H6-ion- related deep levels in the space charge region of the photodiode [23,27,28]. This means that fewer white spot defects indicate a lower concentration of impurity-related defects in the active region of devices. Therefore, it is considered that the C3H6-ion-implanted double epitaxial Si wafer has the most suppressed impurity-related defect formation in the active region of devices compared with the C3H6- ion-implanted single epitaxial Si wafer and the epitaxial Si wafer without implantation, probably because of the difference in the ease at which gettering sinks formed in each type of Si wafer. The C3H6-ion-implanted double epitaxial Si wafer is expected to have two regions of possible gettering sinks for metallic impurities, i.e., C3H6-ion-implanted regions and the BMD formation region. It is considered that the difference in the gettering capabilities of the two C3H6-ion-implanted regions and the BMD formation region causes the difference in white spot defect characteristics of CMOS image sensor pixels. First, the gettering behavior for metallic impurities on C3H6-ion-implanted regions is investigated. Figure 5a–c respectively shows the SIMS depth profiles of C, Ni, and Cu concentrations in the epitaxial Si wafer without implantation (IG enhanced epitaxial Si wafer) and C3H6-ion-

Sensors 2020, 20, 6620 6 of 18

It is considered that generation of white spot defects is due to carrier generation via the impurity-related deep levels in the space charge region of the photodiode [23,27,28]. This means that fewer white spot defects indicate a lower concentration of impurity-related defects in the active region of devices. Therefore, it is considered that the C3H6-ion-implanted double epitaxial Si wafer has the most suppressed impurity-related defect formation in the active region of devices compared with the C3H6-ion-implanted single epitaxial Si wafer and the epitaxial Si wafer without implantation, probably because of the difference in the ease at which gettering sinks formed in each type of Si wafer. The C3H6-ion-implanted double epitaxial Si wafer is expected to have two regions of possible gettering sinks for metallic impurities, i.e., C3H6-ion-implanted regions and the BMD formation region. It is considered that the difference in the gettering capabilities of the two C3H6-ion-implanted regions and the BMD formation region causes the difference in white spot defect characteristics of CMOS image sensor pixels.

SensorsFirst, 2020, the20, xgettering FOR PEER behaviorREVIEW for metallic impurities on C3H6-ion-implanted regions is investigated.6 of 18 SensorsFigure 20205a–c, 20 respectively, x FOR PEER REVIEW showsthe SIMS depth profiles of C, Ni, and Cu concentrations in the epitaxial6 of 18 implantedSi wafer without single and implantation double epitaxial (IG enhanced Si wafers epitaxial after the Si wafer)device andfabrication C3H6-ion-implanted processes. SIMS single profiles and implanted single and double epitaxial Si wafers after the device fabrication processes. SIMS profiles showdouble that epitaxial Ni and SiCu wafers were gettered after the in device the C fabrication3H6-ion-implanted processes. region, SIMS but profiles not in showthe epitaxial that Ni Si and wafer Cu show that Ni and Cu were gettered in the C3H6-ion-implanted region, but not in the epitaxial Si wafer withoutwere gettered implantation. in the C3 HOn6-ion-implanted the other hand, region, no Ni but and not Cu in impurities the epitaxial were Si wafer observed without in the implantation. C3H6-ion- without implantation. On the other hand, no Ni and Cu impurities were observed in the C3H6-ion- implantedOn the other region hand, of no any Ni epitaxial and Cu impuritiesSi wafer before were the observed device in fabrication the C3H6 -ion-implantedprocess, as shown region in Figure of any implanted region of any epitaxial Si wafer before the device fabrication process, as shown in Figure 6.epitaxial These results Si wafer suggest before thethat device C3H6-ion-implanted fabrication process, defects as shownformed in in Figure both 6single. These and results double suggest epitaxial that 6. These results suggest that C3H6-ion-implanted defects formed in both single and double epitaxial SiC3 wafersH6-ion-implanted can getter metallic defects impurities formed in bothalso introduced single and doubleduring epitaxialthe device Si process. wafers can getter metallic Siimpurities wafers can also getter introduced metallic during impurities the device also introduced process. during the device process. ) 3 1×1.E+211021 )

3 (a) (b) (c) Without implantation 1×1.E+211021 C 1×1.E+171017 (a) (b) Ni (c) Without implantation C 1×1.E+171017 Ni Single epitaxial Si SingleDouble epitaxial epitaxial Si Si 1×1.E+191019 16 19 1×1.E+1610 ×1.E+1515 Double epitaxial Si 1×1.E+1910 1 10 Cu 1×1.E+161016 ×1.E+1515 1 10 Cu ×1.E+1717 1 10 1×1.E+151015 ×1.E+1717 1 10 1×1.E+151015

1×1.E+151015 1×1.E+141014 1×1.E+141014 Concentration (atoms/cm 1×1.E+151015 1×1.E+141014 1×1.E+141014 Concentration (atoms/cm 456456456 456456456 Depth (μm) Depth (μm) Figure 5. SIMS depth profiles of (a) C concentration, (b) Ni concentration, and (c) Cu concentration in FigureFigure 5. 5. SIMSSIMS depth depth profiles profiles of of (a (a) )C C concentration, concentration, ( (bb)) Ni Ni concentration, concentration, and and ( (cc)) Cu Cu concentration concentration in in C3H6-ion-implanted regions of single and double epitaxial Si wafers after device fabrication. CC33HH6-ion-implanted6-ion-implanted regions regions of of single single and and double double epitaxial epitaxial Si Si wafers wafers after after device device fabrication. fabrication.

FigureFigure 6. 6. SIMSSIMS depth depth profiles profiles of of Ni Ni and and Cu Cu concentrations concentrations of of epitaxial epitaxial wafers before device fabrication. Figure 6. SIMS depth profiles of Ni and Cu concentrations of epitaxial wafers before device fabrication. The amounts of Ni impurity gettered in the C H -ion-implanted region of single and double The amounts of Ni impurity gettered in the C33H6-ion-implanted region of single and double 11 epitaxialepitaxialThe amountsSi Si wafers, wafers, of calculated calculatedNi impurity from from gettered the the SIMS SIMS in profilesthe profiles C3H shown6-ion-implanted shown in Figure in Figure region5b,5 b,were wereof 7.3single 7.3× 10 and11 and10 double 9.5and × 11× epitaxial1011 atoms/cm Si wafers,−2, respectively. calculated fromIt is theinteresting SIMS profiles to note shown that inthe Figure Ni concentration 5b, were 7.3 ×gettered 10 and in 9.5 the × 1011 atoms/cm−2, respectively. It is interesting to note that the Ni concentration gettered in the implanted region of the C3H6-ion-implanted double epitaxial Si wafer was higher than that in the implanted region of the C3H6-ion-implanted double epitaxial Si wafer was higher than that in the C3H6-ion-implanted single epitaxial Si wafer, in spite of the same C peak concentration in the C3H6- C3H6-ion-implanted single epitaxial Si wafer, in spite of the same C peak concentration in the C3H6- ion-implanted regions of both samples. Additionally, Figure 5c shows that only the C3H6-ion- ion-implanted regions of both samples. Additionally, Figure 5c shows that only the C3H6-ion- implanted double epitaxial Si wafer slightly gettered Cu. These results indicate that the C3H6-ion- implantedimplanted doubleregion epitaxialof the double Si wafer epitaxial slightly Si gettered wafer hasCu. Thesea high results gettering indicate capability that the for C 3metallicH6-ion- implantedimpurities introducedregion of the during double the deviceepitaxial process. Si wafer has a high gettering capability for metallic impuritiesRusso introduced et al. demonstrated during the that device Ni and process. Cu contamination affects the dark current generation in a CMOSRusso image et al. sensor demonstrated [28]. The that Cu Nicontamination and Cu contamination in particular affects has the the dark greater current effect. generation Hence, init isa CMOS image sensor [28]. The Cu contamination in particular has the greater effect. Hence, it is considered that the higher gettering capability for Ni and Cu contamination in the C3H6-ion- consideredimplanted regionthat the of higherthe double gettering epitaxial capabi Si litywafe forr greatly Ni and contributed Cu contamination to the suppression in the C3H of6-ion- the implantedimpurity-related region defect of the formation double epitaxial in the active Si wafe regionr greatly of devices, contributed resulting to in the the suppression reduction in of white the impurity-relatedspot defect number. defect Thus, formation the specific in the DCS active signal region peaks of (Peakdevices, 1 and resulting 3) shown in the in reductionFigure 3 may in white have spotoriginated defect fromnumber. the metallic-impurity-relatedThus, the specific DCS signal defects, peaks such (Peak as Ni 1 and and 3) Cu. shown in Figure 3 may have originatedNext, fromthe BMD the metallic-impurity-related formation behavior in all defects,wafers formed such as onNi theand Si Cu. bulk was investigated. Figure 7 showsNext, BMD the BMDdensities formation and sizes behavior in all epitaxial in all wafers Si wafers formed after on the the device Si bulk fabrication was investigated. processes. Figure 7 shows BMD densities and sizes in all epitaxial Si wafers after the device fabrication processes.

Sensors 2020, 20, 6620 7 of 18

9.5 1011 atoms/cm 2, respectively. It is interesting to note that the Ni concentration gettered in × − the implanted region of the C3H6-ion-implanted double epitaxial Si wafer was higher than that in the C3H6-ion-implanted single epitaxial Si wafer, in spite of the same C peak concentration in the C3H6-ion-implanted regions of both samples. Additionally, Figure5c shows that only the C3H6-ion-implanted double epitaxial Si wafer slightly gettered Cu. These results indicate that the C3H6-ion-implanted region of the double epitaxial Si wafer has a high gettering capability for metallic impuritiesSensors 2020, 20 introduced, x FOR PEER during REVIEW the device process. 7 of 18 Russo et al. demonstrated that Ni and Cu contamination affects the dark current generation in a CMOSThe imageCMOS sensor image [28sensor]. The fabricated Cu contamination in this study in particular used IG-enhanced has the greater CZ-Si e ffsubstratesect. Hence, for it all is wafers. As shown in Figure 7, no clear difference in BMD density or size was observed for all wafers. considered that the higher gettering capability for Ni and Cu contamination in the C3H6-ion-implanted regionIt is known of the that double the epitaxialgettering Sicapability wafer greatly for metall contributedic impurities to the by suppression BMD is determined of the impurity-related by the BMD defectdensity formation and size [31,32]. in the active The gettering region of capability devices, resulting for metallic in the impurities reduction on in BMD white is spot expected defect to number. be the Thus,same between the specific C3H DCS6-ion-implanted signal peaks single (Peak and 1 and double 3) shown epitaxial in Figure Si wafers,3 may and have it is originated probably fromthe same the metallic-impurity-relatedalso for epitaxial Si wafers defects, without such implantation. as Ni and Cu.Therefore, these findings suggest that the gettering capabilityNext, thein the BMD C3H formation6-ion-implanted behavior region in all wafersdominates formed the on reduction the Si bulk in wasthe investigated.white spot defects Figure of7 showsCMOS BMDimage densities sensor pixels and sizes in the in double all epitaxial epitaxial Si wafers Si wafer, after rather the device than the fabrication IG by BMD. processes.

Figure 7. BMD densities and sizes determined by optical microscopy observation on the epitaxialFigure 7. SiBMD wafer densities without and implantation sizes determined (intrinsic by optical gettering microscopy (IG)-enhanced observation epitaxial on the Si wafer)epitaxial and Si C H -ion-implanted single and double epitaxial Si wafers after the device fabrication process. wafer3 6 without implantation (intrinsic gettering (IG)-enhanced epitaxial Si wafer) and C3H6-ion- Theimplanted CMOS single image and sensor double fabricated epitaxial Si in wafers this study afterused the device IG-enhanced fabrication CZ-Si process. substrates for all wafers. As shown in Figure7, no clear di fference in BMD density or size was observed for all wafers. It is 3.2. Diffusion Behavior of O and H on C3H6-Ion-Implanted Double Epitaxial Si Wafers known that the gettering capability for metallic impurities by BMD is determined by the BMD density and sizeFigure [31 ,8a,b32]. respectively The gettering shows capability the SIMS for metallic depth pr impuritiesofiles of O onconcentrations BMD is expected before to and be the after same the betweendevice fabrication C3H6-ion-implanted processes singlefor the and epitaxia doublel epitaxialSi wafer Si without wafers, andimplantation it is probably and the the same C3 alsoH6-ion- for epitaxialimplanted Si waferssingle withoutand double implantation. epitaxial Therefore,Si wafers. these In the findings C3H6-ion-implanted suggest that the getteringsingle and capability double inepitaxial the C3 HSi6 -ion-implantedwafers, O was gettered region dominates in the C3H the6-ion-implanted reduction in region the white both spot before defects and of after CMOS the device image sensorfabrication pixels process. in the doubleThe O epitaxialpeak concentration Si wafer, rather after than the device the IG byfabrication BMD. process in both samples increased compared to before the device fabrication process. This suggests that the C3H6-ion- 3.2.implanted Diffusion region Behavior in not of O only and Hsingle on C 3butH6-Ion-Implanted also double epitaxial Double Epitaxial Si wafers Si Wafersacts as a diffusion barrier preventingFigure 8Oa,b out-diffusion respectively from shows the the CZ-Si SIMS substrate. depth profiles On the ofother O concentrations hand, it was found before that and the after O peak the concentration of the C3H6-ion-implanted double epitaxial Si wafer after the device fabrication process device fabrication processes for the epitaxial Si wafer without implantation and the C3H6-ion-implanted was lower than that of the single epitaxial Si wafer. It seems that the lower the O concentration in the single and double epitaxial Si wafers. In the C3H6-ion-implanted single and double epitaxial Si wafers, C3H6-ion-implanted region, the higher gettering capability for Ni and Cu. O was gettered in the C3H6-ion-implanted region both before and after the device fabrication process. The OAdditionally, peak concentration SIMS profiles after the in Figure device 8b fabrication indicate that process the O in concentration both samples in increased the epitaxial compared layer of the C3H6-ion-implanted double epitaxial Si wafer was the lowest, probably owing to the low O to before the device fabrication process. This suggests that the C3H6-ion-implanted region in not onlyconcentration single but in also the doubleC3H6-ion-implanted epitaxial Si wafers layer actsand asthe a dieffectsffusion of barrierthe diffusion preventing barrier O preventing out-diffusion O out-diffusion. O impurities in the active region of devices cause dark current and contribute to generating white spot defects via the formation of oxygen-related deep levels [17–19]. Therefore, it is considered that the low O concentration in the epitaxial layer, which is the active region of devices, also contributes to the reduction in white spot defects of the C3H6-ion-implanted double epitaxial Si wafer.

Sensors 2020, 20, 6620 8 of 18

from the CZ-Si substrate. On the other hand, it was found that the O peak concentration of the C3H6-ion-implanted double epitaxial Si wafer after the device fabrication process was lower than that of the single epitaxial Si wafer. It seems that the lower the O concentration in the C3H6-ion-implanted Sensorsregion, 2020 the, 20 higher, x FOR PEER gettering REVIEW capability for Ni and Cu. 8 of 18

FigureFigure 8. 8. SIMSSIMS depth depth profiles profiles of O of concentration O concentration in ep initaxial epitaxial Si wafer Si wafer without without implantation implantation and C3H and6- ion-implantedC3H6-ion-implanted single and single double and doubleepitaxial epitaxial Si wafers Si wafers(a) before (a) beforeand (b) and after (b device) after devicefabrication. fabrication. Additionally, SIMS profiles in Figure8b indicate that the O concentration in the epitaxial layer Figure 9 shows the SIMS depth profiles of H concentrations before and after the device of the C3H6-ion-implanted double epitaxial Si wafer was the lowest, probably owing to the low O fabrication processes of the C3H6-ion-implanted single and double epitaxial Si wafers. SIMS profiles concentration in the C3H6-ion-implanted layer and the effects of the diffusion barrier preventing show that H was gettered in the C3H6-ion-implanted region of single and double epitaxial wafers, O out-diffusion. O impurities in the active region of devices cause dark current and contribute to and its peak concentration both before and after the device fabrication process was the same in the generating white spot defects via the formation of oxygen-related deep levels [17–19]. Therefore, it is single epitaxial Si wafer and double epitaxial Si wafer. Additionally, the peak concentration of H considered that the low O concentration in the epitaxial layer, which is the active region of devices, also gettered in the C3H6-ion-implanted region of both wafers was decreased after device fabrication. This contributes to the reduction in white spot defects of the C3H6-ion-implanted double epitaxial Si wafer. decrease in H peak concentration in the C3H6-ion-implanted region indicates H diffusion to the Figure9 shows the SIMS depth profiles of H concentrations before and after the device fabrication epitaxial layer and Si bulk during the device fabrication process. processes of the C H -ion-implanted single and double epitaxial Si wafers. SIMS profiles show that Okuyama et al.3 reported6 that H diffused from a hydrocarbon-molecular-ion-implanted region H was gettered in the C3H6-ion-implanted region of single and double epitaxial wafers, and its peak can reduce interface state defects at the Si/SiO2 interface, such as Pb centers (dangling Si bonds) [33]. concentration both before and after the device fabrication process was the same in the single epitaxial Additionally, Yamaguchi et al. demonstrated that a hydrocarbon-moecular-ion-implanted Si wafer Si wafer and double epitaxial Si wafer. Additionally, the peak concentration of H gettered in the can reduce dark current through the passivation of interface state defects at the Si/SiO2 interface by C H -ion-implanted region of both wafers was decreased after device fabrication. This decrease in H H 3diffused6 from the hydrocarbon-molecular-ion-implanted region during the device fabrication peak concentration in the C H -ion-implanted region indicates H diffusion to the epitaxial layer and Si process [34]. The amounts of3 H6 in single and double epitaxial Si wafers out-diffused during the device Sensorsbulk during 2020, 20,the x FOR device PEER fabricationREVIEW process. 9 of 18 fabrication process are 8.1 × 1012 and 8.5 × 1012 cm−2, respectively, calculated from the difference in integral values in a 1.0 μm region around the H peak concentration determined by SIMS analysis before and after device processes. It is known that the Si(100)/SiO2 interface state defect density in metal-oxide-semiconductor (MOS) transistors is approximately from 1010 to 1011 cm−2 [35]. This indicates that the amount of H diffused from the implanted region of single and double epitaxial Si wafers during the device fabrication process is higher than the interface state density, and this amount is sufficient to passivate the interface state defects at the Si/SiO2 interface. The interface state defects at the Si/SiO2 interface increase the mean dark current of the pixels [12]. The results of device performance shown in Figure 4b demonstrated that the dark current of both types of C3H6-ion-implanted wafers was lower than that of epitaxial wafer without implantation. Thus, the reduction in the dark current of both types of C3H6-ion-implanted wafers relative to that of the epitaxial wafer without implantation may be due to the reduction in the number of interface state defects by H passivation. On the other hand, a marked reduction in white spot defects, shown in

FigureFigureFigure 4a, of 9. the SIMSSIMS C3 Hdepth 6-ion-implanted profilesprofiles ofof HH concentrationdoubleconcentration epitaxial of of C C3 waferH3H6-ion-implanted6-ion-implanted was observed single single compared and and double double with epitaxial epitaxial that Siof the singleSiwafers epitaxial wafers (a )(a before )wafer, before and andalthough (b )(b after) after devicethe device amount fabrication. fabrication. of out-diffused H on both wafers was the same. We therefore assumed that the C3H6-ion-implanted double epitaxial wafer can be expected to have the Okuyama et al. reported that H diffused from a hydrocarbon-molecular-ion-implanted region same3.3. Gettering effect on Sinks the ofreduction C3H6-Ion-Implanted in interface Double state deEpitaxialfects by Si HWafers passivation as the conventional single can reduce interface state defects at the Si/SiO interface, such as P centers (dangling Si bonds) [33]. epitaxial Si wafer, resulting in the reduction in dark2 current. The reductionb in the number of interface In Section 3.1, we confirmed that the higher gettering capability for metallic impurities in the state defects, however, does not directly contribute to marked reduction in the white spot defects of C3H6-ion-implanted region of double epitaxial Si wafer is the dominant factor behind the the C3H6-ion-implanted double epitaxial wafer. considerable reduction in white spot defects of CMOS image sensor pixels. To clarify the increase in the gettering capability for metallic impurities of the C3H6-ion-implanted double epitaxial Si wafer, the structure, morphology, and electrical property of defects formed in the C3H6-ion-implanted region of the double epitaxial Si wafer were analyzed by TEM, L-APT, and RTPL, respectively. Figure 10a,b respectively shows TEM cross-sectional images of the C3H6-ion-implanted region of the single epitaxial wafer and double epitaxial wafer after the device fabrication process. The TEM images in the C3H6-ion-implanted region of both wafers only showed defects 5 nm in size. These defects were also observed in a monomer-ion-implanted Si wafer and hydrocarbon-molecular-ion- implanted Si wafer after heat treatment [36,37]. It has been reported that the 5 nm defects are agglomerates consisting of C and I (C–I agglomerates), and those defects act as gettering sinks for metallic impurities [37]. It is considered that Cu and Ni gettering in the C3H6-ion-implanted region of both single and double epitaxial Si wafers shown in Figure 5 occurred through this type of defect. However, the 5 nm defect densities calculated by counting from three different TEM images of C3H6- ion-implanted single and double epitaxial Si wafers are 9.0 × 1016 and 8.7 × 1016 cm−2, respectively, which were not significantly different. Additionally, no extended defects, such as dislocation, that lead to an increase in metallic impurity gettering were observed in the C3H6-ion-implanted region of the double epitaxial Si wafer after the device fabrication process. In a previous study, we demonstrated that these 5 nm defects induced by a high C3H6-ion implantation dose of 1 × 1016 cm−2 in the CZ-Si substrate and the first epitaxial layer also consisted of C and I agglomerates [38]. L-APT data in high-dose C3H6-ion-implanted samples indicated a difference in the O distribution between the 5 nm defects induced in the CZ-Si substrate and the first epitaxial layer [38,39]. This implies a significant difference in the morphology of 5 nm defects between the CZ-Si substrate and the first epitaxial layer. Hence, an understanding of the O distribution at the atomic level around these defects after the device fabrication process is expected to be the key to reduce the white spot defects of the CMOS image sensor in the C3H6-ion-implanted double epitaxial Si wafer.

Sensors 2020, 20, 6620 9 of 18

Additionally, Yamaguchi et al. demonstrated that a hydrocarbon-moecular-ion-implanted Si wafer can reduce dark current through the passivation of interface state defects at the Si/SiO2 interface by H diffused from the hydrocarbon-molecular-ion-implanted region during the device fabrication process [34]. The amounts of H in single and double epitaxial Si wafers out-diffused during the device fabrication process are 8.1 1012 and 8.5 1012 cm 2, respectively, calculated from the × × − difference in integral values in a 1.0 µm region around the H peak concentration determined by SIMS analysis before and after device processes. It is known that the Si(100)/SiO2 interface state defect 10 11 2 density in metal-oxide-semiconductor (MOS) transistors is approximately from 10 to 10 cm− [35]. This indicates that the amount of H diffused from the implanted region of single and double epitaxial Si wafers during the device fabrication process is higher than the interface state density, and this amount is sufficient to passivate the interface state defects at the Si/SiO2 interface. The interface state defects at the Si/SiO2 interface increase the mean dark current of the pixels [12]. The results of device performance shown in Figure4b demonstrated that the dark current of both types of C3H6-ion-implanted wafers was lower than that of epitaxial wafer without implantation. Thus, the reduction in the dark current of both types of C3H6-ion-implanted wafers relative to that of the epitaxial wafer without implantation may be due to the reduction in the number of interface state defects by H passivation. On the other hand, a marked reduction in white spot defects, shown in Figure4a, of the C 3H6-ion-implanted double epitaxial wafer was observed compared with that of the single epitaxial wafer, although the amount of out-diffused H on both wafers was the same. We therefore assumed that the C3H6-ion-implanted double epitaxial wafer can be expected to have the same effect on the reduction in interface state defects by H passivation as the conventional single epitaxial Si wafer, resulting in the reduction in dark current. The reduction in the number of interface state defects, however, does not directly contribute to marked reduction in the white spot defects of the C3H6-ion-implanted double epitaxial wafer.

3.3. Gettering Sinks of C3H6-Ion-Implanted Double Epitaxial Si Wafers In Section 3.1, we confirmed that the higher gettering capability for metallic impurities in the C3H6-ion-implanted region of double epitaxial Si wafer is the dominant factor behind the considerable reduction in white spot defects of CMOS image sensor pixels. To clarify the increase in the gettering capability for metallic impurities of the C3H6-ion-implanted double epitaxial Si wafer, the structure, morphology, and electrical property of defects formed in the C3H6-ion-implanted region of the double epitaxial Si wafer were analyzed by TEM, L-APT, and RTPL, respectively. Figure 10a,b respectively shows TEM cross-sectional images of the C3H6-ion-implanted region of the single epitaxial wafer and double epitaxial wafer after the device fabrication process. The TEM images in the C3H6-ion-implanted region of both wafers only showed defects 5 nm in size. These defects were also observed in a monomer-ion-implanted Si wafer and hydrocarbon-molecular-ion-implanted Si wafer after heat treatment [36,37]. It has been reported that the 5 nm defects are agglomerates consisting of C and I (C–I agglomerates), and those defects act as gettering sinks for metallic impurities [37]. It is considered that Cu and Ni gettering in the C3H6-ion-implanted region of both single and double epitaxial Si wafers shown in Figure5 occurred through this type of defect. However, the 5 nm defect densities calculated by counting from three different TEM images of C3H6-ion-implanted single and double epitaxial Si wafers are 9.0 1016 and 8.7 1016 cm 2, respectively, which were not significantly × × − different. Additionally, no extended defects, such as dislocation, that lead to an increase in metallic impurity gettering were observed in the C3H6-ion-implanted region of the double epitaxial Si wafer after the device fabrication process. In a previous study, we demonstrated that these 5 nm defects induced by a high C3H6-ion implantation dose of 1 1016 cm 2 in the CZ-Si substrate and the first epitaxial layer also consisted of C × − and I agglomerates [38]. L-APT data in high-dose C3H6-ion-implanted samples indicated a difference in the O distribution between the 5 nm defects induced in the CZ-Si substrate and the first epitaxial layer [38,39]. This implies a significant difference in the morphology of 5 nm defects between the CZ-Si Sensors 2020, 20, 6620 10 of 18 substrate and the first epitaxial layer. Hence, an understanding of the O distribution at the atomic level Sensors 2020, 20, x FOR PEER REVIEW 10 of 18 around these defects after the device fabrication process is expected to be the key to reduce the white spot defects of the CMOS image sensor in the C H -ion-implanted double epitaxial Si wafer. Sensors 2020, 20, x FOR PEER REVIEW 3 6 10 of 18

Figure 10. Cross-sectional TEM images of C3H6-ion-implanted region of (a) single and (b) double FigureFigure 10. Cross-sectional 10. Cross-sectional TEM TEM images images of of C3 CH36H-ion-implanted6-ion-implanted region region of of (a ()a )single single and and (b) ( bdouble) double epitaxialepitaxial Si wafers Si wafers after afterdevice device fabrication. fabrication.

FigureFigure 11 showsshows 11 shows thethe three-dimensional three-dimensional the three-dimensional (3D) (3D) (3D) distribution distri distributionbution of of C-of C- andC- and O-related O-relatedO-related components components components (SiO (SiO (SiO and and O) of the C3H6-ion-implanted region in single and double epitaxial Si wafers measured by L- andO) of O) the of Cthe3H 6C-ion-implanted3H6-ion-implanted region region in single in single and and double double epitaxial epitaxial Si wafers Si wafers measured measured by L-APT. by L- APT. C agglomerates can be observed in the L-APT map of C in both wafers. It is considered that the APT.C agglomerates C agglomerates can can be observedbe observed in in the the L-APT L-APT map map of of CC in both both wafers. wafers. It is It considered is considered that thatthe C agglomerates correspond to defects observed by TEM shown in Figure 10 in both samples, that is, C agglomerates correspond to defects observed by TEM shown in Figure 10 in both samples, that is, the C agglomeratesagglomerates consisting correspond of C toand defects I (C–I agglomerates) observed by [38]. TEM Additionally, shown in L-APT Figure maps 10 in show both that samples, O- agglomeratesthat is,related agglomerates componentsconsisting consisting ofalso C formedand of I C(C– agglomerates andI agglomerates)I (C–I agglomerates) in both [38].wafers. Additionally, The [38 ].formation Additionally, L-APT areas ofmaps L-APT O agglomerates show maps that show O- relatedthat O-relatedcorresponded components components toalso the formed formation also agglomerates formed areas of agglomerates C agglomer in both wafers.ates, in which both The wafers.is formation particularly The areas marked formation of O in agglomerates the areas C3H6 of- O correspondedagglomeratesion-implanted correspondedto the single formation epitaxial to the areas formationSi wafer.of C agglomerIn areas C3H6 of-ion-implantedates, C agglomerates, which isdouble particularly which epitaxial is particularly markedSi wafer, in it markedtheis seen C3H in6- ion-implantedthe C3Hthat6-ion-implanted many single O-related epitaxial single components Si epitaxial wafer. wereIn Si C wafer. 3Hunifor6-ion-implanted Inmly C3 Hdistributed6-ion-implanted double without epitaxial double the Siformation epitaxial wafer, it Siof is wafer, Oseen thatit is seenmanyagglomerates. that O-related many It O-related iscomponents considered components thatwere the wereunifordifference uniformlymly indistributed the distributedO distribution without without between the the formation the formation C3H6-ion- of of O implanted single and double epitaxial Si wafers comes from the difference in the O concentration in agglomerates. ItIt isis considered considered that that the ditheff erencedifference in the in O distributionthe O distribution between between the C3H6 -ion-implantedthe C3H6-ion- implantedsinglethe and C single3 doubleH6-ion-implanted and epitaxial double region, epitaxial Si wafers shown Si comeswafers in Figure fromco 8.mes the from di fftheerence difference in the in O the concentration O concentration in the in theC3H C63-ion-implantedH6-ion-implanted region, region, shown shown in Figurein Figure8. 8.

Figure 11. 3D L-APT maps of C- and O-related components (SiO and O) of the C3H6-ion-implanted

region in C3H6-ion-implanted (a) single and (b) double epitaxial Si wafers.

The isoconcentration surfaces of ≥3 at.% C (red) and ≥1.8 at.% O (blue) extracted from the interior Figure 11. 3D L-APT maps of C- and O-relatedO-related componentscomponents (SiO(SiO andand O)O) ofof thethe CC3HH6-ion-implanted of acicular samples around the center are shown in Figure 12a,b, to clearly illustrate3 6 the distribution regionregion in C 3HH6-ion-implanted-ion-implanted ( (aa)) single single and and ( (bb)) double double epitaxial epitaxial Si Si wafers. wafers. of O around3 6 the C agglomerates. The O drawn on the isoconcentration surface includes the concentration of O in the SiO component. The isoconcentration surfaces of C drawn as spheres The isoconcentration surfaces of ≥3 at.% C (red) and ≥1.8 at.% O (blue) extracted from the interior of acicular samples around the center are shown in Figure 12a,b, to clearly illustrate the distribution of O around the C agglomerates. The O drawn on the isoconcentration surface includes the concentration of O in the SiO component. The isoconcentration surfaces of C drawn as spheres

Sensors 2020, 20, 6620 11 of 18

The isoconcentration surfaces of 3 at.% C (red) and 1.8 at.% O (blue) extracted from the interior ≥ ≥ Sensorsof acicular 2020, 20 samples, x FOR PEER around REVIEW the center are shown in Figure 12a,b, to clearly illustrate the distribution11 of of18 O around the C agglomerates. The O drawn on the isoconcentration surface includes the concentration delineateof O in the border SiO component. regions of 3 The at.% isoconcentration C. Inside the spheres, surfaces C of exceeds C drawn 3 asat.%. spheres The delineateisoconcentration border surfaceregions ofof 3C, at.% therefore, C. Inside themay spheres, be simi Clar exceeds to that 3 at.%. of TheC agglomerates isoconcentration (C– surfaceI agglomerates). of C, therefore, The isoconcentrationmay be similar to surface that of maps C agglomerates in both wafers (C–I indiagglomerates).cate that the Theisoconcentration isoconcentration surface surface of O maps was distributedin both wafers almost indicate at the that same the isoconcentrationposition as the surfaceisoconcentration of O was distributedsurface of almostC. The at results the same of positionisoconcentration as the isoconcentration surface maps shown surface in of C.Figure The results12a,b demonstrate of isoconcentration that C– surfaceI agglomerates maps shown are getteringin Figure sinks12a,b for demonstrate O diffused during that C– theI agglomerates device process. are Additionally, gettering sinks in Figure for O 12a,b diffused we can during confirm the thedevice spheres process. were Additionally, composed in of Figure only 12thea,b isoconcent we can confirmration the surface spheres of were C. It composed is considered of only that the agglomeratesisoconcentration with surface only ofthe C. isoc It isoncentration considered thatsurface agglomerates of C are C– withI agglomerates only the isoconcentration that include surfacefew or noof CO areatoms. C–I agglomerates that include few or no O atoms.

Figure 12. Isoconcentration surface of 3 at.% CC andand 1.81.8 at.%at.% OO inin CC33HH66-ion-implanted ( a) single and (b) double epitaxial Si wafers, and proxigrams for C and O at the C isoconcentrationisoconcentration interface on

C3HH66-ion-implanted-ion-implanted (c ()c )single single and and (d (d) )double double epitaxial epitaxial Si Si wafers. wafers. The The volume volume of ofextracted extracted maps maps is 50 is 3 50 50 303 nm . × 50× × 30× nm .

The proximity histogramshistograms (proxigrams)(proxigrams) werewere calculatedcalculated by by IVAS IVAS to to reveal reveal the the evolution evolution of of the the C Cand and O concentrationsO concentrations from from the the isoconcentration isoconcentration surfaces surfaces of C. of Figure C. Figure 12c,d 12c,d shows shows proxigrams proxigrams for C andfor O of C3H6-ion-implanted single and double epitaxial Si wafers, respectively. These results are average C and O of C3H6-ion-implanted single and double epitaxial Si wafers, respectively. These results are valuesaverage calculated values calculated from the isoconcentrationfrom the isoconcentrati surface ofon Csurface excluding of C the excluding isoconcentration the isoconcentration surface at the surfaceedge of at the the acicular edge samples.of the acicular The C samples. concentration The C increased concentration toward increased the inside toward of isoconcentration the inside of isoconcentrationsurfaces of C in both surfaces samples. of ThisC in indicates both samples. that the C This concentration indicates of that agglomerates the C concentration is higher toward of the center. In the C H -ion-implanted single epitaxial Si wafer shown in Figure 12c, although O also agglomerates is higher3 6 toward the center. In the C3H6-ion-implanted single epitaxial Si wafer shown inexists Figure outside 12c, the although isoconcentration O also ex surfaceists outside of C, mostthe isoconcentration of the O is distributed surface inside of C, C agglomeratesmost of the O that is distributedhave high C inside concentrations C agglomerates along thethat distribution have high C of concentrations C. In comparison, along in the Cdistribution3H6-ion-implanted of C. In double epitaxial Si wafer shown in Figure 12d, O is distributed inside C agglomerates, but its comparison, in the C3H6-ion-implanted double epitaxial Si wafer shown in Figure 12d, O is distributedconcentration inside is much C agglomerates, lower than that but inits theconcentration single epitaxial is much Si wafer. lower These than that observations in the single suggest epitaxial that Si wafer. These observations suggest that the concentrations of O in the defects (C–I agglomerates) differ greatly between single and double epitaxial Si wafers, although they are regarded as the same type of defect in the TEM observation. In the previous study, we found that defects formed upon C3H6-ion-implantation at a high dose of 1 × 1016 cm−2 in the first epitaxial layer are C–I agglomerates without O atoms [38,39]. This tendency of the O distribution in defects in the previous study is in good agreement with that of defects in the

Sensors 2020, 20, x FOR PEER REVIEW 12 of 18

double epitaxial Si wafer formed after the device process in the current study. Hence, the distribution of O in the defects formed upon C3H6-ion-implantation in the epitaxial layer is the same regardless of implantation dose and annealing condition. This finding suggests that the low O concentration in the defects is a factor behind the higher gettering capability for metallic impurities. The formation of this type of defect probably can be achieved by C3H6-ion-implantation into the low-O-concentration layer. In addition to the defect morphology, we consider the impact of O atoms included in the defects (C–I agglomerates) on the electrical properties of the defects by RTPL measurement on all wafers before device fabrication. Figure 13 shows the RTPL spectra under 670 and 827 nm excitations in the epitaxial Si wafer without implantation and C3H6-ion-implanted single and double epitaxial Si wafers. Sensors 2020, 20, 6620 12 of 18 We found that the RTPL intensity of both epitaxial Si wafers implanted with C3H6 ions markedly decreased compared with that in the case without implantation. In addition, the RTPL intensity of thethe concentrationsdouble epitaxial of Si O wafer in the at defects both excitation (C–I agglomerates) levels was dilowerffer greatly than that between of the singlesingle andepitaxial double Si epitaxialwafer. Yoo Si wafers,and coworkers although [40,41] they arereported regarded that as the the RTPL same intensity type of defect due to in band-to-band the TEM observation. emission is very Insensitive the previous to the study, number we foundof implantation that defects defect formeds that upon are C 3electricallyH6-ion-implantation activated atin athe high Si dosewafer. of 16 2 1C3H106-ion-implantationcm in the first defects epitaxial were layer formed are C– atI aagglomerates depth of approximately without O atoms5 μm from [38,39 the]. Thiswafer tendency surface, × − ofin thethis Ostudy. distribution At the penetration in defects in depths the previous of 670 and study 827 is nm in good excitations agreement (around with 4 thatand of10 defectsμm), most in the of doublethe excited epitaxial carriers Si wafercontributing formed to after the thePL emission device process were found in the currentaround study.the implanted Hence,the region. distribution Excited ofcarriers O in the that defects diffused formed into upon the implanted C3H6-ion-implantation region recombined in the epitaxial at the layernonradiative is the same defects regardless therein, of implantationresulting in an dose RTPL and intensity annealing decrease. condition. We Thistherefore finding infer suggests that the that decrease the low in O the concentration RTPL intensity in the of defectsC3H6-ion-implanted is a factor behind wafers the was higher caused gettering by recombination capability for at metallic C3H6-ion-implantation impurities. The formationdefects, and of that this typethe number of defect of probably such defects can is be higher achieved in the by double C3H6-ion-implantation epitaxial Si wafer into than the in low-O-concentration the single epitaxial wafer. layer. It is consideredIn addition that to the the defect difference morphology, in the number we consider of defects the impact between of Othe atoms single included and double in the epitaxial defects (C–Si wafersI agglomerates) observed by on RTPL the electrical corresponds properties to the ofdifference the defects in the by RTPLnumber measurement of C–I agglomerates. on all wafers This beforealso indicates device fabrication.the difference Figure in the 13 number shows the of gette RTPLring spectra sinks under that exist 670 andbefore 827 the nm device excitations fabrication in the epitaxialprocess. Si wafer without implantation and C3H6-ion-implanted single and double epitaxial Si wafers.

Figure 13. RTPLRTPL spectra spectra under under (a) (670a) 670nm nmand and(b) 827 (b) nm 827 excitation nm excitation in the epitaxial in the epitaxial Si wafer Si without wafer

withoutimplantation implantation and C3H and6-ion-implanted C3H6-ion-implanted single and single double and epitaxial double epitaxial Si wafers Si wafersbefore CMOS before CMOSdevice devicefabrication. fabrication.

We found that the RTPL intensity of both epitaxial Si wafers implanted with C H ions markedly We consider that gettering of metallic impurities on the C3H6-ion-implanted double3 6 epitaxial Si decreasedwafer occurs compared owing withto the that segregation in the case mechanism without implantation. involving C– InI addition,agglomerates, the RTPL as no intensity extended of the double epitaxial Si wafer at both excitation levels was lower than that of the single epitaxial Si defects were observed in C3H6-ion-implanted region, as shown in Figure 10. The segregation wafer.gettering Yoo mechanism and coworkers is known [40, 41for] reportedFe gettering that in the p/p RTPL+ wafers; intensity this gettering due to band-to-band capability depends emission on isthe very B concentration sensitive to thein the number p+-Si substrate of implantation [42,43]. defectsIt is believed that are that electrically the high binding activated energy in the of Si Fe wafer. and µ CB 3enhancesH6-ion-implantation the solid solubility defects were of Fe formed in the at p a+-Si depth substrate. of approximately Jin and Dunham 5 m from reported the wafer that surface,defects in this study. At the penetration depths of 670 and 827 nm excitations (around 4 and 10 µm), most consisting of C and I, such as C3–I3, have high binding energies with metallic impurities such as Cu of the excited carriers contributing to the PL emission were found around the implanted region. Excited carriers that diffused into the implanted region recombined at the nonradiative defects therein, resulting in an RTPL intensity decrease. We therefore infer that the decrease in the RTPL intensity of C3H6-ion-implanted wafers was caused by recombination at C3H6-ion-implantation defects, and that the number of such defects is higher in the double epitaxial Si wafer than in the single epitaxial wafer. It is considered that the difference in the number of defects between the single and double epitaxial Si wafers observed by RTPL corresponds to the difference in the number of C–I agglomerates. This also indicates the difference in the number of gettering sinks that exist before the device fabrication process. We consider that gettering of metallic impurities on the C3H6-ion-implanted double epitaxial Si wafer occurs owing to the segregation mechanism involving C–I agglomerates, as no extended defects were observed in C3H6-ion-implanted region, as shown in Figure 10. The segregation gettering Sensors 2020, 20, 6620 13 of 18

mechanism is known for Fe gettering in p/p+ wafers; this gettering capability depends on the B concentration in the p+-Si substrate [42,43]. It is believed that the high binding energy of Fe and SensorsB enhances 2020, 20, x theFOR solid PEER solubilityREVIEW of Fe in the p+-Si substrate. Jin and Dunham reported that13 defects of 18 consisting of C and I, such as C3–I3, have high binding energies with metallic impurities such as andCu Ni and [44]. Ni Hence, [44]. Hence, it is considered it is considered that C– thatI agglomerates C–I agglomerates formed formed upon uponC3H6-ion C3H implantation6-ion implantation can becan effective be eff getteringective gettering sinks for sinks meta forllic metallicimpurities impurities because of because their strong of their interaction strong interaction with metallic with impurities.metallic impurities.If gettering If getteringof metallic of impurities metallic impurities in the C in3H the6-ion-implanted C3H6-ion-implanted region regionoccurs occursby the by segregationthe segregation mechanism, mechanism, the gettering the gettering capability capability should should be dependent be dependent on onthe thenumber number of ofC– C–I I agglomerates.agglomerates. However, However, the the number number of ofC– C–I agglomeratesI agglomerates observed observed byby TEM TEM did did not not differ diff erbetween between singlesingle and and double double epitaxial epitaxial wafers. wafers. A Arecent recent L-APT L-APT study study on on Cu Cu gettering gettering in inth thee hydrocarbon-molecular- hydrocarbon-molecular-ion-implantedion-implanted region region revealedrevealed that that the the Cu getteringgettering capability capability through through C– IC–agglomeratesI agglomerates decreased decreased with increasingwith increasing number numberof O atoms of O inatoms the agglomerates in the agglomerates [45]. Our [45]. L-APT Our data L-APT in Figure data 11in showFigure that 11 theshow O concentrationthat the O concentrationincluded in C–includedI agglomerates in C–I agglomerates was much lower was in much the C 3lowerH6-ion-implanted in the C3H6-ion-implanted double epitaxial double Si wafer epitaxialthan in Si the wafer single than epitaxial in the single Si wafer, epitaxial even afterSi wafe ther, device even after fabrication the device process. fabrication It is considered process. It thatis consideredthe O concentration that the O inconcentration the defects ofin thethe doubledefects epitaxial of the double Si wafer epitaxial was even Si wafer lower was before even the lower device beforefabrication the device process, fabricat asion there process, was no as dithereffusion wasof no O diffusion from the of substrate. O from the Additionally, substrate. Additionally, according to accordingthe previous to the report previous of Medernach report of Medernach and coworkers, and Ocoworkers atoms contribute, O atoms partially contribute to thepartially passivation to the of passivationelectrically of activated electrically point activated defect clusters, point resultingdefect clusters, in the reductionresulting inin gettering the reduction capability in gettering for metallic capabilityimpurities for [46metallic]. RTPL impurities results in [46]. Figure RTPL 12 resultalso showeds in Figure that 12 the also number showed of defectsthat the formed number in of the defectsC3H6 -ion-implantedformed in the C region3H6-ion-implanted was higher for region the double was higher epitaxial for Si the wafer double than epitaxial for the single Si wafer epitaxial than Si forwafer. the single Therefore, epitaxial we assumeSi wafer. that Therefore, O atoms includedwe assume in C–thatI agglomerates O atoms included acted toin reduceC–I agglomerates the electronic actedproperties to reduce of agglomerates,the electronic properties which means of agglomerates, a decrease in which the number means ofa decrease gettering in sinks the number for metallic of getteringimpurities. sinks The for getteringmetallic impurities. of metallic The impurities gettering in of the metallic C3H6-ion-implanted impurities in the region C3H6 occurs-ion-implanted because of regionthe high occurs binding because energy of the between high binding metallic energy impurities between and C–metallicI agglomerates impurities and and also C– dependsI agglomerates on the O andconcentration also depends in on C– theI agglomerates. O concentration in C–I agglomerates. Hence,Hence, it itis isinferred inferred that that the the existence existence of ofC– C–I agI agglomeratesglomerates that that have have low low O Oconcentrations concentrations is is responsibleresponsible for for the the high high gettering gettering capability capability of ofthe the C3 CH36H-ion-implanted6-ion-implanted double double epitaxial epitaxial Si wafer Si wafer and, and, thus,thus, directly directly affects affects the whitewhite spotspot defect defect number number of CMOSof CMOS image image sensor sensor pixels. pixels. The gettering The gettering reaction reactionfor metallic for metallic impurities impurities introduced introduced during during the device the device fabrication fabrication process process can be explainedcan be explained by the sameby themechanism same mechanism as that of theas getteringthat of the reaction gettering for intentionally reaction for contaminated intentionally metallic contaminated impurities metallic described impuritiesin Onaka-Masada described et in al. Onaka-Masada [38]. The mechanism et al. [38]. behind The the mechanism gettering reactionbehind the on thegettering C3H6-ion-implanted reaction on thedouble C3H6-ion-implanted epitaxial wafer double is illustrated epitaxial in Figurewafer is14 illustrated. in Figure 14.

Figure 14. Illustration of model of gettering reaction of C3H6-ion-implanted Si wafers. Modified from Figure 14. Illustration of model of gettering reaction of C3H6-ion-implanted Si wafers. Modified from Onaka-Masada et al. [38], Copyright (2018) IEEE. Onaka-Masada et al. [38], Copyright (2018) IEEE.

3.4. Appropriate Design of Gettering Layer for 3D-Stacked BSI CMOS Image Sensors In the fabrication of 3D-stacked BSI CMOS image sensors, a thin-wafer fabrication process is needed for the formation of the light-receiving surface of the photodiode. The device Si wafer is reduced to a thickness of less than 10 μm by mechanical grinding and CMP and then to the final target thickness by other etching processes [47]. Consequently, gettering sinks formed in the Si substrate of the device Si wafer, such as the IG substrate, are eliminated by the mechanical and

Sensors 2020, 20, 6620 14 of 18

3.4. Appropriate Design of Gettering Layer for 3D-Stacked BSI CMOS Image Sensors In the fabrication of 3D-stacked BSI CMOS image sensors, a thin-wafer fabrication process is needed for the formation of the light-receiving surface of the photodiode. The device Si wafer is reduced to a thickness of less than 10 µm by mechanical grinding and CMP and then to the final target thickness by other etching processes [47]. Consequently, gettering sinks formed in the Si substrate of the device Si wafer, such as the IG substrate, are eliminated by the mechanical and chemical processes used in thin-wafer fabrication. However, specifically, the introduction of metallic impurities such as Cu during stacked BSI image sensor fabrication mainly occurs in the thin-wafer fabrication process and the Cu damascene electrodeposition process, owing to mechanical grinding and CMP. In particular, Cu atoms originating from Cu TSV diffuse into the device active region of the photodiode even with a low-temperature thermal budget [48,49]. Therefore, it is considered that the formation of a gettering layer that remains until the final stage of the thin-wafer formation process is indispensable for the fabrication of high-performance 3D-stacked BSI CMOS image sensors that also have a high gettering capability for metallic impurities. Additionally, the passivation effect of interface states upon H sintering treatment in the BSI process may be less than that in the FSI process [50–52]. Vici et al. also reported that interface state defects are created by BSI processes such as wafer bonding and thinning [53]. The 3D-stacked CMOS image sensor fabrication includes many bonding and thinning processes. The increase in the density of interface state defects at the Si/SiO2 interface in the isolation region or transistor gate oxide leads to increasing dark current and RTS noise. The double epitaxial Si wafers in which the first epitaxial layer is used as an etch stop layer can be selected as a starting material for the device Si wafer of stacked BSI CMOS image sensors. If a double epitaxial Si wafer implanted with hydrocarbon molecular ions in the epitaxial etch stop layer is used as a starting material for 3D-stacked BSI image sensors, the gettering layer can remain until the final stage of the thin-wafer process compared with the epitaxial wafer using an IG substrate and even a hydrocarbon-molecular-ion-implanted CZ-Si substrate. The results of device performance shown in Figures3 and4a demonstrated that the C 3H6-ion-implanted double epitaxial Si wafer can drastically decrease the white spot defects of CMOS image sensor pixels comparing with single epitaxial Si wafer. In addition, C3H6-ion-implanted double epitaxial Si wafer is expected to have a reduced number of interface state defects, owing to H termination, because the concentration of H diffused from the C3H6-ion-implanted region during device fabrication was over ten times higher than the density of interface states. The use of the hydrocarbon-molecular-ion-implanted double epitaxial wafer means that gettering sinks for H also remain until the final stage of fabrication. Okuyama et al. demonstrated that the Si wafer implanted with hydrocarbon molecular ions had a reduced number of interface state defects at the Si/SiO2 interface, even in the temperature range in which the dissociation of H on the passivated defects occurs [33]. This is because H continues to be provided to the Si/SiO2 interface from the hydrocarbon-molecular-ion-implanted region during heat treatment. Thus, hydrocarbon-molecular-ion-implanted defects in the double epitaxial wafer can be effective storage tanks for H during 3D-stacked BSI fabrication. The use of the hydrocarbon-molecular-ion-implanted double epitaxial wafer is expected to decrease the number of interface state defects during the fabrication of stacked BSI CMOS image sensors. We believe that the Si wafer with a gettering layer formed by hydrocarbon-molecular-ion implantation into the epitaxial layer can be suitable for 3D-stacked BSI image sensors and will realize high-performance CMOS image sensor fabrication.

4. Conclusions We investigated the dark current characteristics of CMOS image sensor pixels with C3H6-ion-implanted double epitaxial Si wafers. The following is concluded. Sensors 2020, 20, 6620 15 of 18

(1) Considerable reduction in white spot defects by using a C3H6-ion-implanted double epitaxial Si wafer was demonstrated in the fabrication of CMOS image devices.

(2) The reduction in white spot defects of the C3H6-ion-implanted double epitaxial Si wafer occurred owing to the high gettering capability for metallic impurities introduced during the device fabrication process and the suppression of O diffusion into the device active layer. The higher gettering capability of the C3H6-ion-implanted double epitaxial Si wafer was also effective for metallic impurities introduced during device fabrication. (3) H at a concentration of 8.5 1012 cm 2 was confirmed to diffuse from the C H -ion-implanted × − 3 6 region of the double epitaxial Si wafer during device fabrication. This H concentration was over ten times higher than the density of interface states. The C3H6-ion-implanted double epitaxial wafer has the same effect on the reduction in dark current as C3H6-ion-implanted single epitaxial Si wafer; however, H gettered in the C3H6-ion-implanted region does not contribute to the marked reduction in white spot defects compared with that in the C3H6-ion-implanted single epitaxial Si wafer. (4) L-APT results indicated that the O concentration in defects was different between the C3H6-ion-implanted single and double epitaxial Si wafers. The O concentration in defects determines the number of gettering sinks for metallic impurities. The defects that have low O concentrations formed in the C3H6-ion-implanted double epitaxial Si wafer locally enhance the solid solubility for metallic impurities, owing to the strong interaction between the defects and metallic impurities.

We believe that our C3H6-ion-implanted double epitaxial Si wafers can contribute to improving the performance of 3D-stacked BSI image sensors.

Author Contributions: Conceptualization, A.O.-M., T.K., R.O., R.H., K.K. (Koji Kobayashi), A.S., Y.K., and K.K. (Kazunari Kurita); Data curation, A.O.-M., T.K., R.O., R.H., K.K. (Koji Kobayashi), A.S., Y.K., and K.K. (Kazunari Kurita); Formal analysis, A.O.-M.; Investigation, A.O.-M.; Methodology, A.O.-M.; Project administration, K.K. (Kazunari Kurita); Writing—original draft, A.O.-M. All authors have read and agreed to the published version of the manuscript. Funding: This research received no external funding. Acknowledgments: The authors would like to express their thanks to Hisashi Furuya and Naoki Ikeda of the Technology division, SUMCO Corporation, for management support and useful technical discussion. The authors would also like to express their thanks to Woo-Sik Yoo, Jung-Gon Kim, and Toshikazu Ishigaki from WaferMasters for their help in RTPL measurements. Conflicts of Interest: The authors declare no conflict of interest.

References

1. Suzuki, A.; Shimamura, N.; Kainuma, T.; Kawazu, N.; Okada, C.; Oka, T.; Koiso, K.; Masagaki, A.; Yagasaki, Y.; Gonoi, S.; et al. A 1/1.7-inch 20Mpixel Back-Illuminated Stacked CMOS Image Sensor for New Imaging Applications. In Proceedings of the 2015 IEEE International Solid-State Circuits Conference (ISSCC)-Digest of Technical Papers, San Francisco, CA, USA, 22–26 February 2015; pp. 110–111. 2. Tsugawa, H.; Takahashi, H.; Nakamura, R.; Umebayashi, T.; Ogita, T.; Okano, H.; Iwase, K.; Kawashima, H.; Yamasaki, T.; Yoneyama, D.; et al. Pixel/DRAM/logic 3-layer stacked CMOS image sensor technology. In Proceedings of the 63rd IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 3.2.1–3.2.4. 3. Haruta, T.; Nakajima, T.; Hashizume, J.; Umebayashi, T.; Takahashi, H.; Tanigushi, K.; Kuroda, M.; Sumihiro, H.; Enoki, K.; Yamasaki, T.; et al. A 1/2.3inch 20Mpixel 3-Layer Stacked CMOS Image Sensor with DRAM. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC)-Digest of Technical Papers, San Francisco, CA, USA, 5–9 February 2017; pp. 76–77. 4. Bea, J.; Lee, K.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Evaluation of Cu Diffusion from Cu Through-Silicon via (TSV) in Three-Dimensional LSI by Transient Capacitance Measurement. IEEE Electron Device Lett. 2011, 32, 940–942. [CrossRef] Sensors 2020, 20, 6620 16 of 18

5. Watanabe, N.; Shimamoto, H.; Kikuchi, K.; Aoyagi, M. Metal Contamination Evaluation of Via-Last Cu TSV Process Using Notchless Si Etching and Wet Cleaning of the First Metal Layer. In Proceedings of the 2017 IEEE Electronic Components and Technology Conference (ECTC), Orland, FL, USA, 30 May–2 June 2017; pp. 655–660. 6. Prigge, H.; Gerlach, P.; Hahn, P.-O.; Schnegg, A.; Jacob, H. Acceptor Compensation in Silicon Induced by Chemomechanical Polishing. J. Electrochem. Soc. 1991, 138, 1385–1389. [CrossRef] 7. Lee, K.-W.; Bea, J.-C.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Cu Retardation Performance of Extrinsic Gettering Layers in Thinned Wafers Evaluated by Transient Capacitance Measurement. J. Electrochem. Soc. 2011, 158, 795–799. [CrossRef] 8. Lee, K.; Tanikawa, S.; Naganuma, H.; Bea, J.; Murugesan, M.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Impacts of Cu Contamination in 3D Integration Process on Memory Retention Characteristics in Thinned DRMA Chip. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS14), Waikoloa, HI, USA, 1–5 June 2014; pp. 3E.4.1–3E.4.6. 9. Vincent, G.; Chantre, A.; Bois, D. Electric field effect on the thermal emission of traps in semiconductor junctions. J. Appl. Phys. 1979, 50, 5484–5487. [CrossRef] 10. Fossum, E.R. CMOS Image Sensors: Electronic Camera-On-A-Chip. IEEE Trans. Electron Devices 1997, 44, 1689–1698. [CrossRef] 11. Russo, F.; Moccia, G.; Nardone, G.; Alfonsetti, R.; Polsinelli, G.; D’Angelo, A.; Patacchiola, A.; Liverani, M.; Pianezza, P.; Lippa, T.; et al. Proximity gettering of slow diffuser contaminants in CMOS image sensors. Solid-State Electron. 2014, 91, 91–99. [CrossRef] 12. Carrère, J.-P.; Place, S.; Oddou, J.-P.; Benoit, D.; Roy, F. CMOS Image Sensor: Process impact on Dark current. In Proceedings of the 2014 IEEE International Reliability Physics Symposium (IRPS14), Waikoloa, HI, USA, 1–5 June 2014; pp. 3C.1.1–3C.1.6. 13. Domengie, F.; Morin, P.; Bauza, D. Modeling the dark current histogram induced by gold contamination in complementary-metal-oxide-semiconductor image sensors. J. Appl. Phys. 2015, 118, 024501. [CrossRef] 14. Kurita, K.; Kadono, T.; Okuyama, R.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity gettering

of C3H5 carbon cluster ion-implanted silicon wafers for CMOS image sensors: Gettering effects of transition metal, oxygen, and hydrogen impurities. Jpn. J. Appl. Phys. 2016, 55, 121301. [CrossRef] 15. Kurita, K.; Kadono, T.; Okuyama, R.; Shigematsu, S.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity gettering technology for advanced CMOS image sensors using carbon cluster ion-implantation technique: A review. Phys. Status Solidi A 2017, 214, 1700216. [CrossRef] 16. Kurita, K.; Kadono, T.; Shigematsu, S.; Hirose, R.; Okuyama, R.; Onaka-Masada, A.; Okuda, H.; Koga, Y. Proximity Gettering Design of Hydrocarbon-Molecular-Ion-Implanted Silicon Wafers Using Dark Current Spectroscopy for CMOS Image Sensors. Sensors 2019, 19, 2073. [CrossRef] 17. Hiroshima, Y.; Matsumoto, S.; Senda, K.; Kuriyama, T.; Horii, K.; Kuroda, T.; Kunii, T.; Mizuno, H. Elimination of fixed pattern noise in super-8 format CCD image sensor by the use of epitaxial wafers. In Proceedings of the 1984 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 9–12 December 1984; pp. 32–35. 18. Ohtani, A.; Kaneda, T. Analysis of residual image in CMOS image sensor 2. In Proceedings of the Ext. Abstr. of the 77th Japan Society of Applied Physics and Related Societies Autumn Meeting, Niigata, Japan, 13–16 September 2016; 14p-P6-11 (In Japanese). 19. Shoyama, T. Influence of various impurities on performance of CMOS image sensor. In Proceedings of the 2018 8th Forum on the Science and Technology of Silicon Materials, Okayama, Japan, 18–21 November 2018; pp. 184–186. 20. Okuyama, R.; Masada, A.; Kadono, T.; Hirose, R.; Koga, Y.; Okuda, H.; Kurita, K. Trapping and diffusion kinetic of hydrogen in carbon-cluster ion-implantation projected range in Czochralski silicon wafers. Jpn. J. Appl. Phys. 2017, 56, 025601. [CrossRef] 21. Okuyama, R.; Shigematsu, S.; Hirose, R.; Masada, A.; Kadono, T.; Koga, Y.; Okuda, H.; Kurita, K. Trapping and diffusion behavior of hydrogen simulated with TCAD in projection range of carbon-cluster implanted silicon epitaxial wafers for CMOS image sensors. Phys. Status Solidi C 2017, 14, 1700036. 22. Onaka-Masada, A.; Nakai, T.; Okuyama, R.; Okuda, H.; Kadono, T.; Hirose, R.; Koga, Y.; Kurita, K.; Sueoka, K. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors. Jpn. J. Appl. Phys. 2018, 57, 021304. [CrossRef] Sensors 2020, 20, 6620 17 of 18

23. McGrath, R.D.; Doty, J.; Lupino, G.; Ricker, G.; Vallerga, J. Counting of Deep-Level Traps Using a Charge-Coupled Device. IEEE Trans. Electron Devices 1987, 34, 2555–2557. [CrossRef] 24. McColgin, W.C.; Lavine, J.P.; Stancampiano, C.V. Dark Current Spectroscopy of Metals in Silicon. In Proceedings of the 1996 Materials Research Society (MRS) Fall Meeting, Boston, MA, USA, 2–6 December 1996; pp. 187–192. 25. Webster, E.A.; Nicol, R.L.; Grant, L.; Renshaw, D. Per-Pixel Dark Current Spectroscopy Measurement and Analysis in CMOS Image Sensors. IEEE Trans. Electron Devices 2010, 57, 2176–2182. [CrossRef] 26. Domengie, F.; Regolini, J.L.; Bauza, D.; Morin, P. Impact on Device Performance and Monitoring of Low Dose of Tungsten Contamination by Dark Current Spectroscopy. In Proceedings of the 2010 IEEE International Reliability Physics Symposium (IRPS 2010), Anaheim, CA, USA, 2–6 May 2010; pp. 259–264. 27. Domengie, F.; Regolini, J.L.; Bauza, D. Study of Metal Contamination in CMOS Image Sensors by Dark-Current and Deep-Level Transient Spectroscopies. J. Electrochem. Mater. 2010, 39, 625–629. [CrossRef] 28. Russo, F.; Nardone, G.; Polignano, M.L.; D’Ercole, A.; Pennella, F.; Felice, M.D.; Monte, A.D.; Matarazzo, A.; Moccia, G.; Polsinelli, G.; et al. Dark Current Spectroscopy of Transition Metals in CMOS Image Sensors. ECS J. Solid State Sci. Technol. 2017, 6, 217–226. [CrossRef] 29. Yamada, I.; Matsuo, J. Solid surface process by gas cluster ion beam. Oyo Buturi 1997, 66, 559–568. (In Japanese) 30. Yamada, I.; Matsuo, J.; Toyoda, N.; Kirkpatrick, A. Materials processing by gas cluster ion beams. Mater. Sci. Eng. R 2001, 34, 231–295. [CrossRef] 31. Hieslmair, H.; Istratov, A.A.; McHugo, S.A.; Flink, C.; Weber, E.R. Analysis of iron precipitation in silicon as a basis for gettering simulations. J. Electrochem. Soc. 1998, 145, 4259–4264. [CrossRef] 32. Sueoka, K.; Sadamitsu, S.; Koike, Y.; Kihara, T.; Katahama, H. Internal gettering for Ni contamination in Czochralski silicon wafers. J. Electrochem. Soc. 2000, 147, 3074–3077. [CrossRef] 33. Okuyama, R.; Kadono, T.; Onaka-Masada, A.; Suzuki, A.; Kobayashi, K.; Shigematsu, S.; Hirose, R.;

Koga, Y.; Kurita, K. Hydrogen Passivatiion for Reduction of SiO2/Si Interface State Defects using Hydrocarbon-Molecular-Ion-Implanted Silicon Wafers. Jpn. J. Appl. Phys. 2020, 59.[CrossRef] 34. Yamaguchi, T. White Spots Reduction of CMOS Image Sensors by Proximity Metal Gettering Technology. In Proceedings of the 145th Committee on Processing and Characterization of Crystals of the Japan Society for the Promotion of Science, Tokyo, Japan, 21 July 2017; pp. 20–24. (In Japanese). 35. Sze, S.M. Physic of Semiconductor Devices, 2nd ed.; Wiley: New York, NY, USA, 1981; Chapter 8; pp. 379–385. 36. Wong, H.; Lou, J.; Cheung, N.W.; Kvam, E.P.; Yu, K.M.; Olson, D.A.; Washburn, J. Cross-sectional transmission electron microscopy study of carbon-implanted layer in silicon. Appl. Phys. Lett. 1990, 57, 798–800. [CrossRef] 37. Okuyama, R.; Masada, A.; Shigematsu, S.; Kadono, T.; Hirose, R.; Koga, Y.; Okuda, H.; Kurita, K. Effect of dose and size on defect engineering in carbon cluster implanted silicon wafers. Jpn. J. Appl. Phys. 2018, 57, 011301. [CrossRef] 38. Onaka-Masada, A.; Okuyama, R.; Shigematsu, S.; Okuda, H.; Kadono, T.; Hirose, R.; Koga, Y.; Sueoka, K.; Kurita, K. Gettering Sinks for Metallic Impurities Formed by Carbon-Cluster Ion Implantation in Epitaxial Silicon Wafers for CMOS Image Sensor. IEEE J. Electron Devices Soc. 2018, 6, 1205–1211. [CrossRef] 39. Onaka-Masada, A.; Okuyama, R.; Nakai, T.; Shigematsu, S.; Okuda, H.; Kobayashi, K.; Hirose, R.; Kadono, T.; Koga, Y.; Shinohara, M.; et al. Gettering mechanism in hydrocarbon-molecular-ion-implanted epitaxial silicon wafers revealed by three-dimensional atom imaging. Jpn. J. Appl. Phys. 2018, 57, 091302. [CrossRef] 40. Yoo, W.S.; Yoshimoto, M.; Sagara, A.; Shibata, S. Room Temperature Photoluminescence Characterization of Low Dose As+ Implanted Si after Rapid Thermal Annealing. ECS Solid State Lett. 2015, 4, 51–54. [CrossRef] 41. Yoo, W.S.; Ishigaki, T.; Kim, J.G.; Kang, K. Room Temperature Photoluminescence Characterization of Very Low Energy and Low Dose F+ Implanted Silicon wafers. In Proceedings of the 22nd International Conference on Ion Implantation Technology (IIT 2018), Würzburg, Germany, 16–21 September 2018; pp. 160–163. 42. Benton, J.L.; Stolk, P.A.; Eaglesham, D.J.; Jacobson, D.C.; Cheng, J.-Y.; Poate, J.M.; Ha, N.T.; Haynes, T.E.; Myers, S.M. Iron gettering mechanisms in silicon. J. Appl. Phys. 1996, 80, 3275–3284. [CrossRef] 43. Tobe, S.; Hayamizu, Y.; Kitagawa, Y. Equilibrium constant of segregation-induced Fe gettered by heavy boron doping in Si. J. Appl. Phys. 1998, 84, 1279–1283. [CrossRef] 44. Jin, Y.; Dunham, S.T. Modeling of Carbon Clustering and Associated Metal Gettering. ECS Trans. 2014, 64, 211–218. [CrossRef] Sensors 2020, 20, 6620 18 of 18

45. Shigematsu, S.; Okuyama, R.; Hirose, R.; Kadono, T.; Onaka-Masada, A.; Suzuki, A.; Kobayashi, K.; Okuda, H.; Koga, Y.; Kurita, K. Influence of oxygen on copper gettering in hydrocarbon molecular ion implanted region using atom probe tomography. Nucl. Inst. Meth. Phys. Res. Sect. B 2020, 478, 99–103. [CrossRef] 46. Medernach, J.W.; Hill, T.A.; Myers, S.M.; Headley, T.J. Microstructural Properties of Helium Implanted Void Layers in Silicon as Related to Front-Side Gettering. J. Electrochem. Soc. 1996, 143, 725–735. [CrossRef] 47. Lahav, A.; Fenigstein, A.; Strum, A. Backside illuminated (BSI) complementary metal-oxide-semiconductor (CMOS) image sensors. In High Performance Silicon Imaging; Elsevier: Amsterdam, The Netherlands, 2014; pp. 98–123. 48. Istratov, A.A.; Weber, E.R. Electrical properties and recombination activity of copper, nickel and cobalt in silicon. Appl. Phys. A 1998, 66, 123–136. [CrossRef] 49. Istratov, A.A.; Weber, E.R. Physics of Copper in Silicon. J. Electrochem. Soc. 2002, 149, G21–G30. [CrossRef] 50. Vereecke, B.; Cavaco, C.; Munck, K.D.; Haspeslagh, L.; Minoglou, K.; Moore, G.; Sabuncuoglu, D.; Tack, K.; Wu, B.; Osman, H. Quantum efficiency and dark current evaluation of a backside illuminated CMOS image sensor. Jpn. J. Appl. Phys. 2015, 54, 04DE09. [CrossRef] 51. Gambino, J.P.; Soleimani, H.; Rahim, I.; Riebeek, B.; Sheng, L.; Hosey, G.; Tyuong, H.; Hall, G.; Jerome, R.; Price, D. Device Reliability for CMOS Image Sensors with Backside Through-Silicon Vias. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS 2018), Burlingame, CA, USA, 11–15 March 2018; pp. 5B.61–5B.65. 52. Vici, A.; Russo, F.; Lovisi, N.; Latessa, L.; Marchioni, A.; Casella, A.; Irrera, F. Through-silicon-trench in back-side-illuminated CMOS image sensors for the improvement of gate oxide long term performance. In Proceedings of the 64th Annual IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 32.3.1–32.3.4. 53. Vici, A.; Russo, F.; Lovisi, N.; Marchioni, A.; Casella, A.; Irrera, F. Generation of oxide traps in Back-Side-Illuminated CMOS Image Sensors and impact on reliability. In Proceedings of the 49th European Solid-State Device Research Conference (ESSDERC 2019), Cracow, Poland, 23–26 September 2019; pp. 234–237.

Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

© 2020 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).