Riina Ulkuniemi

UNIFORMITY AND REPEATABILITY OF WAFER LEVEL ICP-RIE ETCHING FOR SEMICONDUCTOR LASERS

Master of Science Thesis Faculty of Engineering and Natural Sciences Examiners: Adj. Prof. Jukka Viheriälä Dr. Lasse Orsila April 2020 i

ABSTRACT

Riina Ulkuniemi: Uniformity and repeatability of wafer level ICP-RIE etching for semiconductor lasers Master of Science Thesis Tampere University Science and Engineering, MSc April 2020

This thesis advances fabrication of AlInP laser diodes by demonstrating methods to prepare uniform waveguide structures over large areas. Results provide up to 48 % improvement in wave- guide depth uniformity. Moreover, thesis demonstrates strong correlation between efficiency of the laser and waveguide depth correlation highlighting importance of the procedures developed in this work. Methods to improve uniformity, etching profile, uniformity characterization and laser characterization are studied in detail. Work exploits reactive ion etching (RIE) in inductively coupled (ICP) chamber, a plasma etch- ing technique widely used in microfabrication to prepare precision structures, including semicon- ductor laser fabrication. Plasma etching technologies have developed as the requirements for devices have become stricter. In RIE, chemical and physical etching are used simultaneously, which results in a higher etch rate than the sum of both mechanisms separately. Even though a uniform etching result over the wafer is expected of RIE, defects such as RIE lag and microloading still exist. The aim of this thesis was to improve a ICP-RIE etching process for obtaining better uniformity and over a wafer and better repeatability from wafer to wafer. This improves yield of the devices, depressing cost of the devices and thus making them more available to society as whole. The process was developed for 3” AlInP wafers. Three tests were run with a total of five wafers. In the first test, three wafers were processed subsequently, and in the second test, onewafer was processed after stabilizing the conditions of the process chamber by etching four quarters of a wafer. In the third test, one wafer was processed in lower process pressure to obtain better uniformity. In addition, light power-current-voltage (LIV) measurements was done to bars from the edge and the center of the wafer to prove the issue from nonuniform processes. The ridge waveguides (RWG) were also imaged with scanning electron microscope (SEM), and correlations between the LIV results and dimensions measured with SEM were studied. Significant difference in the operation of from the center and the edge ofthewafer was observed. Threshold current had a clear correlation with etch depth and the angle of the RWG. Etch depth was seen to decrease with the three subsequent etchings, and stabilizing the chamber by etching quarters of the wafer resulted in better uniformity within a wafer. The etch depth uniformity improved by decreasing the pressure, but it also affected the profile of the RWG by making it more anisotropic. The results of this thesis can improve performance for existing lasers and enable new type of laser products.

Keywords: ICP-RIE, plasma etching, diode laser, repeatability, uniformity, LIV characterization, SEM

The originality of this thesis has been checked using the Turnitin OriginalityCheck service. ii

TIIVISTELMÄ

Riina Ulkuniemi: Kiekkotason ICP-RIE etsauksen tasalaatuisuus ja toistettavuus puolijohdelase- reille Diplomityö Tampereen yliopisto Teknis-luonnontieteellinen, DI Huhtikuu 2020

Tässä diplomityössä esitetään AlInP-laserdiodien valmistusta esittämällä menetelmiä, joiden avulla voidaan valmistaa tasalaatuisia aaltojohderakenteita suurempien kiekkojen yli. Tulokset osoittavat jopa 48 % parannuksen aaltojohteiden etsaussyvyyden tasalaatuisuudessa. Lisäksi työssä todetaan selkeä riippuvuussuhde laserin tehokkuuden ja aaltojohteen etsaussyvyyden vä- lillä, mikä korostaa työssä kehitettyjen toimenpiteiden tärkeyttä. Menetelmiä tasalaatuisuuden, etsausprofiilin, tasalaatuisuuden määrittämisen ja laserin karakterisoinnin parantamiseen käsitel- lään yksityiskohtaisesti. Työssä hyödynnetään reaktiivista ionietsausta (RIE) induktiivisesti kytketyssä plasmakammios- sa (ICP), joka on yleisesti puolijohdeteollisuudessa ja puolijohdelaserien valmistuksessa käytetty plasmaetsaustekniikka. RIE yhdistää kemiallisen ja fysikaalisen etsauksen, joiden yhtäikaisuus tuottaa suuremman etsausnopeuden kuin molempien mekanismien etsausnopeudet yhteensä. Vaikka tasalaatuisuus kiekon yli on vaatimus RIE:n käyttöön, silti yleisiä defektejä prosessissa ovat etsausnopeuserot kuvion koon mukaan (RIE lag) ja etsaussyvyyserot johtuen tiheistä ku- vioista (microloading). Tämän työn tarkoituksena oli parantaa ICP-RIE etsausprosessia paremman tasalaatuisuu- den saavuttamiseksi kiekon sisällä sekä toistettavuuden parantamiseksi kiekkojen välillä. Tämä parantaa komponenttien saantoa pienentäen samalla kuluja, minkä ansiosta komponentit ovat paremmin saatavilla yhteiskunnalle. Prosessia kehitettiin 3” AlInP-kiekoille. Kokonaisuudessaan kolmessa testissä etsattiin yhteensä viisi kiekkoa. Ensimmäisellä kerralla etsattiin kolme kiekkoa peräkkäin, toisella kerralla yksi kiekko, jota ennen prosessikammio stabiloitiin etsaamalla neljä neljänneskiekkoa, ja viimeisellä kerralla yksi kiekko, johon testattiin prosessipaineen alentamis- ta paremman tasalaatuisuuden saavuttamiseksi. Tämän lisäksi valoteho-virta-jännite-mittauksia (LIV) tehtiin laserbaareille sekä kiekon keskeltä että reunasta epätasaisen prosessin vaikutuk- sen tutkimiseksi. Yksi kuvattiin pyyhkäisyelektronimikroskoopilla (SEM), jonka perusteella harjanneaaltojohteen dimensioiden ja LIV-mittausten välistä korrelaatiota tutkittiin. Kiekon reunalta ja keskeltä otettujen laserbarien toiminnassa nähtiin huomattava ero. Kynnys- virta korreloi selvästi sekä etsaussyvyyden että harjanteen muodon kulman kanssa. Etsaussy- vyyden nähtiin laskevan kolmen peräkkäisen etsauksen aikana, ja prosessikammion stabilointi etsaamalla kiekon neljänneksiä auttoi etsaussyvyyden tasalaatuisuudessa. Lisäksi etsaussyvyy- den tasalaatuisuus parani prosessipainetta laskemalla, mutta se vaikutti myös harjanteen profiiliin tekemällä siitä anisotrooppisemman. Työn tulokset voivat parantaa valmistettavien laserien toi- mintakykyä, sekä mahdollistaa uudenlaisten laserien kehittämisen.

Avainsanat: ICP-RIE, plasmaetsaus, puolijohdelaser, toistettavuus, tasalaatuisuus, LIV karakteri- sointi, SEM

Tämän julkaisun alkuperäisyys on tarkastettu Turnitin OriginalityCheck -ohjelmalla. iii

PREFACE

This thesis was carried out in Photonics Laboratory, Tampere University in collaboration with Modulight. First, I want to show gratitude to my supervisors, Adj. Prof. Jukka Viheriälä and Dr. Lasse Orsila, for sharing their expertise, providing their guidance, and helping me exceeding myself during this project. I also want to thank Dr. Soile Talmila for her advice, insight of semiconductor lasers and encouragement throughout this thesis.

I am grateful to Modulight, as I have felt welcome from the very first day to this multi- talented laser family. Special thanks to Seppo Orsila and Dr. Petteri Uusimaa for believ- ing in me and giving me this opportunity. I would like to thank Ville Vilokkinen and Petri Melanen for sharing their neverending knowledge of laser processing and help they pro- vided to me. I am thankful for the whole cleanroom team for helping me get started with laser processing and making the time fly while working. I would also like to thank Mervi Koskinen for her help with the equipment used in this thesis, as well as Ilpo Suominen, Dr. Jari Nikkinen, Antti Saarela, and Dr. Kostiantyn Nechay for discussions on lasers and anything that occurred to mind. In addition, thanks to Prof. Mika Valden for being the first person to see the potential I have and giving guidance to me for the career after studies.

Teekkari culture has given me so much during my studies. I want to thank all my peer students at TUT and TAU for sharing the best years in my life so far, pushing through the optics courses, organic chemistry, pedagogical studies, and many others together. I would not have become who I am without Hiukkanen, Tampereen Teekkarit, and LiiKNeu- vosto - thanks to all who I have had honor to work, learn, and have a blast with. You are all irreplaceable.

Lastly, I want to thank both of my families, my mom and dad for supporting me and giving me important, yet quite diverse advice throughout my whole life. Thank you, Joni, for the endless support during this spring and keeping my feet on the ground but head up in the clouds.

In Tampere, 24th April 2020

Riina Ulkuniemi iv

CONTENTS

1 Introduction ...... 1 2 Semiconductor lasers ...... 4 2.1 Principle of lasers ...... 5 2.2 Semiconductor laser structure ...... 7 2.3 Edge-emitting laser diodes ...... 10 2.3.1 Transverse mode control ...... 10 2.3.2 Longitudinal mode control and optical resonators ...... 11 3 Semiconductor laser fabrication process ...... 14 3.1 Lithography ...... 15 3.1.1 Pattern transfer ...... 15 3.1.2 Photoresists ...... 17 3.1.3 Lithography defects ...... 18 3.2 Etching ...... 19 3.2.1 Etching effects ...... 19 3.2.2 Wet etching ...... 20 3.2.3 Dry etching ...... 23 4 Methods and equipment ...... 31 4.1 Inductively coupled plasma reactive ion etching ...... 31 4.1.1 Main process parameters ...... 32 4.1.2 Equipment ...... 34 4.2 Scanning electron microscopy ...... 35 4.3 Contact profilometry ...... 36 4.4 Laser characterization ...... 36 4.4.1 LIV characteristics ...... 36 4.4.2 Far-field ...... 37 4.5 Process description ...... 37 5 Results ...... 40 5.1 LIV characterization and SEM measurements ...... 40 5.2 Process uniformity and repeatability ...... 44 6 Discussion ...... 49 6.1 LIV characteristics and SEM measurements ...... 49 6.2 Process uniformity and repeatability ...... 51 7 Conclusions ...... 53 References ...... 55 v

LIST OF FIGURES

1.1 The development of semiconductor laser market with forecasts. [5][4][6][7]. 1

2.1 Illustrations of an electron transition from conduction band to valence band in (a) a direct band gap and (b) an indirect band gap. Adapted from [17, p.92]...... 5 2.2 Illustrations of (a) spontaneous emission, (b) stimulated emission, and (c) absorption. Adapted from [18, p. 2]...... 6 2.3 Simplified scheme of a laser. Adapted from [19, p. 18]...... 6 2.4 Principles of a) four-level laser, b) three-level laser with identical pump and upper laser level, and c) Ruby laser type. Adapted from [19, pp. 57–59]. . . 7 2.5 Shematic illustrations of (a) a double-heterojunction laser and (b) it’s bandgap structure. [17, p. 461][18, p. 409][22, p. 406]...... 9 2.6 Illustration of (a) EELD and (b) VCSEL. Adapted from [24, p. 5]...... 9 2.7 Illustration of (a) broad-area laser without index or gain guiding, (b) oxide- stripe laser, (c) buried heterostructure laser (buried type), and (d) RWG laser. Adapted from [24, p. 7][23, p. 287]...... 11 2.8 Illustration of several longitudinal modes inside a cavity, limited by gain. Adapted from [27]...... 12

3.1 Semiconductor laser fabrication flow used in this thesis. Adapted from [20, p. 113][29, p. 193][28, pp. 84–87] ...... 15 3.2 Lithography process flow [31, pp. 80, 81]...... 16 3.3 Illustrations of exposure methods: (a) in-contact printing, (b) proximity print- ing, and (c) projection printing with reduction optics. The effect of diffraction has not been taken into account in these illustrations. Adapted from [15, pp. 108, 115]...... 17 3.4 The behaviours of positive and negative photoresists. Adapted from [31, p. 79]...... 18 3.5 Schematic figure of (a) isotropic etching profile with visible undercut and (b) anisotropic etching profile. Adapted from [15, pp. 129, 130]. . . . 20 3.6 Basic etching mechanisms, (a) chemical reaction, (b) physical sputtering, and (c) RIE. Adapted from [16]...... 24 3.7 RIE chamber. Adapted from [41]...... 25 3.8 Plasma etching effects: (a) faceting and (b) trenching. Adapted from [50, pp. 44, 45]...... 28 vi

3.9 Illustration of (a) microloading, where features with same width are etched to different depths depending on how dense the pattern is; and (b) RIE lag, where wider features are etched deeper than the narrow ones. Adapted from [15, p. 266]...... 28

4.1 ICP chamber. Adapted from [41]...... 32 4.2 PlasmalabSystem 100 with ICP 180 from Oxford Instruments Plasma Tech- nology used in this thesis...... 34 4.3 An example of a reflectivity curve during in-situ monitoring of the etch depth. 35 4.4 Two different RWG profiles (a) vertical and (b) A-shape. A-shape is studied further...... 38 4.5 LI curves of an average channel of both vertical and A-shaped RWGs. A- shaped RWG has a clearly better slope efficiency than the vertical shape RWG, which is better for the laser application. A kink is also visible around 70 mA in the vertical shaped RWG data, which could indicate loss of lateral more control due to too deep etching [28, p. 37]...... 38

5.1 LIV characteristics of broad-area emitters...... 41 5.2 LIV characteristics (above) and dimensions measured with SEM (below) per channel in bar. Threshold current and slope seem to change linearly within the bar, but horizontal beam width doesn’t behave similarly. From SEM measurements, the etch depth seems to change somewhat linearly, as well as the right side angle of the RWG...... 42 5.3 Threshold current as a function of both etch depth and right side angle of the RWG. Linear trend is visible but deviation of the data points is quite large from the linear fit...... 43 5.4 Examples of the SEM images taken for analysis...... 43 5.5 The etch depth over a wafer surface from wafers processed with baseline recipe. Wafers 1–3 were processed in a row, and four quarters of a wafer were processed before processing the wafer 4...... 45 5.6 Wafer profile of the run 3 wafer 5...... 45 5.7 Measured average etch depths of the processed wafers. Etch rate de- creases between wafers 1–3 as the wafers were etched in a row. Prior to etching wafer 4, four quarters of wafers were processed, thus the etch rate has decreased already to same level with wafer 2. The run 2 wafer 1 has the lowest etch depth due to decreased process pressure...... 46 5.8 Optical microscope images of the processes...... 47

6.1 Examples of defects in the RWGs in the SEM images for analysis...... 50 vii

LIST OF TABLES

2.1 Common semiconductor materials by the groups in periodic table [17, p. 22]. 4

3.1 Typical wet etching systems for GaAs(001) and InP(001) [38, p. 228]. . . . . 22 3.2 Typical characteristics of a RIE process [42, p. 198]...... 25 3.3 Typical etching chemistries for different materials [36, p. 292]...... 26

4.1 Baseline process parameters...... 39 4.2 Improved process parameters...... 39

5.1 Threshold current, slope, horizontal beam width, vertical beam width, wave- length, and etch depth of laser bars from the edge and the center of a pro- cessed wafer. Values are average of the measured 24 individual channels, if lasing was achieved during measurement...... 40 5.2 LIV characteristic values and SEM dimension results for bar #5, locating at the edge of the wafer...... 41 5.3 Summary of the processed wafers...... 44 5.4 Etch depth and its variation from all processed wafers...... 46 5.5 RWG angles measured from the optical microscope images...... 48

7.1 ICP-RIE process uniformity and repeatability measuement results...... 53 viii

LIST OF SYMBOLS AND ABBREVIATIONS

A anisotropy

Eg bandgap energy I current

Ith threshold current

Jth threshold current density K Klausing coefficient

La length of the active region

Lp length of the passive region

N0 carrier density at transparency state

Nth threshold carrier density

Pop optical power R etch rate

Rs series resistance S selectivity T period of oscillation U uniformity U voltage

∆nL wavelength Γ total confinement factor Λ grating period

αint internal loss

αm loss in mirror η slope efficiency λ wavelength ν frequency n modal refractive index

nga group effective index for active region

ngp group effective index for passive region τ carrier recombination lifetime ix a gain coefficient b active layer thickness h Planck’s constant m order of Bragg diffraction n refractive index ne effective refractive index q elemental charge t distance between the bottom of the ridge and active medium t time w waveguide width k wavevector AR antireflection ARDE aspect-ratio-dependence etching CD critical dimension DBR distributed Bragg reflector DFB distributed feedback ECR electron cyclotron resonance EELD edge-emitting laser diode HAR high-aspect-ratio HDP high density plasma HMDS hexamethyl disilazane HR high reflection ICP inductively coupled plasma LD laser diode MBE molecular beam epitaxy MOCVD metal-organic chemical-vapor deposition OMVPE organometallic vapor-phase epitaxy PAC photoactive compound PECVD plasma-enhanced chemical-vapor deposition PMMA polymethyl methacrylate RF radio-frequency RIE reactive ion etching RWG ridge waveguide SEM scanning electron microscopy x

UHV ultra-high vacuum VCSEL vertical cavity surface-emitting laser 1

1 INTRODUCTION

In the history of laser market, semiconductor lasers are the fastest growing segment. As the older laser technologies, e.g., carbon-dioxide, helium-neon, and helium-cadmium lasers have retained their role in the industry, scientific instrumentation, and medical ap- plications, semiconductor lasers have gained their share at the laser market, having suf- ficient beam quality with enough power in smaller packages and with lower price,too. Nevertheless, thanks to continuous research and industry-driven improvements due to the increasing needs of applications, semiconductor lasers, i.e., diode lasers seem to have become the workhorse of the modern manufacturing based on lasers. As the first diode laser devices were unable to operate in powers higher than milliwatts even below room temperature, today, the industrial diode lasers can now exceed powers of 120 W in continuous wave operation. [1][2] Semiconductor lasers covered over 50 % of the laser market, peaking in year 2000 with 75 % share of the total revenue of 8.8 billion USD. Nowadays the market share varies around 40 % of the total laser laser market. [3][4] The semiconductor laser market forecast is presented in Figure 1.1.

13 12 11 10 9 8 7 6 5

Market size ($Billion) 4 3 2 1 0 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 Year

Figure 1.1. The development of semiconductor laser market with forecasts. [5][4][6][7]. 2

Overall, the semiconductor device industry forms the base of electronics industry, which is one of the largest industries of the world. Majority of these devices are based on silicon substrates. The device size has been scaled down during the decades of devel- opment, whereas the size of processing wafers has increased. Starting from 12.5 mm (0.5") wafers in 1960s, processing has been done even up to 300 mm (12") wafers. As wafer size increased, the cost effectiveness of single wafer tools improved. The produc- tion of the next step wafer size, 450 mm (18"), has been studied. However, silicon cannot be used for laser fabrication due to having an indirect band gap structure, which is not optimal for light generation. III–V semiconductors have a direct band gap, which is more suitable for high-efficiency photovoltaic devices, high-speed electronic devices, or opto- electronic devices, such as semiconductor lasers. Unfortunately, the limited wafer size of the III–V semiconductors has turned out to be the bottleneck to mass-production along with the high wafer cost for any devices studied. [8][9][10]

As silicon wafer size grew up to 12" by 1999 [8], the III–V semiconductor wafers for laser diode manufacturing is still below 4" wafer size. In the early 21st century, 2" wafers ac- counted 75 % of the diode laser substrate market [11]. As the laser diode market is going to expand in the coming years due to development of, e.g., an increase in demand of opti- cal communication devices, LiDAR (light detection and ranging) sensing for autonomous driving, automotive laser lighting, the emerging technologies require mass-production of lasers with large-size and low-cost substrates of different III–V semiconductor alloys, GaN, GaAs, and InP, for instance. Research for diode laser manufacturing with 4" and 6" wafers are conducted for responding the necessary increase in the production volume. [12][13][14] However, the increase in the production volume requires retaining the suffi- cient yield also with larger wafers. In addition to random yield loss from processing or equipment error, systematic yield loss is due to process capability limitations. As all pro- cesses have variation within a wafer as well as wafer to wafer, minimizing the variation can help in increasing the yield. [15, pp. 449, 450]

One of the important processing technologies in the semiconductor device fabrication is plasma etching. Plasma etching technologies have developed alongside of the re- quirements of the semiconductor devices. As the device size decreased, the need for finer profiles with anisotropy and better critical dimension control increased. Themost common plasma etching mechanism is reactive ion etching (RIE), where both chemical etching and physical sputtering are utilized simultaneously, resulting higher etch rate than the sum of the etch rates of both mechanisms separately. One of the requirements for a RIE process is high uniformity over the wafer, which can be affected by the etching chemistry and other process parameters. Some issues in uniformity arise from the effect from dense pattern layout (microloading) and the etch rate differences between different size of features (RIE lag). [16]

The aim of this thesis was to improve etching uniformity and repeatability of a wafer level inductively coupled plasma (ICP) RIE etching process. The process development was done to a 3" AlInP wafers by testing the effect of decreasing process pressure. In 3 addition to this, etching profile of waveguides is studied with SEM, and results, which are compared to laser characterization data to see the affect and importance of the uniformity. First, the basics of semiconductor lasers and a semiconductor laser fabrication process are introduced. In fabrication processes, lithography and etching are dealt in more detail. Methods and equipment are presented, focusing on ICP-RIE plasma etching reactor. Lastly, results and conclusions for future development are discussed. 4

2 SEMICONDUCTOR LASERS

Semiconductors are materials that have electrical conductivities intermediate between insulators and conductors. The conductivity of semiconductor can be varied by orders of magnitude only by changing its dopant content, temperature, or optical excitation. This property makes semiconductors logical choice for electronic devices. A semiconductor material can be elemental, e.g., Si and Ge, or a combination of two or more compounds, e.g., GaAs and AlInP. Usually, elements from periodic table groups III and V, or II and VI are used for compound semiconductors. Common semiconductor materials are pre- sented in table 2.1. By varying the compounds and their ratio, different electronic and optoelectronic properties can be designed. Doping is a straight-forward way to modify a semiconductor’s properties. When doping, an impurity is introduced to the lattice, and depending on the type, semiconductor becomes n- or p-doped, i.e., adding either elec- trons or holes to the crystal. The impurity is called a donor, if it donates electrons, or an acceptor, if it adds holes to the structure. [17, pp. 21, 22, 104–106]

Table 2.1. Common semiconductor materials by the groups in periodic table [17, p. 22].

II III IV V IV Zn B C N S Cd Al Si P Se Ga Ge As Te In Sb

Semiconductors can have either direct or indirect band gap structure (Fig 2.1). In a lattice, the wavefunction of an electron can be described with a plane wave that is moving with propagation constant, i.e., wavevector, k. Allowed energy values in the lattice can be plotted as a function of k. A semiconductor has a direct band gap structure, if the minimum of the conduction band and the maximum of the valence band are found at the same k value, whereas in indirect band gaps structures, the valence band maximum and conduction band minimum have different k values. Thus, as the electron falls from the conduction band to the valence band in a direct band gap semiconductor, the generated photon has the energy of the band gap, Eg. However, similar transition in an indirect band gap structure requires a change in the k, which usually means energy loss as heat to the lattice instead of an emitted photon. The transition occurs via a defect level at energy

Et. For example, GaAs has a direct band gap and Si has indirect. Thus, direct band gap semiconductors can be used as light-emitting devices, such as lasers and light-emitting 5 diodes, LEDs. The band gap structure can be modified with the composition of a ternary and a quaternary alloys. [17, pp. 90–92]

E E

Eg hv = Eg Eg

Et

k k

(a) (b)

Figure 2.1. Illustrations of an electron transition from conduction band to valence band in (a) a direct band gap and (b) an indirect band gap. Adapted from [17, p. 92].

In 1962, semiconductors became important manufacturing materials for lasers, as first lasers made of compound semiconductors GaAs and GaAsP. Semiconductor lasers pro- vide an easily controllable source of low-power radiation, since the laser output can be modulated by controlling the junction current. [17, pp. 454, 455] In this section, the prin- ciple of lasers, basic properties of semiconductor lasers and two designs for laser diodes are presented from a device processing point of view.

2.1 Principle of lasers

The acronym laser stands for light amplification by stimulated emission of radiation. To describe the phenomenon of stimulated emission, we consider two energy levels of an atom of given material, with energies E1 and E2, with E1 < E2. Consider an atom found at higher energy level 2. The difference in energy between energy level 2 and the lower state 1, E2 − E1, is equal to energy released, when atom decays back to lower state. The energy can be released in the form of a photon, which has a frequency ν0 that depends on the energy difference of the energy level described in equation 2.1

ν0 = (E2 − E1)/h, (2.1) where h is Planck’s constant. If the transition occurs spontaneously, it’s called spon- taneous emission. The emitted photon has arbitrary phase and direction. However, a 6

similar transition can also be induced by a photon with exactly the frequency ν0. This is called stimulated emission, where the emitted photon has the same phase and direction than the incoming photon. [18, pp. 1, 2]

For stimulated and spontaneous emissions to occur, the atom has to be found at excited state. A transition from lower energy level to higher one can happen, if a photon with frequency ν0 is incident on an atom, which takes energy for the transition from the photon. This process is called absorption. A schematic illustration of spontaneous emission, stimulated emission and absorption is presented in Figure 2.2. [18, pp. 1, 2]

E 2 E 2 E 2

ν0 ν0 ν0 ν0

E 1 E 1 E 1 (a) (b) (c)

Figure 2.2. Illustrations of (a) spontaneous emission, (b) stimulated emission, and (c) absorption. Adapted from [18, p. 2].

For obtaining laser radiation, one must have an optical resonator, an active medium, and a pumping source. An optical resonator is a cavity with high-reflective mirrors in both ends. The simplest of this kind of resonator is the Fabry–Pérot resonator, where two plane mirrors are set parallel to each other. The active medium lies between the mirrors inside the cavity, and is pumped either optically or electrically to obtain population inversion, which means having more atoms at excited state than ground state, and thus the active medium can act as an amplifier, where stimulated emissions occur. As photons reflect back from the mirror, light amplifies. In ideal case, the reflectances of themirrors are 100 % and less than 100 %. The partial reflector lets the amplified laser beam to pass partially, and the rest of the light is reflected back to keep the amplification going. [19, pp. 18, 26] A simplified laser scheme is presented in Figure 2.3.

Pump system

Output beam

Active medium Reflector Partial reflector

Figure 2.3. Simplified scheme of a laser. Adapted from [19, p.18].

Even though only two energy levels are presented for the processes in Figure 2.2, two- level systems cannot work as steady-state lasers due to two-level saturation, when stimu- 7 lated emission and absorption processes compensate each other and, eventually, popu- lation inversion cannot be produced. Thus, four-level and three-level systems are utilized in active medium. [18, pp. 6, 7] In four-level lasers, pumping excites the material in the active medium from ground state (level 0) to an excited level (level 3 or pump level). After this, relaxation of the excited state to the lower state (level 2) occurs fast. Relaxation between levels 2 and 1 occurs via stimulated emission. Level 2 can also be called the upper laser level and level 1 the lower laser level. The relaxation process from level 1 to ground state is also fast, so permanent population inversion is obtained between levels 2 and 1. When it comes to three-level lasers, either pump level and upper laser level, or lower laser level and ground state are coincident. [19, pp. 57–59] Principles of four-level and three-level lasers are presented in Figure 2.4.

E 3 E 2 E 2 Relaxation Relaxation E 2 ν0 E 1 ν Stimulated 0 Pump Stimulated emission ν0 Pump Stimulated emission Pump emission E 1 E 1 Relaxation Relaxation E 0 E 0 E 0 a) b) c)

Figure 2.4. Principles of a) four-level laser, b) three-level laser with identical pump and upper laser level, and c) Ruby laser type. Adapted from [19, pp. 57–59].

The main properties of laser light are coherence, monochromacy, directionality, and brightness. Two types of coherence can be discussed: spatial and temporal. Perfect spatial coherence is defined by two points on the same wavefront of an electromagnetic wave having no phase difference at t = 0, and having no phase difference at any time t > 0. Perfect temporal coherence is obtained when the phases of two electric fields of electromagnetic waves remain same over any time. Monochromacy means having only one emitting wavelength, and it arises from the fact that only one frequency, ν0, can be amplified in the cavity. In practice, a narrow line width of wavelengths are emitted. Direc- tionality of a laser beam is obtained directly from active medium placing in the resonant cavity, as only a wave propagating in an orthogonal direction to the mirrors is remains in the cavity. Last but not least, brightness is defined as the power emitted per unit area per unit solid angle. As a laser beam of just a few milliwatts operating power has brightness of several orders of magnitude higher than the brightest conventional sources, this property is taken advantage of in many laser applications. [18, pp. 8–13].

2.2 Semiconductor laser structure

This thesis concentrates on current pumped semiconductor diode lasers, i.e., laser diodes (LD), having an active medium based on semiconductor material. The basic materials for semiconductor lasers are III–V semiconductors since they have direct band gap structure that provides high efficiency in converting the input energy into light. In addition, concen- trations of injected electrons and holes have to be high enough for direct recombination to 8 occur and for the optical gain to be sufficient to achieve the lasing threshold. [19, p. 371] [20, p. 8]

A basic semiconductor laser is manufactured from highly doped direct band gap mate- rial, i.e., GaAs, and a p-n junction has to be formed for population inversion. The first GaAs laser was formed from n-type GaAs, and the p-region was obtained by diffusing Zn into n-GaAs. As this laser structure is formed from single semiconductor material, it’s called a homojunction laser. However, more efficient lasers have to be manufactured with multiple different layers. A lasers that are manufactured from more than one semicon- ductor material are called heterojunction laser. They can operate at room temperature and continuously, which is required in, e.g., optical communication applications. The ef- ficiency is based on the fact that the injected carriers are confined in a narrow regionso that population inversion is built up at lower current levels, thus providing lower threshold current for lasing. A single-heterojunction can be manufactured by growing, e.g., AlGaAs, epitaxially on a GaAs substrate, but further improvement can be obtained with a double- heterojunction, where the intrinsicactive region is sandwiched between other semicon- ductor material, i.e., GaAs sandwiched between two AlGaAs layers. In addition to carrier confinement, the change in refractive index on the interface of the materials provides con- finement for generated light waves. A schematic figure of a double-heterojunction laser is presented in figure 2.5. A double-heterojunction laser hasa p-i-n-structure, where the active region is intrinsic semiconductor without doping. The appearance of double- heterostructure lasers made LDs truly practical, and resulted two Nobel prizes in physics in the year 2000. [17, pp. 455–461][19, p. 379][21, p. 10]

Further development of charge carrier confinement is obtained with quantum well (QW), quantum wire, and quantum dot lasers. In QW lasers, the thickness of the active layer is reduced to a dimension that is comparable to the de-Broglie wavelength. Also, multiple QW can be applied for decreased temperature dependence of the gain. In a quantum wire laser, the active medium is a quantum wire with a conduction band (the electron subband) and a valence band (the hole subband), both being one-dimensional. The wire is embedded inside an intrinsic semiconductor that is sandwiched between p-doped and n-doped layers. In a quantum dot, the movement of electrons and holes is restricted in all three dimensions, resulting discrete energy levels. [18, pp. 413, 414][19, pp. 379, 471– 481]

As all LDs have the basic components of lasers described in section 2.1 [18, p. 375], from processing point of view, they can be divided in two groups based on the optical resonator’s orientation relative to the active medium: edge-emitting laser diodes (EELD) and vertical cavity surface-emitting lasers (VCSEL) [23, p. 290]. If x-axis is chosen to lie in the plane of the substrate and z-axis is the optical axis, for EELDs, the y-axis normal to the substrate, but for VCSELs, y-axis is in the plane, as presented in Figure 2.6 [24, p. 2].

VCSELs differ from EELDs significantly, as the light propagates perpendicular to theac- tive medium. The cavity is very short, around the wavelength of the laser, and is built with two high reflectivity DBR mirrors. Usually, VCSELs have circular aperture, which 9

p-GaAs p-AlGaAs GaAs n-AlGaAs n-GaAs substrate

(a)

p-AlGaAs n-AlGaAsGaAs Ec

Eg

Ev z

(b)

Figure 2.5. Shematic illustrations of (a) a double-heterojunction laser and (b) it’s bandgap structure. [17, p. 461][18, p. 409][22, p. 406].

z

y

z x x

y

(a) (b)

Figure 2.6. Illustration of (a) EELD and (b) VCSEL. Adapted from [24, p. 5]. can be fabricated by air-post etching, proton-implantation, or partial oxidation of a grown layer rich with Al. [25, p. 149] This configuration offers quite many advantages compared to EELDs: VCSELs can be tested without dicing the wafer, are easier to package [23, p. 294], and have inherently single-longitudinal mode operation with circularly shaped beam. However, problems still exist with multi-transverse mode emissions and unstable polarization behaviour. [25, p. 149] This thesis focuses on EELDs and their fabrication. 10

2.3 Edge-emitting laser diodes

With EELDs, transverse mode control and longitudinal mode selection can be affect- edthrough processing. Transverse mode control arises from waveguide definition within the structure, and the longitudinal mode depends on the way optical feedback is obtained. [24, pp. 6–12] Important opto-electronic characteristics of a EELD are discussed in sec- tion 4.4.

2.3.1 Transverse mode control

Transverse mode control contains both vertical and lateral confinement of the optical field. Vertical confinement is obtained from the design of the epitaxial layers, i.e., the properties of the cladding layer that surround the gain medium, whereas lateral mode confinement is obtained with gain guiding and index guiding. Gain guiding is present in all types of laser structures, and it arises from the variations of the optical gain, defining the area where the laser beam propagates. [24, p. 6] Index guiding is obtained by forming a dielectric waveguide, and thus varying the refractive index in horizontal direction. This enhances the guiding effect more than just the gain change that only originates from the injected carriers. [20, p. 124]

The simplest EELD is a broad area laser, where lateral confinement is only obtained from the edges of the laser chip [24, p. 6]. The gain area is thus larger in volume and higher output powers, even tens of watts, can be achieved from a single emitting aperture. The beam quality of a broad area laser is not as good as with narrower lateral confinement. However, the high power from a single emitting area is an advantage when diode pumping of a solid-state lasers is wanted. [26][23, pp. 288, 289] Better lateral confinement in a broad area laser is achieved with gain guiding by adding an oxide-stripe, where current is injected to the material only through a contact stripe that is isolated by an insulating oxide layer [24, p. 6]. This way gain is only obtained in the narrow region, where the current flows, and light is emitted only from this region, even though no physical boundary separating the stripe from other active region exists [23, pp. 287, 288].

Index waveguiding can be achieved in at least three waveguide types: buried type, selec- tive growth type, and distributed impurity type. In buried type, a double-heterostructure wafer is processed into mesa by etching, and then, with a second crystal growth, the formed mesa is buried with semiconductor having smaller refractive index. Selective growth type waveguide has a double-heterostructure grown onto the substrate what is processed into, e.g., mesa, in advance. Change of refractive index can also be obtained by impurity density variation in horizontal direction, as the waveguide is distributed im- purity type. In addition, the index guiding of a ridge waveguide (RWG) laser is obtained from the refractive index variation between the etched ridge and surrounded dielectric material. [20, p. 125][24, p. 6] Examples of waveguides are presented in Figure 2.7.

Gain guided lasers enable continuous wave (cw) operation at sufficiently low threshold 11

Contact Oxide p-cladding Active layer n-cladding Substrate

(a) (b)

Regrown Insulating material material (oxide)

(c) (d) Figure 2.7. Illustration of (a) broad-area laser without index or gain guiding, (b) oxide- stripe laser, (c) buried heterostructure laser (buried type), and (d) RWG laser. Adapted from [24, p. 7][23, p. 287].

current densities (Jth), but the performance can be improved by adding index guiding. As the confinement is increased, lower threshold current densities and optical losses occur, and the modulation response also improves. The current density is given by

(︃ )︃ qb αm + αint Jth = N0 + , (2.2) τe(Nth) aΓ where q is the elemental charge, b is the active layer thickness, τe(Nth) is the carrier re- combination lifetime at threshold carrier density, N0 is the carrier density at transparency state, αm is the loss in mirrors, αint is the internal loss, a is the gain coefficient, and Γ is the total confinement factor. [24, pp. 7, 8]

For instance, with RWG lasers, the width of the waveguide (w) and distance between the bottom of the ridge and active medium (t) are important when reaching for single transverse mode operation. An estimation of the maximum width of a waveguide ensuring single-mode operation can be done with equation

λ w < , (2.3) 1/2 (8ne∆nL) where λ is the wavelength of the laser, ne is the effective refractive index, and ∆nL is the refractive index variation in the lateral direction. The longer the wavelength of the laser, the wider waveguides can be used. [24, pp. 7, 8]

2.3.2 Longitudinal mode control and optical resonators

The simplest optical resonator for LD is a Fabry–Pérot resonator (FP), as described in section 2.1 [23, p. 290]. The FP mirror formation in EELDs is done with cleaving, which 12 happens along the crystal plane of the semiconductor. This is crucial for achieving good quality mirrors, as it is critical for how well the laser can perform. Cleaved surfaces can be passivated with high reflection (HR) coating at rear facets and antireflection (AR) coating at front facet. Optimal reflectivities of the mirrors depend on the cavity length andthe active medium design, and they can be varied by deposition of dielectric mirrors. [24, pp. 10, 11]

Usually single-mode operation of a laser is preferred over multi-mode. Even though a laser would operate on a single-transverse mode, several longitudinal modes may still oscillate. Typically, a laser emits different longitudinal modes separated by dλ given in

λ2 dλ = , (2.4) 2(ngaLa + ngpLp) where nga and ngp are the group effective indices for active and passive region, respec- tively, and La and Lp are the lengths of the active and passive regions, respectively [21, pp. 56–58]. Thus, cavity supports all modes separated from each other by dλ, and they all resonate inside the cavity. In some cases, such as VCSELs, single-longitudinal mode can be obtained by having a really short cavity. However, for EELDs, short cavities become too short to be practical to manufacture. The amount of longitudinal modes increase as the laser is modulated at high frequencies, which arises from having no difference in the losses of different modes. [18, p. 291][20, pp. 129, 130]. Schematic illustration of longitu- dinal modes inside a resonant cavity, where wavelength selectivity exists, is presented in Figure 2.8.

Gain

Longitudinal modes

λ

Figure 2.8. Illustration of several longitudinal modes inside a cavity, limited by gain. Adapted from [27].

To obtain single-longitudinal mode operation, wavelength selectivity has to be obtained in the cavity configuration. [18, p. 291][20, pp. 129, 130] One mechanism used forthis purpose is distributed feedback grating (DFB), where a diffractive grating is fabricated in the vicinity of the active medium. Grooves that are spaced regularly scatter only a narrow range of wavelengths back to active medium, thus selecting the wavelenghts that receive feedback and lase. The grating period is obtained from the Bragg condition, which states 13 the phase condition that has to be satisfied by the wavelengths for coherent coupling between waves propagating in the opposite directions, that is,

mλ Λ = , (2.5) 2n where Λ is the grating period that is also called pitch, m is the order of Bragg diffraction, and n is the modal refractive index. A variation of DFB is distributed Bragg reflectors (DBR), where gratings are placed to the region in active medium where there is no gain. [23, pp. 291–293][24, p. 12] 14

3 SEMICONDUCTOR LASER FABRICATION PROCESS

A semiconductor laser fabrication process can be categorized into three steps: wafer growth, device processing, and packaging [28, p. 83]. The structure of the wafer de- pends on the design criteria of the device, e.g., desired wavelength and operation condi- tions. [20, p. 112]. The two most important techniques for wafer growing are molecular beam epitaxy (MBE) and metal-organic chemical-vapor deposition (MOCVD), which is also sometimes referred as organometallic vapor-phase epitaxy (OMVPE). In MOCVD, metal-organic precursors, i.e., group III alkyls and group V hydrides, are used as gas sources, which react on a heated substrate, forming the epitaxial film. MOCVD can be used in both low and atmospheric pressures, whereas MBE is only carried out in ultra- high vacuum (UHV). In MBE, thermal beams of atoms are evaporated from the heated effusion cells to the substrate, which is also heated for the layer forming. [28, p. 83]

When the desired wafer is ready, processing can start. Process steps differ depending on the type of device fabricated, i.e., whether EELDs or VCSELs are targeted, and on what kind of waveguide fabrication is selected. Etching and lithography steps are impor- tant when it comes to the waveguiding properties, and in the end, device properties. [28, p. 84][29, pp. 204–209] Steps used in this thesis to form EELDs include p-type electrode contact forming with lihtography process and resist lift-off, wafer thinning and n-type elec- trode forming, and cleaving the bars. Cleaved facet surfaces are passivated, and possibly their reflectivities can be modified with PECVD processes, for instance. The last butnot least part of the fabrication process is packaging, which has a major impact on laser device reliability and performance. Some typical packaging formats are TO can and rect- angular butterfly package. [28, pp. 84–87] Semiconductor fabrication flow is presented in Figure 3.1.

In this thesis, the critical processes of waveguide formation are studied - lithography and etching. Both processes are introduced, focusing on etching on a deeper level. 15

Figure 3.1. Semiconductor laser fabrication flow used in this thesis. Adapted from [20, p. 113][29, p. 193][28, pp. 84–87]

3.1 Lithography

The evolution of lithography was enabled by the fact that semiconductor industry contin- ued developing higher density and performance integrated circuits. The feature size has decreased from 25 µm to 35 nm, which has required development of the process tech- nologies and introduction of new materials. As improvements of optical UV lithography require complex mask-making and better photoresists, any further improvements with cost efficiency are becoming more challenging. [30] The desired pattern is transferred to the underlying layer with photoresist by exposing the it through a mask. The exposure can be done by either electromagnetic radiation in UV or X-ray region, or particle beams, ions or electrons. UV light technology is efficient due to being able to expose large areas at once, but the wavelength sets limitations to the feature size. [31, p. 78] Direct writ- ing can also be done to the photoresist by electron beam lithography and laser pattern generation, from which laser patterning is faster and cheaper due to working in room am- bient, whereas electron beam lithography requires vacuum equipment [15, pp. 95–97]. The better resolution of electron beam lithography is utilized in the photomask production [31, p. 79]. Other advanced techniques for pattern transferring are nanoimprint and di- rect self-assembly. Nanoimprint can be used in manufacturing the grating in DFB laser diodes, but direct self-assembly requires developments of capabilities so that it could be adopted as viable technologies. [30][32, pp. 49–51]

3.1.1 Pattern transfer

A basic UV lithographic process flow is described in Figure 3.2. The substrate is prepared for the process by cleaning the surface from organic contamination, patricles, and ab- sorbed water. After cleaning, some adhesion agent like hexamethyle disilazane (HMDS) is usually added to the surface. Photoresist is then applied to the surface of the wafer, and then spinned with speeds of thousands of rounds per minutes (2000-5000 rpm). 16

This procedure is called spin coating. Prior to exposure, the wafer is prebaked either in an oven or on a hotplate for removing maximum amount of solvents from the resist. [31, pp. 79–81]

Figure 3.2. Lithography process flow [31, pp. 80, 81].

As the mask is aligned on top of the wafer, the pattern can then be transferred via ex- posure, which can be done by in-contact, proximity, or projection printing. [31, p. 80] In-contact and proximity lithography can be carried out with the same equipment only by adjusting the gap between the mask and the processed wafer. In proximity lithography, the gap can be 5–30 µm, whereas there’s no gap when using in-contact lithography. The image size equals the original size of the mask. If projection printing is used, with reduc- tion optics, image size can be 4 times reduced, i.e., for generating 50 nm patterns, only 200 nm patterns are required in the mask. In addition to this, the exposure can be done chip by chip in projection printing: when one chip is exposed, the wafer is moved and the next chip is exposed. This type of systems are known as steppers and the method as step-and-repeat lithography. Schematic figures of each method for exposure are pre- sented in Figure 3.3. [15, pp. 108, 115, 116]

The theoretical limit is inherently dependent on the wavelength of the light used in expo- sure by Rayleigh diffraction limit, which is for any electro-magnentic radiation twice the wavelength. The common used wavelength of a mercury lamp is 436 nm [15, p. 108], which provides resolution of 0.87 µm. Decreasing the exposure wavelength to 365, 313, or 254 nm can help with the resolution, however, even though the resolution problem would be solved, the optical lithography process itself becomes limiting factor due to diffraction and depth of focus. [33, p. 117]

The post-exposure bake is done before developing, aiming to reduce the effect of an in- homogenous exposure, which arises from standing waves in the photoresist. Developing removes the soluble areas of the photoresist, and the pattern is formed on the substrate. Hardbake is done to evaporate residual solvent and enhance the adhesion, and after it, the resist mask is inspected prior to the processing step. If the mask is not accepted due to, e.g., many defects, lithographic process has to be started from the beginning. If mask is accepted, process step for the pattern transferring to the underlying layer by etching, 17

UV radiation

Mask

Resist First optical Substrate system (a)

Second optical system

Gap (c)

(b)

Figure 3.3. Illustrations of exposure methods: (a) in-contact printing, (b) proximity print- ing, and (c) projection printing with reduction optics. The effect of diffraction has not been taken into account in these illustrations. Adapted from [15, pp. 108, 115]. doping, or lift-off can be proceeded. After this, resist is removed with chemical or oxygen plasma treatment. [31, pp. 79–81]

3.1.2 Photoresists

Photoresist is a photosensitive material which is used to form patterns on wafer surface. After the UV light exposure, the photoresist forms the pattern to the wafer surface when it has gone through chemical processes. Photoresist are divided in three different groups based on the way they react to light exposure: positive, negative, and image-reversal resists. Positive photoresist retains the desired image patterns in the areas not exposed to the light, whereas negative photoresist retains the patterns that are exposed to the light. The chemistry is quite different between the positive and negative resists. However, image-reversal resists have similar chemical base with positive photoresists, but the im- age reversing property is obtained from a agent that activates at elevated temperatures and only in exposed areas, which in the following steps remain as unexposed areas will dissolve resulting negative image of the mask and negative sidewalls. Positive resists are the most widely used resists in microfabrication. [24, pp. 27, 28][34, pp. 10.17–10.19] Principles of positive and negative photoresists are presented in Figure 3.4.

Most common UV-resist type in microfabrication consist of a novolac resin binder (N), a photoactive component (PAC), and a solvent for viscosity adjustment [24, p. 27]. In posi- tive resists, the used PAC is based on the diazonaphthaquinone (DQ) family, and together with novolac, the commonly used abbreviation of the system is DQN. The DQN resists are widely used due to many desirable properties: wide spectral sensitivity, aqueous 18

Positive resist

UV radiation

Mask Resist Developing Etching Resist stripping Layer Substrate

Negative resist

Figure 3.4. The behaviours of positive and negative photoresists. Adapted from [31, p. 79]. development, outstanding etch resistance, and sufficient thermal stability. However, the high optical density of resin disturbs the deep UV light absorption by the DQ. Alternative systems for deep UV are developed for polymethyl methacrylate (PMMA) as a resin, and onium groups that form Lewis acids when exposed to UV as a PCA. Negative resists work by random free radical polymerization with cross-linking of the main chains of monomer and oligomer binders. If reaction propagates efficiently without premature termination, high chemical amplification can result. However, due to the sticky nature of the resist, it requires proximity exposing, which has limited the commercial use. [34, pp. 10.19–10.21]

Over time, as the wavelength of the used radiation for exposure decreased, its intensity also decreased. This meant that photoresists with higher photosensitivity were needed. A chemical amplification process was developed, where a photon generated anacid- based catalyst that initiated depolymerization process, breaking multiple polymer bonds. This process is the basis for many generations of photoresists, enabling the lithographic processes for 248 and 193 nm technologies cost-effectively. However, as the feature size kept shrinking, the diffusion of the catalyst in the photoresist had to be restricted with additional chemicals. [30]

3.1.3 Lithography defects

Minimizing the number of lithography defects on a wafer is important for maximizing the yield of good chips. Typical lithography defects arise from mask, printing end engraving defects. The mask defects can be related to mask making or dirts in it during exposure causing pinholes or spots. [35, pp. 560–562]

Defects can be encountered when opening the windows in the masking film. Undercut- ting can occur during the etching process, which can result larger windows, thus affect- ing the fabricated device parameters. Proper adhesion of the resist can help with the issue, however, it’s still common when doing wet chemical etching. The problem has reduced as plasma etching techniques have been taken to use. Dimensional variations 19 can occur in widths of fabricated shapes, which is a sever issue when long and narrow cuts are wanted. Mask alignment errors and cumulative step-and-repeat process errors can lead to changed device configurations. This problem is important due to increased high-density structures are required in VLSI technology. Tearing is an issue related to metallization, as metal tears off during lift-off. Other defects, such as dust particles and scratches can be due to rough and careless handling during fabrication. [35, pp. 562– 564]

3.2 Etching

The transition of a pattern occurs in two phases: resist patterning of the surface of the wafer by lithography and the etching of the material below. In etching process, the sub- strate is attacked chemically, physically, or with combination of those to the areas, which are not protected by the resist. As some materials are spontaneously chemically etched, ion bombardment, i.e., physical etching, can be used to etch any material. [15, p. 127] If chemical etching is assisted by physical processes, the etch rate (R) of the combined process is higher than the sum of the etch rates separately [16].

Etching is usually divided into two classes, wet etching and dry etching. Wet etching can be done in bath or tanks, whereas dry etching typically utilizes plasma technology in vacuum chamber with gas system and radio-frequency (RF) generator. The basic steps of etching are transporting of etchants to surface, processes at the surface, and removal of the products. These steps apply to both classes of etching, however, any diffusion is more rapid in gas phase than in a liquid. [15, pp. 127, 128] In the following subsections, general effects of etching are discussed, and both etching classes are introduced.

3.2.1 Etching effects

An etching process can be characterized in multiple ways, but the most important one is the etch rate, R, which has dimensions of thickness per unit time. To ensure control of the etch rate, desired rates fall in between hundreds or thousands angstroms per minute. Etch rate can be different for a single-wafer process and a process with batch of wafers. Etch uniformity, U, measures the variation of the etch rate or etch depth either within a wafer or between wafers. [36, p. 283] Two definitions for uniformity are given by equations 3.1 and 3.2,

max − min U = (3.1) 2 · average

max − min U = (3.2) max + min where max and min are maximum and minimum values of etch rate or etch depth. The former can be used when five measurements are taken: one at the center of the wafer 20 and four at half-radius at 90◦ from each other, the latter when the four points are from the wafer edges. [15, p. 25]

When designing the etch masks, selectivity, S, needs to be taken into account. Selectivity is defined as the ratio of the etch rate of two materials

R S = 1 , (3.3) R2

High selectivity between the etch mask and the etched material allows even 500 µm etching processes, and offers the possibility of etch stops. [15, pp. 127–135, 240][37, p. 320]

The etch profile is also one important characteristic of the etching process [37, p.320]. The most straight-forward factor affecting the profile is whether the nature of the etching is isotropic or anisotropic. In isotropic etching, the etch rate is the same in every direction, whereas in anisotropic etching, the etch rate varies between lateral and vertical direction. Anisotropy, A, is given by equation 3.4

R A = 1 − L , (3.4) RV where RL is the lateral etch rate and RV is the vertical etch rate. If anisotropy equals 1, the process is said to be completely anisotropic. On the other hand, if anisotropy equals 0, the etch rates are equal and thus, the process is isotropic. Isotropic etch processes give rise to effect called undercut, which means lateral etching under etch mask. [36, pp. 283, 284] Different profiles are presented in Figure 3.5.

Undercut

Etch mask

Etched material

(a) (b)

Figure 3.5. Schematic figure of (a) isotropic etching profile with visible undercut and(b) anisotropic etching profile. Adapted from [15, pp. 129, 130].

3.2.2 Wet etching

Wet etching occurs via two major mechanisms: insulator etching by acid-base reaction and metal etching by electron transfer. Wet etching rate is determined either by surface reaction rate or by etchant availability, i.e., transporting of etchants. Most wet etching pro- cesses result in an isotropic profile, as the etch rate is same in every direction. However, 21 the etch rates of some etchants depend on the crystal plane of the material. Potassium hydroxide (KOH) etching of silicon is a common example, since Si(100) planes are etched 200 times faster than Si(111) planes, and angle of 54.7◦ is obtained between the (111) and (100) planes. [15, pp. 128–131]

Wet etching was broadly used for patterning in the 1980s, when feature size was relatively large, over 3 µm. When compared to dry etching, equipment used in wet etching are much cheaper. Nevertheless, as the feature size got smaller, the wet etching of densely packed patterns became impossible due to isotropic etch profile. [37, p. 325] In addition to this, other disadvantages of wet etching are, e.g., poor accuracy of the etched patterns, the danger involved in handling during the etching, waste chemicals from the point of view of pollution, and the dependence of the pattern yield on an operator’s skills, if processes are handled manually [16].

In the 1990s, automatic wet benches were developed to counter the problem with op- erator dependency, so that the etch time, bath temperature, and bath composition can controlled precisely [36, p. 285]. However, the need for smaller feature size and high- aspect-ratio (HAR) structures with accurate critical dimension (CD) control drove the need for the conversion from the wet etching processes to the dry etching processes [16]. In addition to wafer processing, other applications for wet etching are preparation of the semiconductor wafers, polishing, and analytical applications for destructive techniques when determining crystallographic defects and stacking faults, for instance [33, pp. 229, 230].

Wet etching of III–V semiconductors

When etching semiconductors, a few aspects need to be taken into account: the two different conductivity types, resistivity levels that vary, and exposed p-n junctions. Be- cause of these aspects, electrochemical reactions can involve both valence-band and conduction-band electrons. Also the crystallographic orientation and presence of light may influence the etch rates. For a surface atom to dissolute, covalent bonds needtobe broken. Due to this, p-type semiconductors are etched more easily than n-type semicon- ductors, which need holes to be etched. [33, p. 254]

For the dissolution of III–V compounds, three mechanisms can be distinguished: anodic dissolution, electroless dissolution, and chemical dissolution. External electric potential is used for controlling both anodic dissolution and electroless dissolution. Initiation of the anodic dissolution can be done in the dark at p-type electrode, or under illumination at n-type electrode. Electroless dissolution is based on two separate reactions that occur simultaneously: anodic oxidation of the semiconductor and the reduction of an oxidizing agent. The anodic oxidation consumes holes at the surface of the semiconductor, which is why the etch rate can be controlled by applying an external potential. As the oxide formation and the surface charging may have an influence to the cathodic reduction of the oxidizing agent, two different classes of electroless dissolution can be distinguished: 22 oxide-free dissolution, where hole injection is controlled by diffusion of the oxidizing agent in solution and thus continues under oxide-free conditions, and oxide-forming conditions, where oxide formation limits the etch rate due to need of the holes tunneling through the formed oxide layer so that it can oxidize the semiconductor. Both anodic and electroless dissolution etch rates depend on the applied potential, the pH of the electrolyte solution, or the chemical oxide dissolution rate by OH−. [38, pp. 223–226]

On the contrary to previous mechanisms, the rate of chemical dissolution is independent of the potential over a vast potential range, as it’s not influenced by the surface hole concentration. Chemical dissolution occurs with bifunctional etchants, which can be ei- – ther symmetrical, e.g., Cl2, and H2O2, or asymmetrical, e.g., OCl and HCl. Dissolution is now initiated by an exchange of bonds between the etchant and the semiconductor, which means that reaction doesn’t involve free charge carriers. The semiconductor is directly chemically attacked, which can’t occur, if oxide is formed to the surface of the semiconductor. [38, p. 226] However, etchants that operate by chemical mechanism aren’t necessarily oxidizing agents, even though they mostly are [39]. In table 3.1, typical wet etching systems are presented for GaAs(001) and InP(001).

Table 3.1. Typical wet etching systems for GaAs(001) and InP(001) [38, p. 228].

Etchant pH GaAs(001) InP(001) Etching Etching Etching Etching mechanism kinetics mechanism kinetics Diffusion Diffusion Br2 acidic Chemical Chemical Br2 Br2 Diffusion Diffusion Cl2 acidic Chemical Chemical Cl2 Cl2

I2 acidic Chemical Kinetic Chemical Kinetic Diffusion/ HBr acidic - - Chemical Kinetic mix HCl acidic - - Chemical Kinetic Diffusion/ acidic Chemical Kinetic Chemical Kinetic H2O2 H2O2 basic Chemical Kinetic Diffusion Chemical - - OCl – basic OCl – Electroless, Diffusion oxide OH – forming

Etchants presented in table 3.1 can also be mixed with oxidizing agents. For instance,

GaAs can be etched with mixtures of H2SO4 –H2O2 –H2O or NH4OH–H2O2–H2O. Etch- ing of GaAs can be made selective over Alx Ga1 – x As with the latter mixture. [36, p. 287]

Polishing of GaAs can be done by mixture of Br2–CH3OH [33, p. 256]. 23

Wet etching of dielectrics

One of the most common wet etching processes is the isotropic wet etching of silicon dioxide (SiO2) in diluted solutions of hydrofluoric acid (HF). The overall reaction ofthe etching process is

SiO2 + 6 HF → H2 + SiF6 + 2 H2O (3.5)

Reaction rate decreases as more HF is consumed. This can be avoided by using buffered

HF (BHF), where buffering agent, usually ammonium fluoride (NH4F), which maintains the HF concentration constant as it dissolves

NH4F ⇌ NH3 + HF (3.6) where ammonia (NH3) is a gas. Patterned SiO2 can be used as a hard mask for later etching processes. [40] Silicon nitride can also be etched by HF, but with slower rate. [36, pp. 285, 286][33, p. 252]:

Si3N4 + 18 HF → H2SiF6 + 2 (NH4)2SiF6 (3.7)

The etch rate of silicon nitride depends on the stoichiometry, substrate temperature during deposition, and the density of the film [33, p. 252].

3.2.3 Dry etching

Dry etching is often used as a synonym for plasma etching. As dimensions of devices were scaled down and required better control of the profiles of the etched patterns, wet etching could not compete with dry etching. [16] Plasma etching can proceed with three different mechanisms: chemical reaction, physical sputtering, or a combination of the two, which is often called ion-assisted plasma etching. Physical sputtering is controlled by accelerated ions formed in the plasma, and it provides anisotropic profiles, but it might also induce significant damage, non-stoichiometric surfaces, rough surface morphology, and poor selectivity, which can lead to poor device performance. Mechanisms that are dominated by chemical reaction depend on the formation of reactive species inside the plasma, which then absorb to the surface forming volatile etch products, and desorb from the surface. As the energies of the species are relatively low, etching is usually isotropic, which leads to loss of critical dimension. Nevertheless, plasma-induced damage is mini- mized. If combination of the mechanisms are used, it is possible to obtain high-resolution features with both minimize the damage and high device performance. [41] Basic etch- ing mechanisms are presented in Figure 3.6. Utilization of both chemical and physical processes is usually called reactive ion etching, RIE. [16].

Plasma etching has replaced wet processes in microcircuit fabrication due to its better resolution, adaptability to larger output and automation. As plasma etching is needed 24

Radical Ion Radical Ion + +

(a) (b) (c)

Figure 3.6. Basic etching mechanisms, (a) chemical reaction, (b) physical sputtering, and (c) RIE. Adapted from [16]. for anisotropic fine feature patterning (feature sizes of few micrometers), it can be also used for larger scale etching, e.g., stripping or ashing of photoresist, etching large areas, and removing nitride oxidation masks. [33, pp. 343–345] Any product that involves (very) large scale integration, (V)LSI semiconductor devices is fabricated by using core plasma processes such as plasma etching and ashing.[16][35, pp. vii–ix][31, pp. 2–4] Plasma etching is a technique in the center of the semiconductor fabrication processes, which has also made possible to develop processes LD fabrication.

Basic RIE process steps

First in RIE process, active species are generated in plasma discharge by electron-impact by the following processes: ionization (Eqn 3.8a), excitation (Eqn 3.8b), and dissociation (Eqn 3.8c).

e− + Ar → Ar+ + 2 e− (3.8a) − ∗ − e + O2 → O2 + e (3.8b) − − ∗ ∗ e + SF6 → e + SF5 + F (3.8c)

Hence, the generated plasma consists of neutral radicals, charged ions, electrons, and additional neutral species, e.g., excited molecules. [15, pp. 132, 133][42, p. 196]

A schematic figure of RIE process chamber is presented in Figure 3.7. The materialto be etched is placed on the electrode, which is driven with high-frequency power with a capacitatively coupled system. The most common frequency used is 13.56 MHz. Due to electrons having higher mobility than the ions, after ignition, the substrate acquires a negative charge, and thus the sample set on the electrode is imposed to ion bombard- ment of the positive ions. Charging of the substrate is also called the self-bias voltage. [16][42, pp. 196, 197]

The reactive species generated diffuse to the sample surface, and adsorb to the material to be etched. This can be strongly enhanced by the coincident ion bombardment, which produces active areas for etching. After this, reaction between the adsorbed species and 25

Gas inlet

Plasma

Sample

Electrode

RF power

Pumping system

Figure 3.7. RIE chamber. Adapted from [41]. substrate occurs, and ion bombardment makes it possible for adsorbed species to attack more efficiently the backbonds of the substrate. After this, the volatile products desorb into gas phase, as the products have high vapor pressure at the substrate temperature. Products are then pumped out, so that they won’t dissociate and redeposite on the sub- strate surface. [42, pp. 197, 198] Typical characteristics of a RIE process is presented in table 3.2. Table 3.2. Typical characteristics of a RIE process [42, p. 198].

Quantity Typical value RF power density 0.05 – 1.0 W/cm2 RF frequency 10 kHz – 27 MHz (commonly 13.56 MHz) Pressure 0.01 – 0.2 Torr Wafer temperature -160 – 300 ◦C 0.5 eV (bulk of plasma) Ion energies 10 – 500 eV (after traversing cathode sheath) Ion density 109 – 1010/cm3

In addition to basic RIE system (Figure 3.7), etch characteristics can be improved with high density plasma [41]. A regular capacitively coupled plasma (CCP) reactor only pro- vides low-density plasma, but high density plasmas can be obtained with electron cy- clotron resonance (ECR), magnetron RIE (MRIE), and inductively coupled plasma (ICP) [16][41]. In ECR plasma systems, microwave radiation (2.45 GHz), is used for plasma generation, and the generated plasma is extracted from plasma chamber to reaction chamber [43]. Controlling plasma this way offers advantages of highly directed ions in etching anisotropic profile and better uniformity can be obtained over the wafer, andden- 26 sities of 1012 cm−3 can be achieved [44]. In MRIE, operating pressure is significantly lower than in regular RIE, which provides very high dissociation of the etching gas and long mean free paths [45]. Magnetic field is also used for confining electrons closeto the sample surface, thus minimizing electron loss to the wall [41]. In ICP, generation of plasma and ion bombardment are controlled with different RF sources [46]. ICP-RIE systems are discussed further in section 4.1.

Plasma chemistries

For etching to occur, chemical bonds have to be broken. Therefore, considering bond energies, possible etching reactions can be discussed. If the bond energy between sub- strate atoms is weaker than the bond energy of the possible etch product, the substrate is etched. Also, volatility of the reaction products is one criteria when choosing etchants. In addition to the active etchant, other gases are also added to plasma formation. Gases like argon (Ar) are added for stabilizing the plasma, whereas oxygen can be added as a scavenger, i.e., it can react with fragments of CFn and SFn, and simultaneously keeping fluorine concentration high in the plasma, or it can be added for increasing anisotropy to- gether with Ar. Nevertheless, oxygen also reacts with resists, which can decrease selec- tivity between the etched material and resist. [15, p. 133][33, p. 283] Oxygen scavengers, such as BCl3, can be used as an additives for native oxide removal [42, p. 222][47]. Some typical etching chemistries are presented in table 3.3.

Table 3.3. Typical etching chemistries for different materials [36, p. 292].

Etched material Typical etching chemistries

Si SF6/O2/Cl2, SiF4/O2, CF4/O2, Br2

GaAs BCl3/Ar, Cl2/O2/H2, CH4/H2, CCl2F2/O2/Ar/He

InP CH4/H2,C2H6/H2, Cl2/Ar

SiO2 CF4/H2, CHF3/O2,C3F8

Si3N4 CF4/O2/H2,C2F6, CHF3

As fluorine is the active etchant in most of the silicon microfabrication processes, III–V semiconductors cannot be etched with it due to decreased volatility caused by fluorine passivation (with the exception of CCl2F2). Thus, atomic chlorine is suitable and usually used active etchant for most III–V semiconductors. [33, p. 383] However, in indium based semiconductors passivation can occur due to InCl3 formation in low, i.e., room temper- ature. This can be solved by either having physical etching with high ion energies to remove the passivation layer from the surface to be etched, or heating the substrate to temperatures around 150 ◦C. However, this causes variety of other problems, e.g., degra- dation of optical and electrical properies of the etched semiconductor due to forming of deep-level nonradiative states into its bandgap. Thus, it’s more convenient to use CH4/H2 chemistries for indium based III–V materials, even though etch rates are lower and cause issues of polymer deposition onto chamber walls, erosion of photoresist mask, and post- 27 etching annealing to restore the initial conductivity of the semiconductor due to hydrogen passivation of dopants near surface. [48][49]

Etching of SiO2 and Si3N4 is usually energy-driven ion enhanced reaction, and anisotropic processes are even insensitive to substrate temperature, whereas electrode potential has major influence on the etch rate and selectivities. Using fluorine atoms for etching ispos- sible, when pattering or stripping oxides and nitrides over III–V semiconductors, but not over silicon, since it has higher etch rate for fluorine than the dielectrics. [33, pp. 375, 376]

Plasma etching effects

The characteristic effects that arise from plasma etching are discussed. When pursuing for anisotropic structures, sidewall quality and edge profile might be crucial for the fabri- cated application to work. For example, the mirrors of an optical cavity have to be per- fectly parallel so that light won’t leak out. Some effects affecting this are called faceting, trenching, back-scattering, and re-deposition. Faceting (Fig3.8a) results from the physical sputtering of the etch mask material during the etching process. The amount of the sur- face atoms of the etched material removed per incident energetic ion, i.e., the sputtering yield depends highly on the angle at which the surface is hit by the ion, as the maximum sputter yield is usually not at 0◦. At the maximum sputter yield, the facet angle, planar surface is developed and it propagates through the mask as etching continues. If the ef- fect continues up to the etched surface, it leads to non-vertical side profiles. Faceting can be prevented by having etch mask that has high enough selectivity over etched material, or choosing mask material with proper facet angle. Trenching (Fig3.8b) is also caused by the physical sputtering, where ions are deflected from the sidewall resulting enhanced ion flux and etch rate at the bottom of the structure. In back-scattering, sputtered ma- terial returns back to the surface due to collision with particles in plasma, re-sputtering the etched material that has condensed on another surface, or electrostatic attraction of positive ion that are emitted. Re-deposition occurs if the formed etching products are not volatile, which is common when etching III–V semiconductors in halogen environment. Elevated etching temperatures can reduce the problem, since re-deposited material has enough thermal energy to desorb from the surface. [15, p. 264][50, pp. 43–45]

When pursuing uniformity, controlling the etch rate of the RIE processes becomes impor- tant [50, p. 45]. The etch rate is naturally affected by changing process parameters (see table 3.2), but also some sample related issues are important to take into account. Three fundamental claims can be stated: first, etch rate depends on the etched area - the larger the area, the slower the rate and vice versa; second, etch rate is slower when etching small features than when etching larger ones; and third, etch rate slows down during pro- cess. Dependence of the etch rate of the etched area, i.e. the etching load, is called the loading effect. It’s common phenomenon when considering chemical reactions, and oc- curs in every etching process. In practise, it means that etching time has to be adjusted to compensate different etching loads, i.e., compensate different sizes of etched area. The 28

Etch mask

Etched material

(a) (b)

Figure 3.8. Plasma etching effects: (a) faceting and (b) trenching. Adapted from [50, pp. 44, 45]. loading effect operates also in different scales: if a batch of wafer is etched, the number of wafers causes the load; if single wafer is etched at time, different chip designs have different areas to be etched; within one wafer, the etch rate varies between local pattern densities. The latest is also called microloading, and it appears as a variation of the etch depth between isolated and array features. [15, pp. 265, 266][35, p. 503]

Another phenomenon, which is usually mistaken to microloading, is called RIE lag, which arises from the fact that etch rate depends on the aspect-ratio of the pattern to be etched. This is why RIE lag is also called as aspect-ratio-dependence etching (ARDE). [16] Dif- ference between RIE lag and microloading is presented in Figure 3.9. However, it can be argued that ARDE is dynamic effect and can be only seen, if many wafers are etched with different etch times, even though the mechanism for ARDE and RIE lag is the same [15, p. 266]. For avoiding confusion, RIE lag is discussed further.

w w w1 w2 w3 w4 w5

(a) (b)

Figure 3.9. Illustration of (a) microloading, where features with same width are etched to different depths depending on how dense the pattern is; and (b) RIE lag, where wider features are etched deeper than the narrow ones. Adapted from [15, p. 266].

The reasons for RIE lag have been analyzed to be the following: reduce in the supply of reactive ions, neutral reactive species, or radicals; re-deposition of nonvolatile etch reaction products; and the deposition of reaction products from the etch mask material and plasma. The first two are the primary factors, and related to the chemical reaction mechanism. For example, the masking of the photoresist film causes stronger RIE lag than masking of the SiO2 film. However, the dominant factor responsible of RIE lagis neutral reactive species supply limits at the bottom of the etched pattern, and is described 29 by equation

F (z/d) K = , (3.9) F (0) K + S − KS where F (z/d) is the amount of the neutral reactive species flux reaching the bottom of the etched pattern with aspect-ratio of z/d (width of the pattern related to the depth of the pattern), F (0) is the amount of the neutral reactive species flux at the top of the etched pattern, K is the Klausing coefficient, and S is the probability of the reaction. [16]

Endpoint detection

The most straight-forward way to determine the endpoint of an etching process is to cal- culate the time of etching based on pre-measured etch rate and required etch depth [37, p. 340]. However, as stated in section 3.2.3, etch rate cannot be taken as a constant even during one etching process. In plasma etching, endpoint can be detected in multiple ways supporting the determination by time: laser interferometry, optical emission spec- troscopy, and mass spectrometry are the most used techniques, from which the first two require only well-located optical window on the process chamber and thus are easily im- plemented. [42, p. 225] In addition, depending on the process and fabricated application, etching might be continued even after endpoint detection to ensure sufficient removal of the etched material. This is called overetching, and it requires high selectivity between the etched material and the layer underneath it. [15, p. 139]

In laser interferometry, the reflected laser light from the surface to be etched is measured. Oscillating signal is obtained from the reflected light intensity, which arises from the inter- ference of the reflected light from the top surface of the sample and from the underlying layer interfaces. [50, p. 66] The spacing between adjacent maxima or minima is

λ ∆d = , (3.10) 2n where λ is the wavelength of the used laser and n is the refractive index of the film being etched. This way, the etch depth can be determined in-situ, and etching can be stopped at suitable time. [42, p. 225] The etch rate obtained from this technique is given by

λ R = , (3.11) 2nT where T is the time period of oscillation [50, p. 67]. The problems with this methods are the requirement of test area for measurement, and the fact that endpoint is only determined from one area, which is problematic if batch of wafers are etched at a time. [42, p. 225]

Optical emission spectroscopy is the most utilized technique for endpoint detection, and it is based on the change in emission from a characteristic species that is observed when 30 etching is complete. Either a decrease in emission of the etching product or an increase in emission of etchant at the end of the etching process can be monitored. For instance, when etching silicon with fluorine, emission spectra of the etching product, SiF, canbe monitored at wavelengths of 440 and 777 nm. [42, pp. 225, 226]

Endpoint can be detected with mass spectrometry since it measures the concentrations of different chemical species in the processing chamber. However, it requires a differentially pumped vacuum system for sample impurities, which makes it not as cost-effective as compared to optical systems, and thus it is rarely used in RIE endpoint detection. If there is no plasma inside the processing chamber, like in remote or downstream plasma etch systems, there isn’t enough light emission to provide optical endpoint, which makes mass spectrometry suitable technique. [37, p. 341]

Plasma etching damage

Residual damage in the substrate is one limitation when using RIE. Substrate can be damaged in many ways during a RIE process. The most severe issues are surface residues, chemical contamination, dopant loss, metal contamination, surface roughness, and lattice damage. Surface residues can be intrinsic to the process, e.g. formation of involatile etching products, or they can be extrinsic to the process, e.g., depend on the chamber configuration. Chemical contamination is problem especially with polymeriza- tion etches since they are known to leave residual films behind. Dopant loss is character- istic when etching with gas chemistry including H2, since it passivates dopants near the surface. Metal contamination, such as iron, chromium, and nickel, can be found on the sample surface due to sputtering of the electrodes, fixtures, and chamber. These impu- rities can diffuse into the etched semiconductor and then introduce energy levels within bang gap acting as recombination centers, reducing the minority carrier lifetime. Surface roughness can arise from micromasking, which is re-deposition of non-etchable spots on the surface, thus leaving dense forest of pillars or grass behind, or it can arise from copying a rough surface of the layer below. Lattice damage is caused by creating point or extended defects into the substrate lattice in RIE process. [36, p. 307][42, pp. 215– 217][15, p. 135] 31

4 METHODS AND EQUIPMENT

In this section, principles of the methods and equipment used in this study are presented, focusing on the ICP-RIE equipment. After this, the way the study was conducted is pre- sented.

4.1 Inductively coupled plasma reactive ion etching

As introduced in section 3.2.3, one extension of high density plasma (HDP) etching with RIE mechanism is inductively coupled plasma, ICP. The key difference between a ICP- RIE and a conventional CCP-RIE is that ICP-RIE has two RF power sources, providing individual control of plasma generation (ICP power) and ion bombardment powers (RIE power). [46] With ICP-RIE, higher etch rate, higher selectivity, improved uniformity, and less crystal lattice damage is possible than in the conventional RIE [51]. ICP etching systems have become the mainstream dry etching technique over MRIE and ECR due to the facts that with MRIE, obtaining uniform plasma for larger wafers is difficult, and with ECR, a large electromagnetic coil is required for obtaining HDP. [52, pp. 61–64].

A schematic figure of a cylindrical ICP source etching chamber is presented inFigure 4.1. The coil carries RF current and generated a rotationally symmetric RF field. This RF power is coupled to the plasma across the dielectric wall non-capacitively, which allows low voltages across the charge depleted regions due to charge diffusion, i.e., sheaths, at the walls and the electrode. As the coil is feeded with RF power, a magnetic field oscillating at high frequency is generated inside the process chamber near the coil. A corresponding oscillating electric field is also generated due to Maxwell’s induction law. This generated electric field can excite and drive the HDP inside the chamber, which cannot be avoided effectively by sheath formation. Due to this, a high density of electrons and ions can be obtained. An ideal inductively coupled discharge doesn’t have capacitive coupling between the coil and the plasma. Nevertheless, capacitive coupling can occur if the bias changing in the coil induces a bias to the walls of the process chamber. This is avoided with an electrostatic shield and a dielectric vacuum wall. [50, pp. 34, 47][53, p. 113][52, pp. 414, 415] 32

Gas inlet

Coil ICP power

Sample

Electrode

RIE power

Pumping system

Figure 4.1. ICP chamber. Adapted from [41].

4.1.1 Main process parameters

Generally, there are six basic main process parameters that can be used to modify the plasma properties, and thus, affect the etching results: gas chemistry, RIE power, ICP power, process pressure, process temperature, and the flow rate of gases. [52]

Gas chemistry

As typical etching chemistries were presented in section 3.2.3, in addition to the reactive species etching the substrate chemically, some additive gases are required for enhanc- ing the etching. The addition of inert gases to the process, such as Ar, N2, or He, is done to reduce or increase the etch rate, and improve the anisotropy, heat transfer, and plasma stability. The reduced etch rate can be due to volatile byproduct creation causing passivation, or diluting the etchant, whereas the additives can increase the etch rate by removing involatile species. The enhanced anisotropy is due to physical sputtering. [50, pp. 48, 49][54][55]

RIE power

Increasing RIE power casues in increase an the ion density as ionisation interactions in the plasma are affected. In addition, the self-bias increases because of the increased number of electrons generated in the plasma, thus enhancing the physical sputtering of the sample with positive ions with higher energy. As the physical sputtering increases, the selectivity between the etch mask and the etched material decreases, as physical 33 sputtering is not selective etching. [50, p. 49][56]

ICP power

The ICP power can be increased to enhance the ion density without the increase in self- bias voltage, which occurs when RIE power is increased. As the ICP coil is coupled to the plasma electromagnetically, the charged particles show cyclotron motion, thus increasing the collision rate and ionization events in the plasma. In other words, changing the ICP power offers a change to control the ion density and energy independently of the physical sputtering. Etch rate usually increases with ICP power. [50, p. 49][54]

Process pressure

The pressure in process chamber is a major factor influencing plasma etching. It affects the mean free path of the plasma particles, the sheath potential, and thus both ion bom- bardment energy and the ratio between ions and neutral species in the plasma. As the mean free path decreases with increasing pressure, meaning more collisions between the gaseous species, the etching profile is affected by undercut. In low pressures, both the sheath potential and the self-bias increase, which also increases the energy of ions that bombard the sample, thus enhancing the anisotropic etching. [41][50, p. 50]

Temperature

Plasma processes tolerate small changes in the wafer temperature. However, differ- ence has to be made between the gas and the substrate temperatures. Usually, the gas species reach the substrate temperature before reacting with it. This is fortunate, since the substrate temperature is easier to control than the gas temperature. The substrate temperature affects the reaction kinetics between the gas and the surface, the desorption rate of the etch process, and the mobility of the reactants. The temperature can be con- trolled with different types of clampings and backside cooling or heating. Due to the effect on reaction kinetics, selectivity can be affected by changing the substrate temperature. The surface morphology is also affected by the temperature: rough surface with III–V semiconductors often indicates a nonstoichiometric surface, which is due to preferred etching of either group III or V species. When etching with Cl2, III–V semiconductors usually obtain smooth surface in high temperatures which can be due to the increased volatility of the etching products or the convergence of the etch rates of both group III and V species, which also leads to more isotropic profiles. [41][36, pp. 293, 294][50, pp. 49, 50]

Flow rate of gases

The flow rate of the etching gases affects and controls the precise nature oftheplasma etching chemistry. The total flow rate of gas doesn’t have strong effect on the etch rateor 34 the surface morphology, however, two things have to be taken into account when chang- ing the rates: the gas flow rates have to be sufficient enough for the reactive species not to become the rate limiting factor of the etching, but not so high that the time of reactive species in the chamber becomes too low for the reactions to occur. [50, p. 51]

4.1.2 Equipment

The ICP used in this thesis is a PlasmalabSystem 100 with ICP 180 from Oxford Instru- ments Plasma Technology. The system is controlled and monitored by PC2000 software. The process chamber itself consists of aluminium. The lower electrode that is either cooled or heated to the processing temperature, is also aluminium. Cooling is done with liquid nitrogen (LN2), and a helium backing flow is used for aiding the heat transfer and stabilizing the temperature on the wafer, too. In addition to this, during processing, a quartz clamp of 3" size is used. The equipment is presented in Figure 4.2

Figure 4.2. PlasmalabSystem 100 with ICP 180 from Oxford Instruments Plasma Tech- nology used in this thesis.

The wafer loading and unloading to the processing chamber is done automatically in vacuum, when the wafer is loaded in the loadlock. The RIE and ICP generators both utilize a automatch unit (AMU). The RIE RF power produces a 13.56 MHz output that is fed via AMU to the lower electrode for plasma production. The impedance of the output is matched with the impedance of the lower electrode by AMU, ensuring the maximum power transfer. The ICP plasma source has a 180 mm diameter, which is suitable for uniform processing of up to 4" wafers. The RF generator operates at 13.56 MHz also. The pressure of the process chamber is measured by 1-Torr capacitance manometer gauge, which is temperature compensated. An automatic pressure controller (APC) controls the 35 pumping with turbomolecular pump, which is packed by rotary vane pump. Gas flow rates are monitored with mass flow controller (MFC), in which the gas flows through a2- µm filter. After this, gas flows through shut-off valve which is pneumatically controlled, to the gas out manifold to be mixed with other process gases before entering to the process chamber.

A window for laser interferometry endpoint detection lays on top of the process cham- ber. The wavelength of the used laser is 676 nm, and signal is detected with camera connected to a PC software. Even though the reflectivity curves were recorded when processing the wafer, curves were not used as endpoint, because constant processing time was kept between different processes. An example of a obtained refletivity curve is presented in Figure 4.3.

Figure 4.3. An example of a reflectivity curve during in-situ monitoring of the etch depth.

4.2 Scanning electron microscopy

One of the traditional electron microscope technology is scanning electron microscopy, SEM. It’s a widely used technique for material characterization in materials science, biol- ogy, polymer science, and chemistry. The examination and analysis of the morphology of microstructures and chemical composition is possible with over two orders of magnitude improvement over conventional light microscope. High-resolution imaging is possible due to the short wavelength of electrons, which are focused with electromagnetic lenses. Dif- 36 ferent signals from the interaction between the electron beam and the sample are studied: secondary electrons (SEs), low-energy electrons leaving the sample surface due to the collision sequence created by the primary beam; backscattering electrons (BSEs), high- energy electrons escaping the surface with energy of 50 eV or higher; and characteristic X-rays generated by the excited atoms from electron collisions returning back to ground state. For visual inspection of the sample, SEs and BSEs can be collected for forming an image, whereas X-ray spectra can be used for determining the sample composition. The imaged samples have to be conducting for avoiding charging, and non-conducting samples can be coated with conductive material, e.g., gold, for imaging. [57][58, pp. 1–3]

4.3 Contact profilometry

Contact profilometry can be used to define the profile of the surface of a sample.The sample is profiled with a stylus, which traverses on a sample in contact, andthusthe profile of the surface is obtained. The profile can be analysed with statistical methods producing different parameters of the surface. Etch depth can be monitored by measuring the distance between the bottom of the etched pattern and the top of the sample. A measure of surface roughness, center line average, Ra, which is the average deviation of the mean line, can also be defined. Using contact profilometry with stylus canbe destructive method, which has to be taken into account when planning measurements. [59][60][61]

4.4 Laser characterization

Some favourable characteristics of lasers are the power, temporal behaviour, and narrow wavelength bandwidth. However, depending on the application, different characteristics are valued. Some standard characterization methods are used so that laser diodes can be compared with each other. Important parameters to be characterized can be related to laser performance, e.g., output power and efficiency, or to device reliability, e.g., lifetime and burn-in results. In this thesis, parameters related to laser performance, i.e., electro- optic properties, and beam properties are introduced. [62, pp. 33–37, 181]

4.4.1 LIV characteristics

In electro-optical characterization, the performance of a laser can be defined in terms of electrical power consumption’s and optical output power’s dependency on the driving current. The characterization is done by increasing the driving current from zero to the maximum that is designed for the device. [62, p. 34] The obtained curves are called light output power–current–voltage characteristics, i.e., LIV characteristics [63]. With these measurements, both optical power, Pop, and voltage, U, are obtained as a function of current, I. Also, the peak wavelength versus the current can be obtained at the same 37 time. From these curves, further parameters can be derived by

dU(I) R = (4.1a) S dI dP (I) η = op , (4.1b) S dI where RS is series resistance, Ith, and ηS is slope efficiency, when I > Ith, threshold current. Threshold current can be derived from the LI curve by adding a linear fit to the LI curve after linear operation is achieved, and extrapolate it to I-axis. These parame- ters give information on the operation range of a laser bar, which helps choosing proper mounting technology and packaging. LIV characteristics can be affected by temperature, as threshold current tends to increase with elevated temperature. [62, p. 34][21, pp. 63, 84]

4.4.2 Far-field

The knowledge of the far-field, i.e., the angular beam distribution, is required for thede- sign of optics. It can be divided in fast-axis and slow-axis, from which the fast-axis is perpendicular to the epitaxial layers of the laser, and the slow-axis is the horizontal direc- tion. In the fast-axis, the laser beam can be approximated to be a Gaussian beam, since the waveguide layers are thin enough for supporting only the fundamental mode, where as in the slow-axis, the beam profile is affected by the presence of higher modes. The divergence angles of both fast- and slow-axis are important design parameters, as the angles dictate the numerical aperture (NA) of the collimation optics and the beam width after the collimation. Minizing the fast-axis divergence angle enables using collimation optics with lower NAs, which decreases the overall cost of the optics, and makes the sys- tem less prone to aberrations and misalignments. The slow-axis beam divergence angles can be reduced by optimizing the laser structure, material parameters, and processing. The divergence reduction in slow-axis directly indicates better beam quality. [62, pp. 37– 39]

4.5 Process description

The aim of this thesis was to improve uniformity and repeatability of a mesa etching with ICP-RIE equipment for a 3" AlInP wafer. At first, two possible etching processes were at table: one producing a vertical shape RWG with Cl2/Ar etching chemistry (Fig 4.4a), and other producing A-shaped RWG with BCl3/Ar (Fig 4.4b) etching chemistry. The latter one was chosen due to better performance in LIV characterization: slope efficiency is clearly better in the A-shaped RWG than in the vertical shaped RWG, as seen in Figure 4.5. This baseline process was repeated for three (3) wafers in a row, and on another day to check the repeatability on a process day level. The etch depth uniformity was monitored 38 by measuring the etch depth with contact profilometer from 36 points, forming a map from the wafers. Uniformity was evaluated by equation 3.2 and standard deviation of etch depth within the wafer. The profiles of the RWGs were studied with SEM imaging, where etch depth, RWG top width, RWG bottom width, and the RWG angles. In addition to this, SEM imaging was also done to EELDs fabricated with same ICP-RIE process, and SEM results were compared to measured LIV characteristics for studying possible correlations. The baseline process parameters are presented in table 4.1. Each time, before the first etching, a cleaning process with gas mixtures of SF6/O2 and SF6/Cl2 was run, and after it, a conditioning of 5 minutes with the process going to be used was done.

(a) (b)

Figure 4.4. Two different RWG profiles (a) vertical and (b) A-shape. A-shape is studied further.

70

60

50

40 (mW) op

P 30

20

10 A-shaped profile Vertical profile 0 0 10 20 30 40 50 60 70 80 90 100 I (mA) th

Figure 4.5. LI curves of an average channel of both vertical and A-shaped RWGs. A- shaped RWG has a clearly better slope efficiency than the vertical shape RWG, which is better for the laser application. A kink is also visible around 70 mA in the vertical shaped RWG data, which could indicate loss of lateral more control due to too deep etching [28, p. 37]. 39

Table 4.1. Baseline process parameters.

Measured quantity Average value

Gas chemistry BCl3/Ar RIE power (W) 200 ICP power (W) 700 Temperature (◦C) 20 Pressure (mTorr) 6 Gas flow rates (sccm) 5/45 Process time (s) 280

Based on the results, second iteration of the process was done by decreasing the process pressure to half of the original. For studying only the effect of process pressure to the uniformity, gas flow rates were also decreased in half. The improved process parameters are presented in table 4.2.

Table 4.2. Improved process parameters.

Measured quantity Average value

Gas chemistry BCl3/Ar RIE power (W) 200 ICP power (W) 700 Temperature (◦C) 20 Pressure (mTorr) 3 Gas flow rates (sccm) 2.5/22.5 Process time (s) 280

Each wafer was prepared identically. 600 nm of SiO2 was deposited with PECVD as the hard mask. The pattern generation was done with RIE after photolithography steps. The etch mask pattern density is 46 %, which is the etched area related to the whole wafer. After the ICP-RIE etch, the remaining etch mask was removed with buffered oxide etchant (BOE) for accurate etch depth measurement. 40

5 RESULTS

In this chapter, all results are presented, starting from the LIV characterization and SEM measurements. After that, etching process repeatability and uniformity processing results are presented.

5.1 LIV characterization and SEM measurements

To obtain knowledge of the importance of the uniformity etching process, total of four bars were measured for obtaining the LIV characteristics such as threshold current and horizontal beam width from the center and the edge of the processed wafer. Two bars were taken from both locations, and etch depth of each RWG structure was measured with contact profilometer. Average values for threshold current, slope, horizontal beam width, vertical beam width, wavelength, and etch depth measured with profilometer are presented in table 5.1.

Table 5.1. Threshold current, slope, horizontal beam width, vertical beam width, wave- length, and etch depth of laser bars from the edge and the center of a processed wafer. Values are average of the measured 24 individual channels, if lasing was achieved during measurement.

Horizontal Vertical Wave- Etch Slope Bar Location I (mA) beam beam length depth th (W/A) width (◦) width (◦) (nm) (nm) #1 edge 72.67 0.25 N/A N/A N/A 809.3 #2 edge 64.48 0.31 4.86 30.8 647.5 843.4 #3 center 51.23 0.57 6.71 31.4 647.1 1040 #4 center 51.76 0.54 6.62 30.7 647.3 1045

A clear difference can be seen in the performance and the etch depth between the two locations. As the two bars from the edge were next to each other, the difference between them is surprisingly large with both etch depth and threshold current. For studying, if the effect is the processing or in the epilayer, broad area emitters were also measured for LI curve. In Figure 5.1, LI curves of two broad-area emitters (RWG width 150 µm) from same epitaxial structure wafer are plotted. The emitter named to be from the center is not right from the center, however, the difference in the threshold currents in table 5.1 was seen in bars next to each other, so the distance should be enough. It can be seen from Figure 5.1 that threshold currents are 600 mA and 620 mA for the center and the edge. 41

The difference is only 3.3 %, whereas the difference in bar measurements from table 5.1 is at least 25 %.

0.50

0.45

0.40

0.35

0.30

(W) 0.25 op P 0.20

0.15

0.10

0.05 Edge Center 0.00 0.00 0.25 0.50 0.75 1.00 1.25 1.50 I (A)

Figure 5.1. LIV characteristics of broad-area emitters.

Further analysis is done to the 5th bar from the same wafer, locating in the edge of the wafer. The bar is coated, then measured for LIV characteristics, and inspected the AR facet with SEM. The each RWG was imaged and the following dimensions were measured: etch depth, top and bottom widths of the RWG and the angles of the RWG. Correlations between these dimensions of single channels and LIV characteristics were studied. In table 5.2, averages of these values are presented for the bar #5.

Table 5.2. LIV characteristic values and SEM dimension results for bar #5, locating at the edge of the wafer.

Measured quantity Average value

Ith (mA) 32.20 Slope (W/A) 0.83 Horizontal beam width (◦) 5.82 Etch depth (nm) 995.9 RWG top width (nm) 2944 RWG bottom width (nm) 3647 RWG angle left (◦) 117.5 RWG angle right (◦) 104.9 42

As the bar #5 is coated, unlike bars #1–#4, the results cannot be compared straightfor- wardly. Relative changes in LIV characteristics and SEM dimensions were plot in Figure 5.2, where values are deviations from the average. Threshold current and slope clearly behave linearly through the measured bar, however, horizontal beam width seems to have no such behaviour. When looking at the SEM dimension measurements, only etch depth and right angle of the RWG seem to behave somewhat similarly. Correlations are further studied by plotting threshold current and slope as a functions of etch depth and right angle fo the RWG (Fig 5.3). Even though a trend is visible, datapoints deviate quite a lot from the linear fit in bot cases. R2 values for linear fits with etch depth and RWG angle right plots are 0.62 and 0.72, respectively. Examples of the images took with SEM are presented in Figure 5.4.

10 Threshold current Slope Horizontal beam width 5 Vertical beam width

0

-5 Deviation from average value (%)

-10 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 Channel in bar 10 Etch depth RWG top width RWG angle left 5 RWG angle right

0

-5 Deviation from average value (%)

-10 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 Channel in bar

Figure 5.2. LIV characteristics (above) and dimensions measured with SEM (below) per channel in bar. Threshold current and slope seem to change linearly within the bar, but horizontal beam width doesn’t behave similarly. From SEM measurements, the etch depth seems to change somewhat linearly, as well as the right side angle of the RWG. 43

40 40 Datapoints Datapoints 38 Outliers 38 Outliers Linear fit Linear fit

36 36

34 34 (mA) (mA) th th

I 32 I 32

30 30

28 28

26 26 920 940 960 980 1000 1020 1040 1060 98 100 102 104 106 108 110 112 Etch depth (nm) RWG angle right (°)

Figure 5.3. Threshold current as a function of both etch depth and right side angle of the RWG. Linear trend is visible but deviation of the data points is quite large from the linear fit.

(a)

(b)

Figure 5.4. Examples of the SEM images taken for analysis. 44

Even though some correlation between the SEM measurements and LIV characteristics occured, no further conclusions can be made yet. Nevertheless, the etch depth variation is unacceptably high within the wafer, and should be decreased for stable production of semiconductor lasers.

5.2 Process uniformity and repeatability

A summary of the processed wafers is presented in table 5.3. The etch depth surface profiles of the wafers processed with baseline recipe are presented in Figure 5.5.Wafer edge effect can be seen in all of the wafers, as the edges of the wafers aren’t etched as deep as the center of the wafer. The clamping effects were visible on every wafer, since the clamp size is 3", which is too small not to cover some of the wafer surface. However with the wafer 2, the effect of clamping is the most visible: difference of 100 nm can be seen in upper left side and the center. This is due to the wafer not being in the center of the carrier when moved into the processing chamber and thus, the clamp covers significant part from that region.

Table 5.3. Summary of the processed wafers.

Wafer Process Run 1 wafer 1 Baseline Run 1 wafer 2 Baseline Run 1 wafer 3 Baseline Run 2 wafer 4 Baseline Run 3 wafer 5 Improved

Changing of the etch rate between runs can also seen when comparing the wafers 1–3. The wafer that was etched first has etched to the deepest, the second wafer to the second deepest, and the third and last one was etched the least.

Testing of the uniformity improvement was done by decreasing the process pressure to half of the original. The hypothesis was that the lower the pressure, the presence time of a plasma species decreases and thus, there would be less disturbance of the etching by the species that have already reacted. The possible issues arising from the decreased process pressure were plasma ignition and stability. Luckily, such issues did not come up and equal time of processing was done to the last wafer. Etch depth surfaces of this wafer is presented the Figure 5.6. The etch rate with the improved process has decreased. which is expected, as less reactive species was in the processing chamber to etch the wafer. In addition, the affect of the gas pumping seems to be emphasized, as the left side of the wafer is clearly etched more shallowly than the right side. If compared to Figure 5.5, seems that the top left corner is the most shallowly etched in every wafer expect the first one.

Comparing all the processes together, statistical data is presented in table 5.4. The stan- 45

Run 1 wafer 1 Run 1 wafer 2 -1000

5 5 4 4 -1050 3 3 2 2 -1100 1 1 0 -1050 -1150 0 -1 -1100 -1 -1200 -2 -2 -1150 -3 -3 Etch depth (nm) Etch depth (nm) -4 Vertical distance (a.u.) -3 -4 -4 -3 -4 Vertical distance (a.u.) -2 -1 -2 -1 0 1 -5 0 1 -1100 2 3 2 3 -5 Horizontal distance (a.u.) 4 Horizontal distance (a.u.) 4

Run 1 wafer 3 Run 2 wafer 4

-1150

5 5 4 4 3 3 2 2 1 1 -1080 0 0 -1050 -1200 -1 -1100 -1 -2 -1120 -2 -1100 -3 -3 Etch depth (nm)

Etch depth (nm) Vertical distance (a.u.) -4 -3 -4 Vertical distance (a.u.) -4 -3 -4 -2 -1 -2 -1 0 1 0 1 -5 2 3 -5 Horizontal distance (a.u.)2 3 Horizontal distance (a.u.) 4 4

Figure 5.5. The etch depth over a wafer surface from wafers processed with baseline recipe. Wafers 1–3 were processed in a row, and four quarters of a wafer were processed before processing the wafer 4.

Run 2 wafer 5

-940

-950

5 4 -960 3 2 -970 1 -950 0 -1 -980 -2 -1000

Vertical distance (a.u.) Etch depth (nm) -3 -4 -990 -3 -2 -4 -1 0 1 -5 2 3 Horizontal distance (a.u.) 4

Figure 5.6. Wafer profile of the run 3 wafer 5. 46 dard deviation (SD) of the etch depth is the highest with wafer 2, which can be expected based on Figure 5.5. Other than that, decreasing SD can be obtained by etching several wafers or even pieces prior to the actual processing. Etch depth uniformity is calculated with Eqn 3.2, using an average of four etch depths as the center point. Average etch depths of all the wafers are visualized in Figure 5.7. Error bars are the deviation from the highest and the lowest measured etch depth values.

Table 5.4. Etch depth and its variation from all processed wafers.

Average SD of etch Maximum Minimum Uniformity Wafer etch depth depth (nm) value (nm) value (nm) (%) (nm) Run 1 1186 26.3 1220 1110 2.09 wafer 1 Run 1 1116 34.3 1150 1010 6.26 wafer 2 Run 1 1074 17.3 1090 1020 2.35 wafer 3 Run 2 1111 15.5 1130 1070 1.80 wafer 4 Run 3 978.7 10.4 993.1 931.8 0.94 wafer 5

Figure 5.7. Measured average etch depths of the processed wafers. Etch rate decreases between wafers 1–3 as the wafers were etched in a row. Prior to etching wafer 4, four quarters of wafers were processed, thus the etch rate has decreased already to same level with wafer 2. The run 2 wafer 1 has the lowest etch depth due to decreased process pressure. 47

However, changing the baseline process parameters can also affect the RWG profiles. Wafers 3, 4, and 5 were cut for optical microscope imaging and figures are presented in Figure 5.8. Samples were prepared from the same part of the wafer for comparable images. From these images, RWG angles were measured and can be found in table 5.5.

(a) Wafer 1 center (b) Wafer 1 edge

(c) Wafer 3 center (d) Wafer 3 edge

(e) Wafer 4 center (f) Wafer 4 edge

(g) Wafer 5 center (h) Wafer 5 edge

Figure 5.8. Optical microscope images of the processes. 48

Table 5.5. RWG angles measured from the optical microscope images.

Wafer RWG angle center left/right (◦) RWG angle edge left/right (◦) Run 1 wafer 1 106/109 107/109 Run 1 wafer 3 108/110 110/108 Run 2 wafer 4 107/110 109/110 Run 3 wafer 5 103/103 106/109

As the images have poor resolution, accurate angles are hard to measure from them, some trends trends can still be observed. Clearly, there is some variation in the ridge widths. This is probably due to lithography nonuniformity rather than arising from the etching processes because the hard mask is sufficiently thick to not run out during etch- ing. 49

6 DISCUSSION

In this section, measurement results are discussed further, and more profound analysis is done. After analysis, the reliability of the results is discussed from measurement accuracy and error point of views.

6.1 LIV characteristics and SEM measurements

From the LIV measurement results of table 5.1, the performance dependence on the lo- cation of the bar seems to be quite clear. The variation of etch depth between the edge and the center is over 200 nm, which is hardly acceptable when considering processing uniformity and production, especially when the performance of the laser bars ia affected this much. Both of the bars from the center have similar performances and RWG etch depths. Even though the etch depth would seem to be straight-forward explanation for the difference in the performances, this variation could also arise from non-uniformity of the epitaxial layers in the wafer. Showing that the difference in performances of the laser bars is truly due to the processing can be argued with the broad-area emitter measurements and comparing threshold currents. It should be noted that the threshold current is nat- urally higher than in RWG structure, because the less confinement the higher threshold current density is required for lasing to start.

Another way to reason that the epitaxial structure is uniform is to consider the vertical beam widths and wavelengths of the bars. If the waveguide layer would be thinner at the edge of the wafer, the vertical beam width should be larger. On the other hand, if the thickness or composition of the active layer would vary, wavelengths would be different, even though the wavelength depends on the threshold current. [28, p. 121] Thus, it can be argued that the etch depth affects the index guiding and the carrier confinement, and therefore, the threshold current. [21, p. 29] However, this argument is not as reliable as comparing the BA emitters.

The behaviour of the horizontal beam width is not consistent with the theory, as the hori- zontal beam width should be wider with low-aspect-ratio ridges than with high-aspect-ratio ridges [28, p. 65]. As the RWG width is the same in all of the bars, higher aspect-ratio should be obtained by etching deeper. This difference can also arise from the fact that the measurement did not go to high enough currents. It could also explain, why proper results from bar #1 were not obtained.

Combining the SEM and LIV measurement results didn’t result that as good correlations 50 as was expected. Only etch depth and the right angle of the RWG had some correlation, however, the R-squared values of the linear fits were still relatively low. The effect of etch depth on the threshold current is logical and similar to what was seen from the uncoated bar measurements - the deeper the etching of the RWG, the better the confinement of charge carriers, which means lower threshold current density for the lasing to start. The shape of RWG also has effect on the LIV characteristics of the laser, as possible RWG widths are limited by equation 2.3. Thus, positively sloped ridge can affect both the effective ridge width and the effective refractive index variation in lateral direction. The nonsymmetric RWG shape is probably related to the way bars are cleaved, as the difference between the RWG angles that high is not probable to obtain from ICP-RIE process due to combination of ion bombardment and chemical etching.

As all the bars used in this thesis originated from the same wafer, the etch depth dif- ference between the top edge of the wafer and the center is a lot higher than with the right edge of the wafer and the center. From Figure 5.5, it can be seen that the top of the wafer is the most shallowly etched compared to the other edges. However, the fact that the coated bar’s etch depth was measured from the SEM images, and the uncoated bars’ with profilometer affect the comparability of the etch depth result. The etchdepth measured with SEM should be more accurate in this case, even though the profilometer measurement is done by the equipment, which is less prone to humane errors. On the other hand, the accuracy of the SEM measurement is in the tens of nanometers due to the resolution of the images combined with the accuracy of the human eyey when mea- suring. As the imaged bar was coated, charging of the sample is visible from the images, and made accurate measurement even more challenging. In addition, in Figure 6.1 can be seen typical defects that interfered the dimension measurement. For example, correct RWG top width cannot be measured from either of the figures, as there are some lumps probably from coating interfering measurement. More accurate results of the profiles could be obtained by cleaving the facet away, so there wouldn’t be any charging.

(a) (b)

Figure 6.1. Examples of defects in the RWGs in the SEM images for analysis.

All in all, the linear behaviour of the electrical properties could be explained with the etch depth variation. As mentioned in section 5.2, the clamping can affect the etch depth. As the bar imaged in SEM was from the edge of the wafer, the clamping could have affected the etching of the bar linearly, as the channel 1 is closer to the center and channel 40 at 51 the very edge during processing.

6.2 Process uniformity and repeatability

When looking at wafers 1–3 in Figure 5.7, a decrease in etch rate can be seen when multiple wafers are etched in a row. This effect is also known as the first-wafer effect, which is known in the semiconductor processing industry [15, p. 268]. Even though both the cleaning process and the conditioning step are run prior to any wafer processing, it doesn’t seem to stabilize the conditions in the processing chamber enough for keeping the etch rate constant throughout the etching of three wafers. Using a dummy wafer of same material could help with the problem, as even etching of the four quarters before etching wafer 4 seems to have stabilized and decreased the etch rate in the same level with wafer 2. However, obtaining completely identical conditions for each single wafer process is practically impossible, since the reasons for the stabilization are both chemical and thermal. Using of different etching gases in the chamber leaves residuals that affect the subsequent processes run with the chamber even though the cleaning run is done prior new chemistries. In addition, as ICP-RIE is an energetic process, the whole system heats up during processing, which also affects the conditions. [15, p. 268]

The etch rate decreased with the improved process, which was expected, as less reac- tive species was in the processing chamber to etch the wafer due to decreased pressure. From Figures 5.5 and 5.6 can be seen, the top left quarter of the wafer is the most shal- lowly etched in every wafer except the first one. Many thing may affect this, but the main reason could be the positioning of the wafer related to the clamp used in the equipment. The most displaced wafer is clearly wafer 2, as the top left quarter has significant etch depth difference to the center, up to 140 nm (table 5.4). The effect of the clamp can either physically stop the edge area from etching, or it can interfere the natural gas flow or affect the substrate temperature near the clamp, thus affecting the etch rate. In addi- tion to this, the inlet to the pumping system locates on the left side of the wafer, which can also explain the slowed etch rate on the left side of the wafers compared to the right side, especially seen in the wafer 5 (Fig 5.6). It seems that the pumping effects has in- creased in lower processing pressure, which could occur due to enhanced gas flow near the pumping inlet, reducing the presence time of the etching species even further near it.

When comparing values of the uniformity in table 5.4, the first run results don’t act sim- ilarly with the SD values, as they would predict uniformity being better with in wafer 3 than in wafer 1. However, the calculating method for uniformity is quite dependent on the values used in calculations, as only total of 5 measurement points are used to evaluate the situation in the whole wafer. Nevertheless, comparing the results of wafers 4 and 5, with baseline and improved recipe, relatively large improvement is obtained in both SD and uniformity: SD decreased from 15.5 nm to 10.4 nm, meaning improvement of 33 %. Uniformity decreased from 1.80 % to 0.94 %, resulting relative improvement of 48 %. It should be noted that prior to both wafers 3 and 4, wafers or quarters of wafers 52 were processed, which means that conditions have already stabilized to some extent for the etching of the other wafers, whereas only the 5 minute conditioning was done before etching the wafer 5, meaning that in theory, even better uniformity is possible to obtain by stabilizing the conditions and reducing the first-wafer effect.

The improved process also affected the RWG profile, as the RWG angles seemed to decrease compared to the baseline process. As discussed in section 4.1.1, decrease in pressure increases the the sheath potential and the self-bias, which results increased energy in ion bombardment, and thus, the anisotropic etching, which seems to have happened. This would mean that if better uniformity would be pursued by decreasing the pressure, this RWG profile change would need to be considered. If the profile needsto be unchanged, which would usually be the case, other parameters would have to be fixed for obtaining similar profile. This could mean increasing the gas flow rates or altering the ICP power.

Consistent pattern seems to be that the RWG angles would be larger at the edge of the wafer than in the center, and that the the RWGs are nonsymmetric. In addition, RWGs seem to be narrower also at the edge than in the center. As mentioned, lithography nonuniformities are the most probable reason for the varying ridge widths. Every wafer was prepared identically, but separately, so random errors and chance variations are possible. However, difference can also arise from etching, when it would make sense to have the narrowest RWGs in wafer 5. As the ion bombardment is enhanced in low pressure, the selectivity between etched material and the hard mask would decrease. This could also enhance the faceting effect, resulting narrowed ridge. It should be noted, that the angle measurements are still really inaccurate due to the poor resolution and magnification, and thus, the analysis of the angles to detail is not reliable.

Overall, controlling the uniformity of one process seems to be more straight-forward than controlling the repeatability, at least from the etch depth point of view. As long as the pro- cess is uniform in wafer level, the etch depth could be monitored with endpoint detection method to ensure the repeatability of the process between wafers. From fabrication point of view, automatic endpoint detection could improve the process flow, as the etch depth was monitored during the process rather than etching a certain time and then measuring whether the etching should be continued or if the structures are overetched. If etch stop layers would be possible to add into the epitaxial structure, controlling the etch depth would be the easiest, ensuring the repeatable process for wafers with the etch stop. 53

7 CONCLUSIONS

The aim of this thesis was to improve etching uniformity and repeatability of a wafer level ICP-RIE etching process for semiconductor laser fabrication. In the beginning, the etch depth varied over 200 nm between the edge and center of the wafer, causing a difference in LIV characteristics of uncoated laser bars obtained from the edge and the center. When threshold current was plot as a function of etch depth, linear correlation was seen. Thus, a coated laser bar was studied by measuring dimensions with SEM and trying to find correlations between them and LIV characteristics. In addition, total of five 3"AlInP wafers were processed for studying the process uniformity and repeatability. Wafers were processed in three runs: first run with three wafers and using baseline process, second run with one wafer and using the baseline process, and the last run with one wafer, using improved process with decreased process pressure. Prior to the wafer etch in the second run, four quarters of a wafer were etched. Etch depth was measured with contact profilometer to form a map of the wafer surface and compare the obtained etchdepths, and the profiles of the RWGs were studied with optical microscope.

The uniformity of the etching process can be improved by decreasing the process pres- sure. The etch rate also decreased with lower pressure, which was expected result. The standard deviation of etch depths also decreased if wafers were already processed in the chamber, however, by decreasing the processing pressure, better uniformity was ob- tained at first etch than with the baseline process even after the conditions hadalready stabilized. Decreasing the process pressure also affected the RWG profile, as it became slightly more anisotropic. In table 7.1, the most important results of the etching uniformity and repeatability etchings are presented.

Table 7.1. ICP-RIE process uniformity and repeatability measuement results.

Process Etch depth RWG SD of etch Uniformity Wafer pressure average angles depth (nm) (%) (mTorr) (nm) left/right (◦) 1 6 1186 26.3 2.09 106/109 2 6 1116 34.3 6.26 108/110 3 6 1074 17.3 2.35 N/A 4 6 1111 15.5 1.80 107/110 5 3 978.7 10.4 0.94 103/103 54

From the coated bar SEM measurements, some correlation was found between the etch depth and the right side angle of the RWG measured and the threshold current. It was expeted that the R2 values of the linear fits to these plots would have had higher values. However, a trend was visible from the data. Other dimensions measured with the SEM did not correlate with the LIV characterization data.

The aims set for this thesis were achieved and the study in this thesis was successful. The effect of reduced process pressure is well aligned with theory. However, a simple but effective improvement would be extensive study of the uncoated laser bars with SEM, as more knowledge would be gained from the SEM dimension correlation to the LIV char- acteristics. It would also eliminate the effect of facet coating that can be seen with in the LIV characteristics as well as in the variation between different coating runs. In addition, better study from the effect of the baseline process improvements to the RWG angles should be done, as resolution of the optical microscope gives only rough estimations of the effect to the profile, let alone possible defects from etching process. For example, as trenching was visible in the SEM images from the coated bars, it would be interesting to see, if trenching is also visible in the improved process.

Based on the results, optimization of the ICP-RIE process could be continued to retain the baseline RWG profile with the improved uniformity, which could be done by testing to increase the gas flow rates, or decrease the ICP RF power. This would be a low effort test to be done. On the other hand, laser diodes could be fabricated with the improved recipe profile to see, whether if it affects the LIV characteristics critically. This, however, would be quite long and expensive process to be done, and should be considered before proceeding. Another test that would be more time-consuming is to test the effect of the clamp by etching without it, as its effect was clearly seen. This would require removing the clamp from the equipment. A couple more low effort tests would be testing the etching of the wafer on the sapphire carrier without bonding it with vacuum oil, or etching without the carrier. The placement of the wafer should be done almost identically between processes to decrease the effect of the variation of the plasma density within the chamber. This was quite hard with the carrier, as the wafer had to be aimed to the center of it. Without the carrier, the placement of the wafer would be easier to keep identical.

From production point of view, uniformity and repeatability of any process is important for maximizing yield. As ICP-RIE processing chamber conditions cannot be perfectly identical, repeatability of identical etch depth can be obtained via in-situ etch depth mon- itoring, and thus, automatic endpoint detection. However, microfabrication process can be done without yield losses, but as etching of a semiconductor cannot be undone, the more uniform and repeatable the process is, the less likely large yield losses occur. 55

REFERENCES

[1] Savage, N. A bright spot: The evolution of the laser market. English. Optics and Photonics News 13.5 (2002), 24–76. ISSN: 10476938. [2] Bonati, G. Prospects for the Diode Laser Market. Laser Technik Journal 7.2 (2010), 37–40. DOI: 10.1002/latj.201090023. URL: https://onlinelibrary.wiley.com/ doi/abs/10.1002/latj.201090023. [3] Kincade, K. and Anderson, S. Review and forecast of the laser markets part I: Nondiode lasers. Laser Focus World 39.1 (2003), 73–96. ISSN: 10438092. [4] Gefvert, B., Holton, C., Nogee, A. and Hecht, J. Annual Laser Market Review Fore- cast 2020: Laser markets navigate turbulent times. Jan. 28, 2020. URL: https : / / www . laserfocusworld . com / lasers - sources / article / 14073907 / laser - markets-navigate-turbulent-times (visited on 04/05/2020). [5] Overton, G., Nogee, A., Belforte, D. and Holton, C. Annual Laser Market Review Forecast: Where have all the lasers gone? Jan. 23, 2017. URL: https : / / www . laserfocusworld . com / lasers - sources / article / 16548135 / annual - laser - market - review - forecast - where - have - all - the - lasers - gone (visited on 04/05/2020). [6] Search, A. M. Semiconductor Lasers Market By Type (FOL, VCSEL, CDL, HPDL, Red Lasers, Violet Lasers, Green Lasers, and Blue Lasers) and By End Users Applications (Optical Storage Devices Lithography, Healthcare, Defense and RD, Sensors, Display, Printing, Communication, and Industrial) - Opportunity Analysis and Industry Forecast, 2014-2022. URL: https://www.alliedmarketresearch. com/semiconductor-lasers-market (visited on 04/05/2020). [7] Group, I. Laser Diode Market: Global Industry Trends, Share, Size, Growth, Op- portunity and Forecast 2019-2024. URL: https://www.imarcgroup.com/laser- diode-market (visited on 04/05/2020). [8] Pei, Z., Fisher, G. R. and Liu, J. Grinding of silicon wafers: A review from historical perspectives. eng. International Journal of Machine Tools and Manufacture 48.12- 13 (2008), 1297–1307. ISSN: 0890-6955. [9] Geum, D.-M., Park, M.-S., Lim, J., Yang, H.-D., Song, J., Kim, C., Yoon, E., Kim, S. and Choi, W. Ultra-high-throughput Production of III-V/Si Wafer for Electronic and Photonic Applications. eng. Scientific Reports (Nature Publisher Group) 6.1 (2016), 20610. ISSN: 20452322. URL: http://search.proquest.com/docview/ 1899020536/. [10] Watanabe, M. and Kramer, S. 450 mm Silicon: An opportunity and wafer scaling. English. Electrochemical Society Interface 15.4 (2006), 28–31. URL: www.scopus. com. 56

[11] Szweda, R. Trends in the market for diode laser subtrates. English. III-Vs Review 14.9 (2002), 45–48. URL: www.scopus.com. [12] Khoury, M., Li, H., Zhang, H., Bonef, B., Wong, M., Wu, F., Cohen, D., De Mierry, P., Vennegues, P.,Speck, J., Nakamura, S. and Denbaars, S. Demonstration of Electri- cally Injected Semipolar Laser Diodes Grown on Low-Cost and Scalable Sapphire Substrates. eng. ACS Applied Materials Interfaces 11.50 (2019), 47106–47111. ISSN: 1944-8244. [13] Morishita, T. Crystal growth and wafer processing of 6" GaAs substrates for lasers. English. CS MANTECH 2019 - 2019 International Conference on Compound Semi- conductor Manufacturing Technology, Digest of Papers. 2019. URL: www.scopus. com. [14] Pal, D., Zhao, D. and Carter, J. R. High Volume Manufacturing of Lasers and Photo- Diodes on 4 Inch InP in GaAs IC Wafer Fabrication Facility. English. IEEE Transac- tions on Semiconductor Manufacturing 31.4 (2018), 454–459. URL: www.scopus. com. [15] Franssila, S. Introduction to microfabrication. eng. Chichester: Wiley, cop. 2004. ISBN: 978-0-470-85105-0. [16] Abe, H., Yoneda, M. and Fujiwara, N. Developments of Plasma Etching Technology for Fabricating Semiconductor Devices. Japanese Journal of Applied Physics 47.3 (2008), 1435–1455. [17] Streetman, B. G. and Banerjee, S. K. Solid state electronic devices. eng. Seventh edition. Boston: Pearson, 2016. ISBN: 978-1-292-06076-7. [18] Svelto, O. Principles of Lasers. eng. 5th ed. New York, NY: Springer US, 2010. ISBN: 1-280-38174-4. [19] Renk, K. F. Basics of Laser Physics For Students of Science and Engineering. eng. Berlin, Heidelberg, 2012. [20] Iga, K. and Kinoshita, S. Process technology for semiconductor lasers : crystal growth and microprocesses. eng. Springer series in materials science; 30. Berlin: Springer, 1996. ISBN: 3-540-58972-4. [21] Coldren, L. A. Diode lasers and photonic integrated circuits. eng. 2nd ed. Wi- ley series in microwave and optical engineering; 218. Hoboken, N.J: Wiley. ISBN: 9780470484128. [22] Balkanski, M. Semiconductor physics and applications. eng. Oxford: Oxford Uni- versity Press. ISBN: 0-19-851740-8. [23] Hecht, J. Understanding lasers: an entry-level guide. eng. 3rd ed. IEEE Press Un- derstanding Science Technology Series. Piscataway, New Jersey ; IEEE Press, 2008. ISBN: 0-470-33581-5. [24] Leinonen, P. Techniques for semiconductor laser processing. eng. Tampere Univer- sity of Technology Publication, 696. Tampere: TUT, 2007. ISBN: 978-952-15-1876- 8. [25] De La Rue, R., Siyuan, Y. and Lourtioz, J.-M. Compact semiconductor lasers. eng. Weinheim an der Bergstrasse, Germany: Wiley-VCH, 2014. ISBN: 3-527-65536-0. 57

[26] Radziunas, M. Modeling and simulations of broad-area edge-emitting semiconduc- tor devices. eng. The International Journal of High Performance Computing Appli- cations 32.4 (2018-07), 512, 522. ISSN: 1094-3420. [27] Magnusson, R. Light Sources and Optics. Encyclopedia of Spectroscopy and Spec- trometry. Ed. by J. C. Lindon. Oxford: Elsevier, 1999, 1158–1168. ISBN: 978-0- 12-226680-5. DOI: https : / / doi . org / 10 . 1006 / rwsp . 2000 . 0153. URL: http : //www.sciencedirect.com/science/article/pii/B0122266803001538. [28] Epperlein, P.W. Semiconductor laser engineering, reliability and diagnostics a prac- tical approach to high power and single mode devices. eng. Chichester, West Sus- sex, U.K: John Wiley Sons Inc., 2013. ISBN: 1-118-48188-7. [29] Wilmsen, C., Temkin, H. and Coldren, L. A. Vertical-cavity surface-emitting lasers: design, fabrication, characterization, and applications. eng. Cambridge studies in modern optics. Cambridge: Cambridge University Press. ISBN: 0-521-00629-5. [30] Garner, M. C. Lithography for enabling advances in integrated circuits and devices. eng. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 370 (2012), 4015–4041. [31] Gerlach, G. Introduction to microsystem technology : a guide for students. eng. Wi- ley microsystem and nanotechnology series. Chichester: Wiley. ISBN: 978-0-470- 05861-9. [32] Viheriälä, J. Nanoimprint litography : a next generation patterning method for nanopho- tonics. eng. Tampere. [33] Moss, S. J. and Ledwith, A. The chemistry of the semiconductor industry. eng. Glasgow, 1987. [34] Harper, C. A. and Sampson, R. M. Electronic materials and processes handbook. eng. New York (NY). [35] Ghandhi, S. K. VLSI fabrication principles: silicon and gallium arsenide. eng. New York, NY: Wiley. ISBN: 0-471-86833-7. [36] Campbell, S. A. Fabrication Engineering at the Micro- and Nanoscale (3rd Edition). Oxford University Press, 2008. ISBN: 978-0-19-532017-6. [37] Xiao, H. Introduction to Semiconductor Manufacturing Technology (2nd Edition). SPIE, 2012. ISBN: 978-0-8194-9092-6. [38] Notten, P. H. L. Etching of III-V semiconductors: an electrochemical approach. eng. Oxford, cop. 1991. [39] Gomes, W. P. Wet etching of III–V semiconductors-Chapter 6. eng. Handbook of Advanced Electronic and Photonic Materials and Devices, Ten-Volume Set. 2001, 221, 256. ISBN: 978-0-12-513745-4. [40] Sokolovskij, R., Sun, J., Santagata, F., Iervolino, E., Li, S., Zhang, G. Y., Sarro, P.M. and Zhang, G. Q. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching. English. 168 (2016), 1094–1097. [41] Pearton, S. and Shul, R. Plasma etching of GaN and related materials-Chapter 8. eng. Handbook of Thin Films, Five-Volume Set. 2002, 409–453. ISBN: 978-0-12- 512908-4. 58

[42] Handbook of plasma processing technology fundamentals, etching, deposition, and surface interactions. eng. Materials science and process technology series. Park Ridge, N.J., U.S.A: Noyes Publications, c1990. ISBN: 1-282-00277-5. [43] Nakayama, S. ECR (electron cyclotron resonance) plasma for thin film technology. English. Pure and Applied Chemistry 62.9 (1990), 1751–1756. [44] Bhardwaj, R. K., Angra, S. K., Bajpai, R. P., Lal, M. and Bharadwaj, L. M. Electron cyclotron resonance based chemically assisted plasma etching of silicon in CF 4/Ar plasma. English. AIP Conference Proceedings. Vol. 788. 2005, 343–348. [45] Batoni, P., Patel, K., Burkhart, C. C., Shah, T. K., Iyengar, V., Ahrens, M. T., Morton, S. T., Bobbio, S. M. and Stokes, E. B. Very low pressure magnetron reactive ion etching of GaN and Al x Ga 1-x N using dichlorofluoromethane (halocarbon 12). English. Journal of Electronic Materials 36.9 (2007), 1166–1173. [46] Hönl, S., Hahn, H., Baumgartner, Y., Czornomaz, L. and Seidler, P. Highly selective dry etching of GaP in the presence of AlxGa1-xP with a SiCl4/SF6 plasma. English. Journal of Physics D: Applied Physics 51.18 (2018). [47] Pearton, S. J., Douglas, E. A., Shul, R. J. and Ren, F. Plasma etching of wide bandgap and ultrawide bandgap semiconductors. eng. Journal of Vacuum Science Technology A: Vacuum, Surfaces, and Films 38.2 (2020). ISSN: 0734-2101. [48] Plasma etching of III–V semiconductors in BCl 3 chemistries: Part I: GaAs and related compounds. eng. Plasma Chemistry and Plasma Processing 17.2 (1997), 169–179. ISSN: 0272-4324. [49] Lee, J. W., Hong, J., Lambers, E. S., Abernathy, C. R., Pearton, S. J., Hobson, W. S. and Ren, F. Plasma etching of III-V semiconductors in BCl3 chemistries: Part II: InP and related compounds. English. Plasma Chemistry and Plasma Processing 17.2 (1997), 169–179. [50] Edwards, G. T. The Fabrication of Deep-Etched Distributed Bragg Reflectors in AlGaInP/GaAs Laser Structures, dissertation. eng. Cardiff University, 2007. ISBN: 978-952-15-1876-8. [51] Zheng, Y., Ye, H., Liu, J., Wei, J., Chen, L. and Li, C. Surface morphology evolution of a polycrystalline diamond by inductively coupled plasma reactive ion etching (ICP-RIE). eng. Materials Letters 253 (2019), 276–280. ISSN: 0167-577X. [52] Nojiri, K. Dry Etching Technology for Semiconductors. eng. Cham. [53] High density plasma sources design, physics, and performance. eng. Materials science and process technology series. Park Ridge, N.J: Noyes Publications. ISBN: 1-282-75508-0. [54] BCl3/Ne etching of III–V semiconductors in a planar inductively coupled plasma reactor. eng. Applied Surface Science 222.1-4 (2004), 74–81. ISSN: 0169-4332. [55] Joo, Y., Woo, J. and Kim, C. Surface reaction effects on dry etching of IGZO thin films in N 2/BCl3/Ar plasma. English. Microelectronic Engineering 112 (2013), 74– 79. URL: www.scopus.com. 59

[56] Pearton, S. J., Douglas, E. A., Shul, R. J. and Ren, F. Plasma etching of wide bandgap and ultrawide bandgap semiconductors. eng. Journal of Vacuum Science Technology A: Vacuum, Surfaces, and Films 38.2 (2020-03). ISSN: 0734-2101. [57] Wang, Y. and Petrova, V. Scanning Electron Microscopy. Nanotechnology Research Methods for Foods and Bioproducts. Wiley-Blackwell, 2012, 103–126. ISBN: 97808 13817316. [58] Echlin, P. Handbook of Sample Preparation for Scanning Electron Microscopy and X-Ray Microanalysis. eng. 1st ed. 2009. New York, NY: Springer US. ISBN: 1-282- 01936-8. [59] Griffiths, B., Wilkie, B. and Middleton, R. Surface finish scatters the light. eng. Sen- sor Review 15.2 (1995), 31–35. ISSN: 02602288. URL: http://search.proquest. com/docview/226847411/. [60] Paepegaey, A.-M., Barker, M. L., Bartlett, D. W., Mistry, M., West, N. X., Hellin, N., Brown, L. J. and Bellamy, P. G. Measuring enamel erosion: A comparative study of contact profilometry, non-contact profilometry and confocal laser scanning mi- croscopy. eng. Dental Materials 29.12 (2013), 1265–1272. ISSN: 0109-5641. [61] Smirnov, D., Boltar, K., Sednev, M. and Sharonov, Y. Characteristics of heteroepi- taxial structures Al x Ga 1– x N for p – i – n diode focal plane arrays. eng. Journal of Communications Technology and Electronics 61.3 (2016), 358–362. ISSN: 1064- 2269. [62] Bachmann, F., Loosen, P. and Poprawe, R. High Power Diode Lasers Technology and Applications. eng. New York, NY. [63] Haghighi, N., Moser, P. and Lott, J. A. Power, Bandwidth, and Efficiency of Single VCSELs and Small VCSEL Arrays. English. IEEE Journal of Selected Topics in Quantum Electronics 25.6 (2019). Cited By :1. URL: www.scopus.com.