<<

High-Aspect Ratio Nanofabrication for Hard X-Ray Zone Plates

KAROLIS PARFENIUKAS

Doctoral Thesis No. 09, 2018 KTH Royal Institute of Technology School of Engineering Sciences Department of Applied Physics SE-100 44 Stockholm, Sweden TRITA-SCI-FOU 2018:09 ISBN 978-91-7729-700-0

Akademisk avhandling som med tillstånd av KTH framlägges till offentlig granskning för avläg- gande av teknologie doktorsexamen fredagen den 6 april 2018 klockan 13 i FB53, Albanova Universitetscentrum, Roslagstullsbacken 21, Stockholm.

© Parfeniukas, april, 2018 i

Abstract

Hard x-ray nanoimaging enables structural investigations of new materials for many applications. For high-resolution experiments, zone plate x-ray optics are commonly chosen. Two methods of zone plate nanofabrication are presented in this thesis. Zone plates are circular gratings with radially decreasing grating period. Their optical resolution depends on the width of the smallest zone, which nowadays can be around 10 nanometers. However, the efficiency of a zone plate depends on its thickness and its material. For hard x-rays, the optimal zone plate thickness is in the order of micrometers. Therefore, high aspect ratio nanofabrication processes are needed. Two such methods are investigated in this study. First, an existing tungsten nanofabrication process based on reactive ion etching (RIE) was extended to 22:1 aspect ratio structures at 30 nm line width. The core improvement was a resist curing step that enhanced pattern transfer during RIE. Such a zone plate with 200 micrometer di- ameter and 2.2% efficiency was used in the commissioning experiment of NanoMAX, the nanoimaging beamline at the Swedish synchrotron facility MAX IV. Transmission imaging with 40 nm resolution, as well as the fluo- rescence imaging modality were demonstrated. Second, metal-assisted chemical etching (MACE) of silicon using gold catalyst patterns was investigated. MACE dependence on gold pattern ge- ometry, etching solution composition, temperature, and substrate doping is described. The process is characterized in terms of etching rate, direc- tionality, and nanostructure surface roughness. Finally, the Ronchi test is presented as a way to quickly judge the per- formance of x-ray optics in terms of present aberrations and x-ray sources in terms of coherence. ii

Sammanfattning

Nanoavbildning med hårdröntgenstrålning möjliggör strukturell analys av nya material och har många tillämpningar. För högupplösande experiment väljs ofta zonplatteoptik. Två metoder för nanofabrikation av zonplattor presenteras i den här avhandlingen. Zonplattor är cirkulära diffraktionsgitter med radiellt avtagande gitter- period. Deras optiska upplösning beror på bredden på den smalaste zonen, vilken nuförtiden kan vara runt 10 nanometer. Verkningsgraden för en zon- platta beror emellertid på dess tjocklek och dess material. För hårdrönt- gen är den optimala zonplattetjockleken i storleksordningen mikrometer. Därför är nanofabrikationsprocesser för ett stort tjocklek-breddförhållande nödvändiga. Två sådana metoder undersöks i den här studien. Först utökades en redan existerande nanofabrikationsprocess, baserad på reaktiv jon-etsning (RIE), till strukturer med tjocklek-breddförhållande 22:1 vid 30 nm linjebredd. Den huvudsakliga förbättringen var ett steg av resisthärdning som förbättrade mönsteröverföringen under RIE. En sådan zonplatta med 200 mikrometers diameter och 2.2% verkningsgrad använ- des vid experimentet för idrifttagning av NanoMAX, strålröret för nanoav- bildning vid den svenska synkrotronljusanläggningen MAX IV. Transmis- sionsavbildning med 40 nm upplösning, såväl som fluorescensavbildning, visades. Sedan undersöktes metall-assisterad kemisk etsning (MACE) av kisel, med guldkatalysatormönster. Hur MACE beror på geometrin hos guldmönstret, komposition på etsningslösningen, temperatur och substratdopning beskrivs. Processen karaktäriseras med avse- ende på riktningsprecision och takt hos etsningen, samt ytråhet hos nanostrukturen. Slutligen presenteras Ronchi-testet som en metod att snabbt avgöra uppförande hos röntgenoptik med avseende på aberrationer, och hos röntgenkällor med avseende på koherens. iii

List of Papers

Paper 1 K. Parfeniukas, J. Rahomäki, S. Giakoumidis, F. Seiboth, F. Wittwer, C. G. Schroer, and U. Vogt, “Improved tungsten nanofabrication for hard x-ray zone plates,” Microelectronic Engineering, vol. 152, pp. 6–9, 2016.

Paper 2 R. Akan, K. Parfeniukas, C. Vogt, M. Toprak, U. Vogt, “Reaction control of metal-assisted chemical etching for silicon-based zone plate nanostruc- tures,” Manuscript, 2018.

Paper 3 K. Parfeniukas, S. Giakoumidis, R. Akan, and U. Vogt, “High-aspect ratio zone plate fabrication for hard x-ray nanoimaging,” vol. 10386 of Proc. SPIE, p. 8, 2017.

Paper 4 F. Seiboth, A. Schropp, M. Scholz, F. Wittwer, C. Rödel, M. Wünsche, T. Ullsperger, S. Nolte, J. Rahomäki, K. Parfeniukas, S. Giakoumidis, U. Vogt, U. Wagner, C. Rau, U. Boesenberg, J. Garrevoet, G. Falkenberg, E. C. Galtier, H. Ja Lee, B. Nagler, and C. G. Schroer, “Perfect X-ray focusing via fitting corrective glasses to aberrated optics,” Nature Communications, vol. 8, p. 14623, 2017.

Paper 5 U. Vogt, K. Parfeniukas, T. Stankevič, S. Kalbfleisch, Z. Matej, A. Björ- ling, M. Liebi, G. Carbone, A. Mikkelsen, and U. Johansson, “First xray nanoimaging experiments at NanoMAX,” vol. 10389 of Proc. SPIE, p. 7, 2017. iv

Other Publications

Paper A U. Vogt, D. Köhler, J. Dickmann, J. Rahomäki, K. Parfeniukas, S. Kub- sky, F. Alves, F. Langlois, C. Engblom, and T. Stankevič, “Moiré method for nanometer instability investigation of scanning hard x-ray microscopes,” Optics Express, vol. 25, pp. 12188–12194, 2017.

Paper B F. Seiboth, A. Schropp, M. Scholz, F. Wittwer, C. Rödel, M. Wünsche, T. Ullsperger, S. Nolte, J. Rahomäki, K. Parfeniukas, S. Giakoumidis, U. Vogt, U. Wagner, C. Rau, U. Boesenberg, J. Garrevoet, G. Falkenberg, E.C. Galtier, H.J. Lee, B. Nagler, and C.G. Schroer, “Aberration correction for hard x-ray focusing at the nanoscale,” vol. 10386 of Proc. SPIE, p. 10, 2017. v

Abbreviations

ALD Atomic layer deposition AR Aspect ratio CCP Capacitively coupled plasma DOF DRIE Deep reactive ion etching EBL Electron beam lithography FWHM Full-width half maximum FZP Fresnel zone plate ICP Inductively coupled plasma IPA Isopropyl alcohol KOH Potassium hydroxide MACE Metal-assisted chemical etching NA Numerical OSA Order sorting aperture PMMA Poly(methyl methacrylate) RF Radio frequency RIE Reactive ion etching SEM Scanning electron microscopy SSA Secondary source aperture ZP Zone plate

vii

Contents

Abstract i Sammanfattning ii List of Papers iii Other Publications iv Abbreviations v 1 Introduction 1 2 Hard X-ray Nanoprobes 3 2.1 Focusing Optics ...... 4 3 Zone Plate Optics 7 3.1 Zone Plate Geometry ...... 7 3.2 Efficiency for Different Materials ...... 9 3.3 Fabrication Methods ...... 11 4 Tungsten Device Nanofabrication 13 4.1 Reactive Ion Etching ...... 13 4.2 Tungsten Zone Plate Fabrication ...... 16 4.3 Characterization ...... 20 4.4 Applications ...... 22 5 Silicon Device Nanofabrication 25 5.1 Metal-Assisted Chemical Etching ...... 26 5.2 Strategies ...... 26 5.3 Silicon Zone Plate Fabrication ...... 28 6 Ronchi Test 41 6.1 Optics Diagnostics ...... 43 6.2 Beamline Diagnostics ...... 44 7 Conclusion 51 Summary of Papers 53 Acknowledgements 55 Bibliography 57 viii

List of Figures

2.1 NanoMAX Layout ...... 4

3.1 General Zone Plate Layout ...... 8 3.2 Zone Plate Focusing Orders ...... 9 3.3 Efficiency in the First Order at 8.2 keV . . . 10

4.1 Reactive Ion Etching Reactor with Inductively Coupled Plasma . 14 4.2 Reactive Ion Etching Mechanisms ...... 15 4.3 Fabrication Process of Tungsten Zone Plates ...... 17 4.4 Pattern Transfer Fidelity with Cured E-Beam Resist ...... 19 4.5 Tungsten Zone Plate Structures ...... 20 4.6 Tungsten Zone Plate Fabricated Using RIE ...... 21 4.7 Experimental Setup at Diamond I13-1 ...... 21 4.8 Tungsten Zone Plate Diffraction Efficiency in the First Order . . 22 4.9 Absorption Image and Smallest Feature Resolution ...... 23 4.10 Fluorescence Image of a 50 nm-Thick Chromium Structure . . . 23

5.1 Zone Plate Fabrication Strategies Utilizing MACE ...... 27 5.2 Zone-doubled Iridium Zone Plate ...... 27 5.3 Fabrication Process of Silicon-Based Zone Plates ...... 28 5.4 EBL Pattern Optimization of Connections Between Zones . . . . 29 5.5 Gold Layers on Various Levels of Remaining Resist ...... 30 5.6 Silicon Zone Plate Fabricated Using MACE ...... 31 5.7 Structure Width Potential ...... 32 5.8 MACE Dependence on Etching Time ...... 33 5.9 Structure Collapse Due to Capillary Forces ...... 34 5.10 MACE Dependence on Gold Pattern Geometry ...... 34 5.11 MACE Dependence on Gold Film Thickness ...... 35 5.12 MACE Dependence on Etching Solution Composition ...... 36 5.13 MACE Dependence on Etching Solution Temperature ...... 37 5.14 MACE Dependence on Silicon Doping ...... 37 5.15 KOH Etching of ⟨100⟩ Silicon Along the Crystal Planes . . . . . 38 ix

5.16 Silicon Substrate Thinned Using KOH ...... 38 5.17 KOH Etch Roughness ...... 39

6.1 General Setup for the Ronchi Test ...... 41 6.2 Examples of Simulated Ronchigrams ...... 42 6.3 Aberration Correction by a Corrective Phase Plate ...... 43 6.4 Experimental Setup at NanoMAX ...... 45 6.5 Ronchigrams at Different Secondary Source Sizes ...... 46 6.6 Spatial Coherence Length at Different Secondary Source Sizes . 47 6.7 Ptychographic Iterative Engine Algorithm Principle ...... 48 6.8 Experiment Results ...... 49

List of Tables

3.1 Some Recent Hard X-ray Zone Plate Nanofabrication Results . . 11

INTRODUCTION | 1

Chapter 1

Introduction

Identifying the building blocks of all surrounding matter is an old ques- tion dating back to ancient Greece [1]. Although today it is common knowl- edge that looking at small objects imperceptible by human sight requires a microscope, the field of microscopy was brought into attention only in the seventeenth century, when Robert Hooke published his Microscopia [2]. In his text, images of minuscule objects and organisms observed through a microscope occupied whole pages with unprecedented detail. The quest for looking at smaller and smaller things continues until now, some three hundred years later, and science has pushed beyond the limits of what was possible to see with visible light [3]. And what about seeing not just the surface, but inside things? Well, since the discovery of x-rays in 1895, it has been possible to take struc- tural images non-invasively with surprising clarity [4,5]. In fact, nowadays nanoscale imaging is an invaluable tool in a variety of fields such as ma- terials science, biology, geology, and chemistry [6]. Lower energy, or soft x-rays are mainly used for biological applications. There is a narrow energy window, between the absorption edges of carbon and oxygen, with natu- ral contrast between carbon-rich protein and oxygen-rich water molecules. Higher energy, or hard x-rays are primarily used where higher sample pen- etration is needed, e.g. for thicker, more absorbing samples. Hard x-ray microscopes, or nanoprobes, are discussed in more detail in Chapter 2. In order to be able to on nanosized objects, special x-ray optics are required. One kind of such optics are Fresnel zone plates [7], capable of obtaining some of the highest resolution x-ray images to date [8]. These zone plates are special circular diffraction gratings with radially decreasing grating period. Therefore, the highest obtainable resolution by such an op- tic depends on the smallest grating line width. At the moment, the goal is to achieve sub-10 nm spatial resolution in the image. In addition, the fo- 2 | INTRODUCTION

cusing efficiency of the zone plates depends on the thickness of the whole device [9]. The higher the energy of the x-rays, the thicker the device needs to be. For soft x-rays near the water window between 284 eV and 543 eV, this thickness is 200-300 nanometers, but for hard x-rays between 5 keV and 10 keV it is in the order of microns. Thus, fabrication of hard x-ray zone plates requires processes capable of producing high aspect ratio structures. Developing these kind of methods and processes will be the core of this thesis. A primer to zone plate theory, along with some modern fabrication methods, is outlined in Chapter 3. Before the work on this thesis project began, one of the leading hard x-ray zone plate fabrication methods was reactive ion etching of tungsten. Some of the best results for hard x-ray use included an aspect ratio of 20:1 with 50 nm smallest linewidth. In this study, the process was extended to increase the aspect ratio to 22:1 while simultaneously achieving 30 nm linewidth. Detailed description of the process parameters, zone plate char- acterization and application results are given in Chapter 4. During the time spent on optimizing the tungsten process, a new technique suitable for zone plate manufacturing emerged – metal-assisted chemical etching (MACE). Its capability to achieve extremely high aspect ratios in silicon had been demonstrated, with some limitations on the initial structure [10–12]. This thesis presents a thorough investigation of the etching reaction control in terms of etching rate, etching path, and structure surface roughness. The mechanism of MACE, its process parameters, as well as zone plate fabrication strategies and possible limitations are discussed in Chapter 5. Characterizing manufactured zone plates, or any other optics for that matter, is an important last step in the fabrication process. The Ronchi test is used for quickly identifying optical aberrations [13], and has been adapted for x-ray zone plates [14]. Chapter 6 explains the principle be- hind the Ronchi test, and how it was used to perform diagnostics for op- tical components and for an x-ray light source at a hard x-ray nanoprobe experiment. HARD X-RAY NANOPROBES | 3

Chapter 2

Hard X-ray Nanoprobes

Hard x-ray microscopes are extremely useful tools for many modern sci- ence applications, including materials science, life science, earth science, and many others. They are used to investigate and understand nanoscale structures that are important for human life, the environment, and develop- ment of new technologies. X-rays are helpful to examine the entire sample volume non-destructively, and can be used to not only image the structures, but also probe their properties at high resolution. This can be done by fo- cusing the light into a nanosized spot onto the sample. These kind of tools, also called nanoprobes, are similar to visible light imaging systems. They also have a light source, guide the light rays through the object, and use a detector to record the image. The x-rays for nanoprobes are typically produced in a large x-ray light facility. One option is a synchrotron light source that delivers a small and stable high-flux beam. Another choice is a free electron laser. This tech- nology is leading in source brightness, but is ultimately destructive to the probed object [15]. In both of these cases, it is possible to perform imaging experiments without any optical elements and only recording the light propagating through the object of interest. However, in order to probe the properties of heterogeneous samples with nanoscale resolution, some optical devices have to be involved. An example synchrotron hard x-ray nanoprobe diagram is depicted in Fig. 2.1. This is the NanoMAX beamline at the Swedish synchrotron facil- ity MAX IV. The figure shows the x-ray beam passing through a number of optical devices after being generated in the undulator before entering the microscope setup in the experimental station. These are primarily to de- liver a precisely defined x-ray beam, where the x-rays go through the final focusing optics chosen for the experiment. The zone plate optics that this 4 | HARD X-RAY NANOPROBES

Figure 2.1: Optics layout in the NanoMAX beamline and its nanoimaging endstation. Adapted from [16]. thesis investigates are the final focusing devices.

2.1 Focusing Optics

Reflective, refractive, and diffractive optics are all commonly used for x- ray focusing. However, every type of optic comes with its advantages and disadvantages. Most reflective focusing optics are simply parabolic mirrors with the source at infinity and the focal spot at one of the foci of the parabola. They can be made either from a single material, or have a multilayer structure and use the Bragg reflection condition. The most popular mirror configura- tion is the Kirkpatrick-Baez (KB) arrangement that uses two mirrors, where one focuses the incoming beam in the horizontal direction, and the other in the vertical [17]. The advantage of using mirrors is that their efficiency could possibly reach 90% [18]. However, x-ray mirrors are much larger than other focusing devices, and have to be manufactured with atomic pre- cision in order to maintain an unperturbed wavefront. Ultimately, in or- der to achieve sub-10 nm resolution, tunable (deformable) mirrors are re- quired [19], driving up the complexity and the cost of the setup significantly. Reflective capillary optics are used for some limited applications in x- rays. Capillary optics were the first to exhibit nanometer scale resolution [20], but their limitation is small entrance aperture, severely limiting the photon flux in the system. Polycapillary bundles could be used, but the pro- duced resolution drops drastically, so they are typically used as condensing optics. Refractive optics, more commonly known as , have also been pro- HARD X-RAY NANOPROBES | 5

posed for x-ray focusing [21]. Unfortunately, the for x-rays in most materials is very close to 1, leading to impractical focal distances when using a single [22]. Stacking multiple lenses [23] is a common practice to achieve manageable focal lengths, but absorption prevents high focusing efficiency. Nonetheless, compound refractive lens setups are a common compromise between efficiency and resolution, and also in situa- tions where a large working distance is preferred. Planar nanofocusing lenses etched in silicon have also been used [24]. The ability to accurately fabricate hundreds of sequential lenses on a single device enables short focal lengths, but the aperture of the lenses is only around 50 μm, severely limiting photon flux at the sample. Diffractive optics use the latest nanofabrication advances in order to simultaneously deliver high resolution and high efficiency. One kind of diffractive x-ray optics, multilayer Laue lenses, are made of many thin films of alternating materials, which act as a diffraction grating [25]. By gradu- ally changing the film thickness along the device, a common focal point can be achieved. Typically, one such lens can only focus light in one direction, so two lenses are used in sequence perpendicular to each other. The biggest limitation is that the maximum size of the lenses is limited by the ability to accurately deposit many layers in a reasonable time. Even the most modern multilayer devices only use a fraction of the full grating structure [26]. As such, the maximum aperture and maximum possible amount of collected light is limited, even if the devices themselves perform optimally. Another kind of diffractive optics are zone plates. They are circular grat- ings with radially decreasing linewidth so that the diffracted rays have a common focal point [7]. Zone plate optics are compact and provide a rea- sonable focal distance that is typically a few centimeters. A disadvantage of zone plates is that their maximum theoretical efficiency is 40% [27] (dis- cussed in more detail in Section 3.2). Despite that, zone plates are com- monly used at synchrotron facilities in order to achieve the best possible resolution at the cost of decreased maximum focusing efficiency. Never- theless, improvements in nanofabrication tools and processes frequently push the boundaries of both achievable spatial resolution and practical ef- ficiency, providing an exciting avenue of x-ray optics research and lending to the topic of this thesis.

ZONE PLATE OPTICS | 7

Chapter 3

Zone Plate Optics

Zone plates are diffractive focusing optics consisting of alternating zones that can be either transparent and opaque, or transmissive and phase shift- ing. The boundaries of these zones can be described mathematically, de- pending on the desired parameters of the zone plate. Conversely, the mate- rial of the zone plate and its thickness have to be chosen carefully depending on the operating . A brief introduction of the theory is provided in this chapter. A more rigorous and detailed explanation can be found in the literature [9]. In ad- dition, methods of manufacturing zone plates for hard x-ray nanoimaging applications are discussed.

3.1 Zone Plate Geometry

A zone plate is a circular grating with radially decreasing linewidth so that the diffracted rays have a common focal point [9]. The focal distance depends on the order of diffraction m used for focus- ing, and the incoming photon wavelength λ. Using the Pythagorean theo- rem, it can be shown that the distance from the center to the boundary of zone number n is m2n2λ2 r2 nλf nm = m + 4 .

If the diffraction order used is m = 1, and the wavelength is much smaller than the focal distance (as is the case for x-ray zone plates), such that nλ/2 ≪ f :

rn = √nλf , 8 | ZONE PLATE OPTICS

th Figure 3.1: General zone plate layout. The first zone boundary is denoted r1, the n th as rn, and the outermost zone boundary is rN . Thus, the width of the n zone is drn. The outermost zone width is denoted Δr. Adapted from [28]. then it is easy to derive some other relevant zone plate parameters, such as diameter D, f and numerical aperture NA:

D = 4NΔr DΔr f = λ λ NA = 2Δr where N is the total number of zones and Δr is the outermost (smallest) zonewidth. The focal distance depends on the photon wavelength, so in order to avoid chromatic blurring, the focal distance variation, or depth of field DOF is restricted to 1 λ DOF = ± . 2 NA2 Therefore, the following spectral bandwidth Δλ condition must be satisfied: Δλ 1 λ ≤ N , meaning that for any bandwidth Δλ there is a maximum allowed number of zones N. Another important zone plate parameter is the maximum attainable res- olution. The Rayleigh limit for a zone plate is 0 61λ . 1 22Δr NA = . . ZONE PLATE OPTICS | 9

Figure 3.2: The first three odd zone plate focusing orders. Note that even orders disappear for lines and spaces of equal width. The most commonly used is the first order m = 1, with its indicated focal distance f . Focal distances for m = 3 and m = 5 are respectively 3 and 5 times shorter. orders form virtual foci upstream of the zone plate. Transmission rays (m = 0) and negative order rays are indicated only in the outermost zones for clarity. Figure from [28].

This means that the maximum spatial resolution only depends on the outer- most zonewidth of the zone plate optic. Therefore, to achieve 10 nm imag- ing resolution, the ability to fabricate devices with nanoscale features is re- quired. In practice, three parameters are used to design the zone plate pattern for a specific application: x-ray wavelength λ, desired outermost zonewidth Δr, and desired diameter D.

3.2 Efficiency for Different Materials

For a transmission grating with opaque lines and transparent spaces of equal width, first order diffraction efficiency is [9]

⎧0.25, m = 0 ⎪ 2 2 ηm = ⎨1/m π , m odd ⎪ ⎩0, m even 10 | ZONE PLATE OPTICS

with 0.5 of intensity absorbed in the grating itself. Although even orders do not appear in the case of lines and spaces of equal width, only 10% of incoming light is diffracted in the first order. However, phase gratings can improve the situation by phase shifting incoming light instead of absorbing it [27]. Then the efficiency becomes a function of the phase shift induced by some finite grating thickness. Maximum efficiency is achieved when the phase shift is exactly π, resulting in optimal thickness of

λ t = 2δ where δ is the real component in the complex refractive index

n = 1 − δ + iβ. Unfortunately, all materials have non-zero absorption β even for x-rays, so the efficiency becomes a combination of attenuation and phase shift:

1 4πβt λ 2πβt λ η = 1 + e − / − 2e − / cos 2πδt λ . π2 ( ) ( / ) Thus, an attractive zone plate material would have high phase shift δ and low attenuation β. If β approaches 0, then the maximum theoretical first order diffraction efficiency is just above 40%, four times higher than for an absorption grating.

Figure 3.3: First order diffraction grating efficiency dependence on material and thickness for gold, tungsten, and silicon at 8.2 keV photon energy. The solid lines rep- resent calculations with the thin grating approximation. The dashed lines represent calculations for thick gratings with rigorous coupled-wave theory using GD-Calc [29]. Material data obtained from [30].

Figure 3.3 depicts the first order efficiency dependence on grating thick- ness for gold, tungsten, and silicon at 8.2 keV photon energy. As can be ZONE PLATE OPTICS | 11

seen in the graph, all presented materials have a low enough β sufficient for 30% efficiency. But δ of low-Z materials, such as Si in the plot, results in rather large optimal grating thickness. In combination with the small grat- ing linewidth required for high resolution, this results in extremely high as- pect ratio structures that might not be feasible to fabricate. Instead, high-Z materials, such as W or Au, can provide optimal efficiency with much thin- ner gratings with the trade-off of reduced maximum efficiency. In conclusion, the choice of zone plate material highly depends on the available fabrication methods, and the feasibility to manufacture structures with high aspect ratio.

3.3 Fabrication Methods

Most modern methods use electron beam lithography (EBL) to define the zone plate patterns for fabrication. The advantages of EBL are its high res- olution and flexibility to change the pattern for each device. The pattern, written in e-beam resist, forms the basis for many possible fabrication ap- proaches that can be classified either as top-down or bottom-up. In prac- tice, all methods involve processing steps that can be useful for either ap- proach. Table 3.1 highlights some recent results of various hard x-ray zone plate nanofabrication techniques. Reactive ion etching (RIE) is discussed in more detail in Chapter 4, and metal-assisted chemical etching (MACE) is investigated in Chapter 5.

Ref. Method Δr AR E η [31] W & diamond RIE 50 nm 20:1 9.25 keV 2.1% [32] W RIE 30 nm 22:1 8.2 keV 2.2% [33] electroplated Au 50 nm 10:1 8.2 keV 4.6% [34] ALD 15 nm 23:1 6.2 keV 1.15% [35] double-sided ALD 30 nm 40:1 9 keV 9.9% [8] interlaced ALD 7 nm 43:1 9 keV 0.52% [11] MACE & ALD 16 nm 219:1 20 keV 4.8%

Table 3.1: Highlights of some recent hard x-ray zone plate nanofabrication results.

3.3.1 Bottom-Up Approach A bottom-up approach means that the zone plate material is assembled on an x-ray transparent substrate, usually a thin silicon nitride membrane. Therein lies the advantage of a bottom-up approach, opening such possibil- ities as patterning both sides of the substrate. It is generally a very flexible 12 | ZONE PLATE OPTICS

approach as the final device will only include deliberately placed structures. The disadvantage is that most bottom-up processes are comparatively slow, especially the ones requiring high accuracy and precision. One standard method of bottom-up zone plate fabrication is electroplat- ing, where a resist mold is filled with a phase shifting metal. This still is the most common way of manufacturing zone plates for soft x-rays, where the aspect ratio requirement is not as high as for hard x-ray devices [36,37]. An- other, more recent method involves coating a resist mold with a high-Z ma- terial film of uniform thickness using atomic layer deposition (ALD) [34].

3.3.2 Top-Down Approach A top-down approach implies machining existing material by removing the excess parts and leaving only the desired structures. It can involve either structuring the substrate or a film of material prepared beforehand. The advantage of a top-down approach for zone plate fabrication is that the final device only transmits light through the zone plate area. The disadvantage of a top-down approach is that it can be more complex, especially if the substrate needs preparation or further processing. Most commonly either dry or wet etching is used in combination with a mask that selectively prevents the etching of material in masked areas [38]. Dry etching includes reactive ion etching, and wet etching includes metal-assisted chemical etching, both of which comprise major parts of this thesis. TUNGSTEN DEVICE NANOFABRICATION | 13

Chapter 4

Tungsten Device Nanofabrication

Tungsten is a high-Z material with high phase shift coefficient δ compared to low-Z elements. Even though a moderate absorption coefficient β limits the maximum achievable diffraction efficiency, the high phase shift enables reaching high efficiency values at much lower optical thickness. This makes tungsten a good candidate for diffractive hard x-ray optics. Fortunately, tungsten nanostructuring has already been investigated for the purposes of absorbers, x-ray lithography masks [39], and x-ray optics [40]. A high resolution tungsten nanofabrication process had been developed at the Biomedical and X-ray Physics group at KTH [41]. The method relies on reactive ion etching (RIE) as the primary method to transfer patterns written using electron beam lithography (EBL) into tungsten. The best hard x-ray zone plate effort had been 50 nm structures at 1 μm height, resulting in an aspect ratio of 20:1 [31]. This chapter will cover the basics of reactive ion etching, the specifics of tungsten zone plate nanofabrication, the methods of device characteri- zation, and some applications.

4.1 Reactive Ion Etching

Reactive ion etching describes etching in a plasma environment. It can be used to selectively etch material that is not covered by an etch-resistant mask, resulting in pattern transfer from an electron beam resist into the un- derlying matter. This section discusses multiple ways of delivering power into the plasma, and how to use it to perform the etching process. 14 | TUNGSTEN DEVICE NANOFABRICATION

4.1.1 Plasma Generation Plasma is a conducting gas of unbound positively and negatively charged particles, as well as some neutral free radicals. A simple discharge plasma can be generated by feeding gas between two electrodes and applying a radio-frequency (RF) electromagnetic field, energizing the gas. The result is called a capacitively coupled plasma (CCP). Free electrons in the plasma rapidly move along the oscillating electric field, generating more ions and electrons. Ions only react to the average field changes, so a sheath field forms between the plasma and each elec- trode. The sheath field is responsible for accelerating the charged particles from the plasma towards the electrodes. This effect is called sputtering, and the sheath potential is the main source of sputtering ions in RIE. Mean- while, neutral particles move freely in the plasma and diffuse towards the electrodes. In capacitively coupled plasma, both the amount of ions in the plasma and the ion energy are coupled to the power of the RF field. This becomes an issue if the ion amount and their energy need to be controlled separately. Fortunately, it is possible to overcome this limitation by delivering power into the plasma inductively using a coil and thereby increasing the amount of reactive species without influencing the sheath potential. This type of plasma is called inductively coupled plasma (ICP).

Figure 4.1: A typical reactive ion etching reactor with inductively coupled plasma. Major parts are indicated. Adapted from [42]

A typical RIE-ICP tool is depicted in Figure 4.1. Inside a vacuum cham- ber, an electrode is connected to an RF power source and a coil around the chamber is used for ICP generation. Once there is plasma in the chamber, TUNGSTEN DEVICE NANOFABRICATION | 15

the etching process starts, with the type of etching depending on the gas interaction with the substrate.

4.1.2 Reactive Ion Etching Mechanisms There are four types of reactive ion etching: sputtering, chemical etching, ion-enhanced energetic etching, and ion-enhanced inhibitor etching [43], briefly sketched in Figure 4.2.

Figure 4.2: Reactive ion etching mechanisms: (a) sputtering, (b) chemical etching, (c) ion-enhanced energetic etching, (d) ion-enhanced inhibitor etching. The mask is indicated by the blue , substrate material is grey, ions are pink, neutral reactive species are green, and inhibitor films are light green.

Sputtering happens when accelerated ions hit the substrate and knock out atoms from the surface. Since this is a purely physical phenomenon, it has low selectivity between different materials, meaning that the etch rate of the mask and the underlying material is similar. Sputtering is anisotropic, i.e. directional, since the ion trajectories are perpendicular to the flat electrodes. Chemical etching occurs when plasma species that migrate to the sub- strate can react to the surface material. These are mainly neutral free rad- icals that are created in the plasma. If volatile products are formed during the reaction, material is removed from the substrate. This is a highly se- lective process that depends on the gases supplied to the plasma and the substrate material, so that a proper mask would ensure etching only in the desired areas. Chemical etching is isotropic, i.e. non-directional, unless there are crystal planes present in the substrate with preferential etching directions. Ion-enhanced energetic etching is a combination of sputtering and chemical etching. Energetic ions modify the surface of the substrate, rendering it more reactive with the etchant species. In practice, high selectivity and anisotropy can be achieved because sputtering only affects the sample surface and the bottom of the etched features. 16 | TUNGSTEN DEVICE NANOFABRICATION

Ion-enhanced inhibitor etching is an extension of ion-enhanced ener- getic etching. It relies on the etchant forming non-volatile, non-reactive products when reacting to the substrate. In this case, all surfaces of the etched features are covered by this inhibitor film. However, in the presence of sputtering, the inhibitor film is removed at the bottom of the etched fea- tures, leaving the sidewalls protected from further chemical etching. This mechanism has the potential to achieve the highest aspect ratio structures due to its extraordinary anisotropy.

4.1.3 Reactive Ion Etching Parameters The desired etching type influences the gas choice. In the case of chemical etching, a reactive gas chemistry is desired, such as SF6 for Si or W, or O2 for organic compounds. For sputtering, inert gases such as argon are typically chosen. RF and ICP power are tuned accordingly, with RF power enhancing the sputtering process, and ICP power increasing the amount of ionized parti- cles and reactive free radicals in the plasma. Increased gas flow can enhance the etch rate due to faster refreshing of etchant gas for chemical etching. However, it comes at the cost of anisotropic sputtering increasing the etching rate of the mask [44]. Gas pressure can be adjusted to influence the etching profile, with lower process pressure producing a more vertical profile and minimizing bowing features. This is due to planar-dependent etching, where decaying mask surface geometry redirects sputtering ions to more angular trajectories [44, 45]. Etching at cryogenic temperatures can be used to control etching pro- file by decreasing etching product volatility and therefore increasing the inhibitor film effectiveness [41, 44].

4.2 Tungsten Zone Plate Fabrication

Tungsten structures can be produced via reactive ion etching (RIE) of a tungsten film using a sulfur hexafluoride (SF6) and oxygen (O2) plasma. SF6 performs chemical etching, while O2 increases the number of fluorine ions and enables plasma ignition at low process pressures. Nevertheless, for the etching depth required, the etching selectivity of a thin resist layer versus tungsten is not enough. Using a thicker resist layer would compro- mise obtainable resolution in the pattern, so an intermediate layer needs to be used, known as a hard mask. Chromium is a good candidate for this task because its selectivity against tungsten in an SF6 plasma is approximately 40:1 [46], enabling the use of a very thin hard mask. Similarly, in order to TUNGSTEN DEVICE NANOFABRICATION | 17

Figure 4.3: Fabrication process of tungsten zone plates. (a) Substrate preparation. (b) The zone plate pattern is written using electron beam lithography and then devel- oped. (c) The remaining resist is cured with a large electron dose. (d) Using reactive ion etching, the pattern is transferred into chromium. (e) The pattern is finally etched into tungsten. produce a pattern in chromium, a proper electron beam resist needs to be chosen, such as ZEP7000 which exhibits excellent etching selectivity [47]. In summary, first the desired pattern is produced in resist via electron beam lithography, then it has to be transferred into a chromium hard mask, and afterwards into a thick tungsten film, as outlined in Figure 4.3.

4.2.1 Zone Plate Pattern Design The first step in the fabrication process is the zone plate pattern design. This typically involves algorithmic pattern generation with software tools, e.g. a MATLAB script. In general, a zone plate design involves calculat- ing zone boundaries from a set of parameters, like intended wavelength, desired resolution, and device diameter, as discussed in Chapter 3. This information is used to create a dataset that is compatible with the electron beam lithography tool. The main difference in the prototype zone plate designs used in this the- sis, compared to some more typical exposures, is the use of vector expo- sure. This means defining lines of , rather than areas. In tradi- tional EBL, non-rectangular area elements have to be fractured into rect- angular or triangular primitives. Therefore, representing thin, curved ele- ments as lines has the advantage of more closely resembling the intended design [28]. It must be noted that this strategy was available only on the 18 | TUNGSTEN DEVICE NANOFABRICATION

Raith system at the Albanova Nanofabrication Facility (ANF). Externally exposed zone plates followed the traditional area exposure method where the exposures could be defined more precisely. Another feature of the generated patterns is that the exposure dose is calculated for each zone individually. This is required because of the prox- imity effect that can influence the exposure of dense structures [48]. Even though proximity calculation tools are provided by the EBL manufacturer, the available software was not suitable for the zone plate-specific geometry. Therefore, the patterns in this thesis were naively corrected with a radially increasing dose in an exponential fashion. Experimental results confirm that the correction is good enough because the obtained line-to-space ratio is close to 1:1. In electron beam lithography, the dose profile follows a double- Gaussian distribution due to electron backscattering from the substrate. Therefore, special care was taken of the few outermost zones by amplifying the dose there with an additional exponent. Again, the described dose correction methods were applied to zone plate prototyping at ANF, whereas external exposures had their doses tuned using empirical dose test results.

4.2.2 Substrate Preparation A 1 μm-thick silicon nitride membrane on a silicon frame is used as a sub- strate. First, a thin 5 nm chromium layer is deposited by electron beam evaporation in a custom Eurovac/Thermionics system at a low base pres- sure in the order of 10−8 mTorr. This layer acts as an etch stop to the tung- sten etching step. Then, a thick 600 nm tungsten film is deposited by magnetron sput- tering in an argon/nitrogen plasma in an AJA Orion system. The gas ratio, substrate temperature, and process pressure were optimized to obtain films with low internal stress. The amount of stress inside the tungsten film can influence its morphology [49], along with distorting the substrate geome- try. However, using nitrogen gas during sputtering decreases the tungsten density by forming tungsten nitride with a fraction of the material [50]. Af- terwards, a 25 nm chromium hard mask was evaporated similarly to the etch stop layer. An 80 nm ZEP7000 layer was used for EBL. Exposure was performed in-house for rapid prototyping of smaller devices, and externally at FinnLitho for the final large diameter zone plate optics. Cold development of the exposed resist was used to ensure high contrast within the resist pattern [37]. The main improvement in the tungsten fabrication process was the TUNGSTEN DEVICE NANOFABRICATION | 19

Figure 4.4: Comparison of pattern transfer quality in test samples using cured and non-cured resists. Top view of hardmask after pattern transfer into the hardmask layer (a) without curing, (b) with curing; after pattern transfer into tungsten (c) without cur- ing, (d) with curing. introduction of an electron beam curing step (Fig. 4.3 (c), also Paper 1). The curing was done by exposing the remaining resist again but with much higher dose. This improves pattern transfer into the chromium hardmask during the RIE steps (Fig. 4.3 (d)) [32]. The reason for the improvement in selectivity is not entirely clear, but is believed to be linked to the tone-change in the overexposed resist [51–53]. Figure 4.4 highlights the improvement in a test structure. It can be ob- served that the the resist layer is gone and surface of the mask is revealed after etching through the chromium layer in (a), while resist is still present in (b). Another important result is the improved line edge roughness after etching tungsten, as can be seen by comparing (c) and (d). Ultimately, this was the enhancement that enabled tungsten nanofabrication process to be extended to 30 nm resolution in high aspect ratio structures.

4.2.3 Reactive Ion Etching The first RIE step is to transfer the zone plate pattern from the resist into the chromium hardmask using chlorine (Cl2) and oxygen (O2) plasma (Fig. 4.3 (d)). The final step to obtain high aspect ratio structures in tungsten is cryogenic RIE by SF6/O2 (Fig. 4.3 (e)). The vertical profile of the final tungsten structures is examined in Fig- ure 4.5. Some bowing is visible in both (a) and (b), where the midpoint of the structures is narrower than the top and the base. The amount of bowing does not diminish the quality of 60 nm lines seen in (b) too much, but for the 30 nm structures in (a) the bowing is significant due to their smaller width. It has to be noted that the structures in the image were produced separately from zone plates, and gas diffusion in the RIE process might ex- aggerate the profile features. In any case, the bowing could be somewhat alleviated by decreasing the process pressure. Unfortunately, the RIE tool 20 | TUNGSTEN DEVICE NANOFABRICATION

Figure 4.5: Vertical zone profile with line width of (a) 30 nm and (b) 60 nm. Top view of the zone plate structures at line width of (c) 30 nm, (d) 60 nm. used in this work was unable to consistently maintain plasma at pressures lower than 4 mTorr. Therefore, in order to improve this process further, al- ternate routes of process optimization could be explored. For example, fur- ther decreasing substrate temperature and simultaneously increasing the power of the RF field.

4.3 Characterization

The final device exhibited 30 nm outermost linewidth in 660 nm-tall tung- sten structures, netting the highest aspect ratio in the material to date, 22:1. The zone plate diameter was 200 μm. An electron micrograph of the full de- vice is in Figure 4.6. The optic was characterized using ptychography at the Diamond Light Source, at the coherence branch of the I13 beamline. The general setup is shown in Figure 4.7. In this case, the optical components used included an aperture, a central stop, the tungsten zone plate, an order- sorting aperture, and a sample with tungsten test structures. Diffraction gratings on the test sample were used to quickly find the po- sition of the focus of the optic using the Ronchi method (for more details about the Ronchi test, see Chapter 6). A ptychographic wavefront recon- struction was performed, and the zone plate was shown to perform at the diffraction limit with a 36 nm focal spot. While the theoretical efficiency of a 660 nm-thick tungsten zone plate should be 11.3% at 8.2 keV photon energy, the total efficiency was measured to be 2.2%. This decrease is at- tributed to imperfect fabrication, especially of the outermost zones, and de- creased material density during the tungsten deposition step. Indeed, fur- ther energy-dispersive x-ray spectroscopy revealed that the films were com- posed of only ≈48% tungsten atoms and upwards of 30% nitrogen atoms, with the remaining fraction consisting of mainly carbon and oxygen. This indicates that a large portion of tungsten in the film had formed tungsten nitride during the deposition process due to the addition of nitrogen as a TUNGSTEN DEVICE NANOFABRICATION | 21

Figure 4.6: A 200 μm diameter tungsten zone plate fabricated using RIE. Outermost zone width is 30 nm, zone height 660 nm. Aspect ratio 22:1. The darker spots on the left side of the ZP are SEM imaging artifacts. The vertical artifact is caused by under- sampling.

Figure 4.7: of the experimental arrangement that was used at Diamond I13-1. Major components are indicated. The scintillator/objective/ combina- tion can be moved out of the optical axis in favor of using detectors further down- stream. Piezoelectric nanopositioning stages can be moved in, out, and along the op- tical axis. The compound refractive lens holder could be moved in or out of the x-ray path using an air bearing. process gas, and, therefore, decreasing the density of the film. In turn, the x-ray interaction with the device is smaller, decreasing diffraction efficiency of the zone plate. Figure 4.8 shows local first-order diffraction image of a tungsten zone plate. The radially decreasing intensity value indicates that the fabrication quality decreases with feature size, discussed above. The concentric arti- facts can also be attributed to fabrication faults during EBL, while other 22 | TUNGSTEN DEVICE NANOFABRICATION

Figure 4.8: Local tungsten zone plate first order diffraction efficiency percentage measured at the Diamond Light Source. The experiment was performed at the coher- ence branch I13-1 at 8.2 keV photon energy. streaks are caused by small debris in the optical path.

4.4 Applications

The fabricated zone plates were used at the NanoMAX beamline at MAX IV during the commissioning experiments in December 2016 [54]. An absorption image was recorded using a typical nanoimaging setup, similar to the one in Fig. 6.4. At the 8.17 keV photon energy that was used, the zone plate had 39.5 mm focal distance. A 25 μm-thick laser-cut tungsten central stop was placed just upstream of the zone plate to partly block the zeroth order illumination. An order sorting aperture (OSA) was positioned near the focus in order to filter out other diffraction orders than the first. A test sample with an array of Siemens stars with a 2.5 μm pitch etched in 1 μm tungsten was used. It was placed in the focal plane of the zone plate optic and scanned in 10 nm steps perpendicular to the optical axis. The total transmitted intensity was recorded using a Dectris Pilatus 100k detector with 1 s exposure for each position. Fig. 4.9 shows the final image and a profile through one of the Siemens star spokes, denoted with a black line. The profile shows roughly 40 nm spatial resolution, close to the 36 nm diffraction limit of the zone plate. A second experiment showcased the fluorescence imaging capability at the NanoMAX beamline. A 50 nm-thick chromium structure on silicon ni- TUNGSTEN DEVICE NANOFABRICATION | 23

Figure 4.9: Left: absorption contrast image of a Siemens star array test sample ob- tained in scanning mode. Right: line plot obtained at the position of the black line in the absorption image. Figure from [54]

tride was used as a sample. The chromium Kα emission line at 5.4 keV was recorded by a single-element Amptek fluorescence detector using a PX5 in- terface with 0.5 s acquisition time per pixel. The final image is shown in Fig. 4.10. This result proves that the zone plate optic can gather enough photons into a small spot on the sample for heterostructure nanoprobing experiments.

Figure 4.10: Fluorescence image of the NanoMAX logo made of 50 nm-thick chromium. Figure from [54]

SILICON DEVICE NANOFABRICATION | 25

Chapter 5

Silicon Device Nanofabrication

The methods of deep anisotropic reactive ion etching have seemingly reached their potential, with limited possibilities for improvement. Therefore, other means of zone plate production were developed.

First, zone-doubled zone plates were developed as a high resolution, high efficiency alternative to tungsten optics [34]. Second, more complex patterning schemes were being implemented. Two zone plates with com- plementary profiles could be used to implement a more efficient, staircase profile optic [55]. Alternatively, fabricating zone plates on both sides of a substrate was demonstrated either for efficiency purposes [35] or for im- proving resolution [8].

However, all of the aforementioned developments rely on the ability to make the primary structure mold in a thick layer of electron beam resist. On the one hand, the capability to directly define a structure with a high reso- lution electron beam is attractive. On the other hand, electron scattering in resist will ultimately limit possible structure aspect ratio. Therefore, first structuring a thin mask would be preferred if the pattern transfer should not be compromised.

This is exactly what metal-assisted chemical etching (MACE) is capable of. It is a catalytic reaction where semiconductor etching is enhanced at the interface with a metal [56–58]. It has been demonstrated to be capable of fabricating ultra-high-aspect ratio (100:1 and higher) structures for zone plates [10, 11]. In this chapter the mechanism of MACE will be introduced before describing all the steps that go into zone plate fabrication utilizing this method. 26 | SILICON DEVICE NANOFABRICATION

5.1 Metal-Assisted Chemical Etching

The main principle of MACE is catalytic etching of a semiconductor at an interface with, typically, a noble metal. This can be achieved with a solution of an oxidant and an etchant. In this thesis, silicon will be used as the semiconductor, gold as the metal catalyst, hydrogen peroxide (H2O2) as the oxidant, and hydrofluoric acid (HF) as the etchant. H2O2 is preferentially reduced at the gold surface. The holes generated in this reaction diffuse through the gold layer and are injected into the sili- con surface beneath the metal. The silicon is therefore oxidized and subse- quently dissolved by HF. The cathode reaction at the metal surface is as follows:

+ + H2O2 + 2H → 2H2O + 2h The anode reaction describing silicon dissolution is [56]:

+ + Si + 6HF + 2h → H2SiF6 + 2H + H2↑ The overall reaction is then:

Si + H2O2 + 6HF → 2H2O + H2SiF6 + H2↑ Of course, there are multiple parameters determining the outcome of the etching process [58]. The ones investigated in this thesis as part of Paper 2 include geometry of the gold pattern, etching time, etching solu- tion composition, etching temperature, thickness of the gold, and substrate doping. Other parameters that have been identified in the literature as be- ing relevant are type of oxidant [59], type of metal [57], metal deposition method [60], silicon substrate crystal orientation [61,62], doping type and doping level [57]. The three main reaction characteristics that are consid- ered in this thesis are the etching rate, etching path directionality, and the surface roughness of the remaining silicon structures.

5.2 Strategies

Three principal zone plate manufacturing strategies can be used in conjunc- tion with silicon MACE (Figure 5.1). First, using silicon as the phase shift material. This strategy requires dense (1:1 line to space ratio) and very high aspect ratio structures in order to reach optimal efficiency. For example, maximum efficiency while focus- ing 8.2 keV photons in the first diffraction order is reached with 10.2 μm-tall silicon (see Section 3.2, Fig. 3.3). SILICON DEVICE NANOFABRICATION | 27

Figure 5.1: Zone plate fabrication strategies using metal-assisted chemical etching as the primary structuring method.

Second, the silicon structures can be used as a mold to be filled with an- other, denser material that would act as the primary phase shifting medium. This could be done by, e.g. electrochemically depositing metal on the gold that remains in the trenches of the zone plate structure. Similar practice has been implemented using resist instead of silicon, and electroplated nickel for soft x-rays [36] or, more recently, using gold for a wider photon energy range [33].

Figure 5.2: Zone-doubled iridium zone plate. The 1:3 line-to-space ratio silicon mold was prepared using MACE, and coated with iridium using ALD. The outermost zone width is 45 nm. The dark rectangular areas are SEM imaging artifacts. Figure from [12]

Third, semi-dense silicon structures with a line to space ratio 1:3 can be used for zone doubling, i.e. coating with a denser material that serves as the phase shifting medium [63]. In this case, atomic layer deposition (ALD) can be used to deposit e.g. platinum [64] or iridium [65]. This kind 28 | SILICON DEVICE NANOFABRICATION

of zone-doubled zone plate coated with iridium is shown in Figure 5.2. The drawback of ALD is that it is only capable of creating layers with uniform thickness, decreasing overall efficiency. Nonetheless, the line to space ratio and the ALD process can be tuned towards either ultimate resolution or efficiency [66].

5.3 Silicon Zone Plate Fabrication

Fabrication of zone plate optics utilizing MACE can be described in four dis- tinct parts: designing the patterns that defines the shape of the zone plates, depositing the pattern in gold, metal-assisted chemical etching itself, and thinning of the silicon substrate.

Figure 5.3: Fabrication process of silicon-based zone plates. (a) Substrate prepara- tion. (b) The zone plate pattern is written using electron beam lithography and then developed. (c) A gold layer is deposited along with a thin titanium adhesion layer. (d) The resist is stripped, leaving only the necessary gold. (e) The pattern is etched into silicon. (f) The substrate is thinned using KOH. (g) The silicon structures are filled electrochemically or coated using ALD. Adapted from [32]

These four parts have their own parameters and considerations which will be thoroughly analyzed in their respective sections. The main points of MACE fabrication formed the basis for the work presented in Paper 3. An overview of the fabrication process is presented in Figure 5.3. SILICON DEVICE NANOFABRICATION | 29

5.3.1 Zone plate pattern design MACE has some special requirements for the zone plate design. The etching rate is more homogeneous if the gold pattern is interconnected. These type of connections are called positive supports. Conversely, introducing breaks, or negative supports, in the gold pattern results in connections in the re- maining silicon structures, rendering them more stable (see Section 5.3.3). These options have been implemented in the existing MATLAB script for zone plate pattern generation. It also supports patterns intended for zone doubling, as discussed in Subsection 5.2. The script was originally only intended for producing files compatible with Raith EBL systems, such as the one in Albanova Nanofabrication Facility (ANF). During the work on this thesis the script has been extended to support BEAMER software used elsewhere.

Figure 5.4: Electron beam lithography exposure optimization of positive supports (here horizontal) between zones (vertical) within a zone plate. Left: connections are arrays of single pixel lines, each requiring the electron beam to settle before exposure. Right: connections are now areas, only requiring the beam to settle once.

The patterns have also been optimized for faster exposure on the Raith system. The zone plate prototypes could take more than 4 hours to expose using the usual system parameters. This was caused by a large number of individual elements used to construct the patterns, because the electron beam has to settle and wait before exposing each element. Changing the connections between zones from arrays of single pixel lines to simple area exposures (see Fig. 5.4) reduced the number of elements in a 60 μm diame- ter zone plate 16 times, and the exposure time 6 times for an all-connected, 30 | SILICON DEVICE NANOFABRICATION

net-like pattern. The impact is smaller for a pattern with both positive and negative supports. The reason being that in an all-connected pattern the zones are comprised of full circles, whereas otherwise the zones are a series of arcs, where the number of elements cannot be reduced. Thus the num- ber of elements and the exposure time only decrease by roughly a factor of 2 in a fishbone-like pattern.

5.3.2 Gold Patterning For MACE purposes typically a single layer lift-off lithography is used [10, 11]. Unfortunately, this requires an EBL tool with high 100 kV acceleration voltage to ensure a high-contrast exposure. However, there is a workaround that can adapt the available EBL processes to the 30 kV tool available at ANF. A resist bilayer system can be implemented at the cost of lower reso- lution in the final gold pattern. The principle of a bilayer lift-off is to use two resists that have different clearing doses. If using positive resists, the top one should have a higher clearing dose, but also high contrast in order to sharply define the desired structure. The bottom resist layer should have a lower clearing dose and form an undercut after resist development. This results in a system where the top resist acts as a mask for gold deposition while the bottom resist ensures that the bilayer does not come into contact with the deposited film, like in Figs. 5.3 (c) and 5.5 (a).

Figure 5.5: Thin gold layers on various levels of remaining resist in the exposed ar- eas. (a) no remaining resist. Well chosen electron dose, and no resist present in the trenches. The lift-off process will successfully remove resist along with the gold on the top. (b) some remaining resist. The resist is slightly underexposed. The lift-off process might (partially) succeed. The stripped pieces of gold might tear some of the gold from the substrate. (c) large amount of remaining resist. The resist is moderately underex- posed. The lift-off process will fail. The gold film will either be entirely removed or stay attached to the substrate.

A process was developed using ZEP7000 as the top layer and PMMA 950K as the bottom layer. This bilayer system reliably produces dense patterns with 100 nm linewidth in the gold pattern. A zone plate SILICON DEVICE NANOFABRICATION | 31

produced with such a pattern is pictured in Figure 5.6. Linewidth of 45 nm was the best result for a completed device, like the one in Figure 5.2.

Figure 5.6: A 60 μm diameter silicon zone plate prototype. Outermost zone width is 100 nm, zone height 2.2 μm. Aspect ratio 22:1.

Gold is deposited in a custom Eurovac/Thermionics in-house electron beam evaporation tool with a base pressure in the range of 10−8 mTorr. Since gold does not adhere to silicon dioxide that is present on the silicon wafers that were used, a thin 1.5 nm titanium film was deposited at 1 Å/s right before the gold. Ultimately, the titanium layer thickness should not matter much since it quickly dissolves in hydrofluoric acid during MACE. The choice of the gold film thickness, however, does have some conse- quences. On the one hand, a thicker film would provide more mechanical stability, resulting in a more uniform etch rate across the structure (further explored in Section 5.3.3 and Fig. 5.11). On the other hand, a thinner film enhances the etch rate [60]. In the end, a 25 nm gold film evaporated at 2 Å/s produced reliable performance and was the choice for most experi- ments described in this thesis. The lift-off step was performed in 50∘C acetone and 50∘C resist remover mr-Rem 400 under gentle sonication, afterwards rinsing in deionised wa- ter, isopropanol, and pentane. The lift-off process comes with standard considerations. The metal film will only adhere to the substrate if the resist is completely absent. To ensure that, a short, 13 s oxygen plasma ashing step was used to descum, or remove the residual resist from the exposed areas. If the deposited gold is too thick, it will connect to either the top resist layer or the gold film on top of that, and the lift-off will fail. Remaining gold will result in etching those areas away, which is only desirable in the 32 | SILICON DEVICE NANOFABRICATION

Figure 5.7: Smallest structure width potential is shown. The gold lift-off process failed by leaving only very thin openings for silicon, but some of the resulting thin structures are still standing even without support. The thinnest observed structures are sub-10 nm. Figure from [12] exposed pattern (see Figure 5.7). In some occasions these types of connec- tions are weak, and the gold pieces might break off and attach on another area of the substrate, producing unexpected etching. A brief overview of gold films on various levels of remaining resist is presented in Figure 5.5. In addition, the lift-off step should be performed gently in order to pre- serve the line-edge roughness of the deposited pattern. Otherwise some weakly-connected gold film that would be removed could produce a signif- icant tear in the remaining gold structures.

5.3.3 Metal-Assisted Chemical Etching The main parameters considered for the MACE step were gold pattern ge- ometry, etching time, etching solution composition, temperature, and sub- strate doping. In order to manufacture the desired structures, the etching path should be highly directional. Therefore, a reaction-balanced ratio between oxidant and etchant in the solution was chosen to maintain a stable, vertical etching path [67]. In addition, this ratio also ensures optimal etching rate without introducing a high degree of roughness. Preventing surface roughness is essential if silicon-based zone plates are fabricated because it is important to maintain high material density. In the case of zone-doubling, smooth surfaces are also advantageous since the morphology of subsequent metalization will depend on the substrate sur- face roughness. SILICON DEVICE NANOFABRICATION | 33

Figure 5.8: MACE dependence on etching time. Zone plate structures etched in a ∘ 4.7 M HF, 0.68 M H2O2 solution at 25 C. The error bars denote observed variances within one sample. The top-left inset depicts zone plate structures after 4 minutes of MACE. The cross section was made by focused ion beam milling. The bottom-right inset depicts zone plate structures after 16 minutes of MACE. The cross section was made by cleaving the substrate. In this sample, the high aspect ratio silicon structures have partially collapsed.

An etching time to produce mechanically stable structures was empir- ically investigated since etching time only determines the resulting struc- ture height, as evidenced in Figure 5.8. The lower-right inset in the figure, as well as the image in Figure 5.9 show tall structure collapse. One culprit is capillary forces during drying of the structures. Nonetheless, mechanical failure has been observed even during SEM imaging due to charging effects, hinting at other possible reasons of failure [12]. Figure 5.6 already showed a silicon zone plate prototype with no observable defects. The outermost zone width of the device is 100 nm and the etch depth is 2.2 μm. Structural integrity is also dependent on the cross-section of the de- vice. Interlinked structures were more stable than individual structures with rectangular cross-sections. On the other hand, connected structures require disconnected elements in the gold pattern. Unfortunately, a con- nected pattern is the best choice in order to maintain a uniform etching reaction. For the purposes of the work carried out in this thesis, most of the investigations were performed with connected gold patterns. In addition to these fundamental choices, another very important aspect of the MACE reaction is the cleanliness of the sample. Any contamination of the surface severely inhibited the etching rate, forcing the introduction of an additional plasma cleaning step right before MACE. Interestingly, the potential of MACE to fabricate structures of arbitrarily 34 | SILICON DEVICE NANOFABRICATION

Figure 5.9: Structure collapse due to capillary forces while drying the sample after MACE. The structures are approx. 7 μm tall, and their cross-section is 100 nm × 1 μm. Aspect ratio 70:1. Figure from [12] high aspect ratio does not seem to have a limit as long as the etch verticality is maintained, like the thin silicon sheets in Figure 5.7 [12].

Gold Pattern Geometry As briefly mentioned before, the MACE process depends on the initial gold pattern geometry. The etching rate and path highly depend on its symmetry and connectivity [67]. In this work, the most beneficial constraint was that all deposited gold structures should be interconnected, ensuring that the etch rate under the entire gold pattern remains uniform, like in Figure 5.10 (a).

Figure 5.10: MACE uniformity dependence on gold pattern geometry. Initial gold pattern with (a) only positive supports, (b) both positive and negative supports, (c) only negative supports. All reactions performed in a 4.7 M HF, 0.34 M H2O2 solution for 2 minutes. The insets represent images of the outermost zones of the zone plate structure. The scale bars for all main images and for all insets are the same. SILICON DEVICE NANOFABRICATION | 35

The downside of an interconnected pattern is that the remaining silicon structures are unsupported. Thus, they are more prone to capillary effects while drying and other possible structural failures depicted in Figure 5.9. It can be beneficial to introduce breaks in the initial zone plate design that leaves some silicon that connects the major silicon structures. This approach has been shown to enable MACE fabrication of ultra-high aspect ratios of 100:1 and more [10, 11]. On the other hand, it breaks the gold film continuity and can introduce undesired etching effects, like in Figure 5.10 (b). For the sake of complete- ness, an entirely disconnected pattern was also investigated with small gold areas of similar size. Unfortunately, the gold areas tended to delaminate, as depicted in the inset in Figure 5.10 (c).

Gold Film Thickness The thickness of the gold determines rate and directionality of the reaction. The thinner the film, the faster the hole injection and, therefore, the etching rate. However, a thicker film is less prone to deformation and keeps the etching direction better due to its larger mechanical stiffness, as can be seen in Figure 5.11.

Figure 5.11: MACE dependence on gold film thickness. Zone plate structures etched with gold layers of different thickness: (a) 10 nm (b) 25 nm. Both reactions performed in a 4.7 M HF, 0.34 M H2O2 solution for 2 minutes. The insets represent images of semi-sparse test structures with 400 nm period. The scale bars for both main images and for both insets are the same.

Etching Solution Composition Naturally, for a balanced reaction to occur, the reactant quantities also need to be balanced. The most directional etching path was observed in a solu- tion where the ratio between the oxidant and the etchant was closest to the one needed for the reaction. That is, when the molar concentration of hy- drofluoric acid was six times that of hydrogen peroxide. 36 | SILICON DEVICE NANOFABRICATION

Figure 5.12: MACE dependence on etching solution composition. Zone plate struc- tures etched with different H2O2 concentrations: (a) 0.17 M (b) 0.34 M (c) 0.68 M (d) 1.4 M. All reactions performed in a 4.7 M HF solution at 25 ∘C for 4 minutes. The insets represent images of the outermost zones of the zone plate structure. The scale bars for all main images and for all insets are the same.

Reducing the proportion of oxidant in the solution from this optimal ratio reduces the etching rate. This happens because there are not enough holes produced for the dissolution reaction to occur. However, increasing the proportion of oxidant does not significantly in- crease the etching rate. This can be explained by the etchant not being able to consume all injected holes at the catalyst metal sites. Therefore, the holes can diffuse to other sites on the wafer, and subsequently be consumed there. This introduces a degree of surface roughness in the silicon structures and also the surface of the silicon wafer.

SEM images of four different HF:H2O2 ratios are shown in Figure 5.12. Parts (a-c) show the etching rate increasing with the fraction of the oxidant, where (c) is the closest to the optimal composition, and part (d) shows etch- ing with high surface roughness due to excess oxidant.

Temperature Temperature plays a similar role to the oxidant ratio, where decreasing the temperature of the solution decreases etching rate, but increasing it intro- duces surface roughness instead of enhancing the etching reaction. MACE at three different temperatures is shown in Figure 5.13.

Substrate Doping The doping level in the silicon substrate affects the carrier concentration. Therefore, the hole injection process is altered. When using the optimal ox- idant and etchant concentration, using a silicon wafer with lower resistivity resulted in a decrease of the etching rate compared to the usual wafers. To be more precise, silicon wafers with 0.001-0.005 Ω⋅cm resistivity etched SILICON DEVICE NANOFABRICATION | 37

Figure 5.13: MACE dependence on etching solution temperature. Zone plate struc- tures etched at different temperatures: (a) 10 ∘C (b) 25 ∘C (c) 40 ∘C. All reactions per- formed in a 4.7 M HF, 0.34 M H2O2 solution for 4 minutes. The insets represent images of the outermost zones of the zone plate structure. The scale bars for all main images and for all insets are the same.

Figure 5.14: MACE dependence on silicon substrate doping level. Zone plate struc- tures etched into p-doped substrates with two different resistivities: (a) 1-5 Ω⋅cm (less doped) (b) 0.001-0.005 Ω⋅cm (more doped). Both reactions performed in a 4.7 M HF, 0.34 M H2O2 solution for 4 minutes. The insets represent images of the outermost zones of the zone plate structure. The scale bars for both main images and for both insets are the same. roughly a factor of 6 slower than wafers with 1-5 Ω⋅cm resistivity values (see Fig. 5.14).

5.3.4 Substrate Thinning The substrate of the optic has to be mostly transparent to the used. In the case of 8 keV x-rays, a silicon substrate should be only 8 μm thick to transmit 90% of incoming light. Furthermore, the substrate has to be of reasonably uniform thickness in order to maintain similar phase shift throughout the entire zone plate. Keeping in mind that the area that is needed for the optic is at least 200 μm in diameter, this becomes a non- trivial task. Fortunately, methods to achieve this already exist, two of which are described in this section: wet chemical etching and reactive ion etching. 38 | SILICON DEVICE NANOFABRICATION

Wet Chemical Etching It is possible to chemically etch silicon along its crystal planes [68], us- ing such alkaline agents as potassium hydroxide (KOH) or teramethyl am- monium hydroxide (TMAH). Etching along the ⟨100⟩ and ⟨110⟩ planes is around 100 times faster than along the ⟨111⟩ plane if done in a solution satu- rated with isopropanol (IPA) [69]. Thus, in a silicon wafer where the ⟨100⟩ plane is along its surface, a pyramid-like structure can be etched into the bulk, as shown in Figure 5.15. The horizontal etching area is at first only limited by the etch mask, but is quickly limited by the slowly-etched ⟨111⟩ surfaces that form a 54.74∘ angle with the ⟨100⟩ plane. So, in principle, a thin silicon window of an appropriate size can be made as long as the aper- ture in the initial etch mask is large enough to accommodate this etch front shrinkage.

Figure 5.15: ⟨100⟩ silicon wafer etching along the crystal planes. Since etching along the ⟨100⟩ plane is faster than the ⟨111⟩ plane, the etching is self-limiting (right-hand feature in the diagram).

In Figure 5.16 we demonstrate such thinning of a silicon wafer from 250 μm down to sub-20 μm. A robust method to reliably and accurately reproduce 1.0 μm/min etch rate with an IPA-saturated 30% KOH solution at 80∘C has been developed. The etching front RMS roughness is in the order of 100 nm (see Figure 5.17), sufficient for zone plate applications.

Figure 5.16: A 250 μm ⟨100⟩ silicon substrate was thinned to sub-20 μm. Figure from [12] SILICON DEVICE NANOFABRICATION | 39

Figure 5.17: Height profile of a window etched in a ⟨100⟩ silicon substrate. The RMS roughness is 113 nm.

A 25 nm gold film was used as an etch mask for this process. The aper- tures in the gold film were made using a standard lift-off process with man- ually deposited PMMA. A profiler tool was used to map the aperture co- ordinates on the wafer. These coordinates helped to place the zone plate structure patterns on the front side of the silicon chips without the need of direct backside alignment.

Reactive Ion Etching Another existing method is deep reactive ion etching (DRIE). Sulfur hex- afluoride (SF6) plasma is known to isotropically etch silicon with a high se- lectivity against silicon dioxide, a common etch mask [70]. An extension of this etch is the Bosch process that cycles between such an isotropic etch and a deposition of an inhibitor layer, like octafluorocyclobutane (C4F8) [71]. The inhibiting material deposits on the surface of silicon and protects it from being etched. However, directional ions in the plasma remove the in- hibition layer on the bottom of the etched feature, re-enabling etching of silicon. Repeating this cycle many times results in a vertical anisotropic etch of silicon. The typical rate of DRIE is around 3 μm/min, depending on the exact process parameters [45]. This substrate thinning method has been demonstrated to be suitable for a zone plate fabrication process [11]. Some tests using only the isotropic etching step were done for this thesis. Unfortunately, the etching front was not flat enough for zone plate applications.

RONCHI TEST | 41

Chapter 6

Ronchi Test

The Ronchi test is a method to identify aberrations that may be present in an optical system. The idea is to image a grating onto itself and observe the moiré fringes that are formed in the image, commonly called a ronchigram, revealing perturbations in the wavefront. Each different type of aberration yields a distinct deviation from the undisturbed fringe pattern that can be quickly visually identified in a qualitative fashion [72]. A general Ronchi test setup is depicted in Figure 6.1, and some simulated examples are pre- sented in Figure 6.2.

Figure 6.1: A general setup for the Ronchi test. A diffraction grating is placed in the optical path so that the 0th order overlaps with both 1st orders. An example ronchigram on the right has interference fringes formed by the overlapping orders.

The Ronchi test has seen numerous applications in the visible light spec- trum [13], but only recently has been applied to x-ray imaging [14, 31]. In this case, two diffraction orders of a coherent x-ray beam are made to inter- fere with the help of a grating. This is similar to a shearing interferometer, where two overlapping instances of the same wavefront form an interfer- ence pattern [72]. In order to produce an overlap between the first and the 42 | RONCHI TEST

Figure 6.2: Some simulated examples of ronchigrams of optical systems with aber- rations. zeroth order, but not both first orders, they have to be diffracted at a spe- cific angle. This can be ensured by choosing a grating with a period that is at most d = λ/NA, the condition where the two first orders touch in the center of the pattern. Larger periods will not produce enough separation, whereas a grating with a pitch that is much too small might not produce any overlap at all. In the case of an aberration-free optic, parallel fringes will appear in the overlap regions if the grating is placed just outside the focal plane. If aberrations are present in the optic, the fringes will appear distorted. Perhaps the most practical application of the Ronchi test is detecting the defocus aberration. The further the grating is from the focal plane of the optic, the more fringes appear. This is simply due to more grating periods being illuminated and producing interference fringes. So the position of the focal plane can be quickly determined by moving the grating along the optical axis and monitoring the image. The grating is in focus when the RONCHI TEST | 43

fringes disappear.

6.1 Optics Diagnostics

The Ronchi test had been previously employed to investigate zone plate aberrations at the Linac Coherent Light Source (LCLS) free-electron laser facility [14], as well as at the coherence branch I13-1 at the Diamond Light Source synchrotron facility [31]. The method was therefore suitable to verify the wavefront correction of an imperfect optic after introducing a corrective phase plate, as was done in Paper 4. A beryllium compound refractive lens stack had been character- ized at a previous experiment, and a corresponding fused silica phase plate had been manufactured to reduce the aberrations found in the lenses.

Figure 6.3: (a) Logarithmic intensity distribution in the focal plane. Scale bar rep- resents 2 mm. (b) Ronchigram recorded without the phase plate. (c) Logarithmic in- tensity distribution in the focal plane. Scale bar represents 2 mm. (d) Ronchigram recorded with the phase plate installed. (a) and (c) share the same color bar, so do (b) and (d). Figure adapted from [73]

Ronchigrams were recorded before and after inserting the phase plate into the optical path. The correcting effect of the new element is evident in Fig. 6.3. Without the phase plate, the fringes in the ronchigram in (b) 44 | RONCHI TEST

exhibit a significant amount of bulging, indicating a spherical aberration. With the phase plate present, the fringes in (d) are mostly straight, quickly confirming that the phase plate correction worked and the spherical aber- ration is now absent [73]. Ptychographic measurements were performed as well, with the recon- structed intensity in the focal plane of the optic depicted in Fig. 6.3 (a) and (c) before and after inserting the phase plate, respectively. Even though the full-width half maximum (FWHM) of the central spot remained almost the same (151 nm before and 156 nm after correction), the intensity from the sidelobes is now mostly contained within the central spot. This confirms the observations made from the ronchigrams that the spherical aberration is reduced in the optical system after inserting the phase plate. The main practical improvement of removing the spherical aberration is that the optic now collects most of the light into the focal spot. This is indicated by the Strehl ratio, i.e. peak observed intensity over maximum attainable intensity with a perfect optic, increased from 0.29 to 0.87. [74] Consequently, the optic now passes the threshold of 0.80 to be considered a diffraction-limited optic.

6.2 Beamline Diagnostics

NanoMAX is a hard x-ray nanoimaging beamline at the MAX IV light source facility in Lund. It was designed with stability and coherence in mind to provide conditions for robust imaging at the 10 nm scale [16]. After becom- ing operational in 2016, it was necessary to make sure that the beamline is ready for future users. In December 2016, a commissioning experiment was carried out with preliminary tests of coherence and imaging quality. The results of this experiment have been published in Paper 5. The imag- ing quality was investigated using tungsten zone plate optics, and was out- lined in Section 4.4. Here, the coherence test using the Ronchi test will be described. The Ronchi test arrangement described in this chapter requires spatial coherence across the optic in order to produce interference. Conversely, the test can be used to measure the degree of coherence in the system by ob- serving the amount of contrast between light and dark fringes in the image. This had been demonstrated previously at Diamond where vibrations in the cooling system of the monochromator caused instability in the beam, and the contrast in the ronchigrams was decreased significantly [31]. Seeing it as a useful tool, the Ronchi test was used in the commissioning experi- ment of the NanoMAX imaging beamline at MAX IV to judge the degree of coherence across the optic [54]. RONCHI TEST | 45

Fresnel zone plate Order-sorting aperture

Central stop

Flight tube to pixel detectors

Piezo scanner Fluorescence with sample detector

Figure 6.4: Photograph of the experimental arrangement that was used at NanoMAX. Major components are indicated. Figure from [54]

A photograph of the experimental setup at the zone plate station of NanoMAX can be seen in Fig. 6.4. The principal components in a zone plate imaging configuration are indicated: a zone plate optic, a central stop, an order sorting aperture (OSA), and the sample stage. All of these components are on nanopositioning stages so that they can be aligned with the optical axis of the incoming photon beam with high precision. On the right side of the photo the entrance of the flight tube is visible. It is an evacuated volume placed in front of x-ray imaging pixel detectors in order to reduce further photon scattering and attenuation, preserving the signal intensity as much as possible. A fluorescence detector is also present for the possibility of performing x-ray fluorescence imaging experiments. For the commissioning experiment, a tungsten zone plate optic was used. It was one of the devices manufactured using the process described in Chapter 4, and thus shares the characteristics mentioned there. Namely, 200 μm diameter, 30 nm outermost zone width, 660 nm tungsten thickness, and approximately 2% diffraction efficiency in the first order. At the 8.17 keV photon energy that was used, the focal distance was 39.5 mm. A 25 μm-thick laser-cut tungsten central stop was placed just upstream of the zone plate to block the zeroth order illumination. An OSA was posi- 46 | RONCHI TEST

tioned near the focus in order to filter out other diffraction orders than the first. The test sample had structures fabricated in 1 μm-thick tungsten. It in- cluded gratings in both horizontal and vertical directions with pitches down to 200 nm, which were used for the Ronchi test. It was placed slightly down- stream from the focal plane in order to coherently illuminate one grating structure completely and produce identifiable interference fringes. Even though the grating period of 200 nm was larger than the optimal 60 nm, the fringes were still visible in the overlap between the zeroth and first diffrac- tion orders. An X-Spectrum Lambda detector with 55 μm pixel size was used to im- age the ronchigrams 4 m downstream from the sample directly behind a helium-filled flight tube. A series of measurements were performed, first with the horizontal secondary source aperture (SSA) size constant at 12 μm and varying the vertical SSA size, and then with the vertical SSA size fixed at 12 μm, and varying the horizontal SSA size. A grating with horizontal lines was used in the first case, and a vertical grating was employed in the second case.

Figure 6.5: Ronchigrams in the vertical (top row) and the horizontal (bottom row) directions at different secondary source aperture openings. The intensity color scaling in all images is identical. Figure from [54]

A summary of the Ronchi test coherence results is depicted in Fig. 6.5. The expected x-ray beam FWHM at the SSA was 13 μm in the vertical direc- tion and 115 μm in the horizontal direction. The zone plate was 35 m down- stream from the SSA. Opening the aperture more than the initial 12 μm in the vertical direction should not significantly influence the degree of spa- tial coherence across the vertical beam profile. Indeed, increasing the ver- tical slit opening did not increase the contrast between the bright and dark fringes very substantially. This observation is in line with the expectation of a diffraction-limited beam in the vertical direction. The FWHM of spatial RONCHI TEST | 47

coherence length can be calculated by 2 ln 2 λz d FWHM = π d where z is the distance from the source and d is the source size. Such a calculation was done for the same set of SSA opening sizes and is depicted in Figure 6.6. It can be seen that the entire zone plate should be coherently illuminated using a 12 μm source.

Figure 6.6: Spatial coherence length at source sizes 12–100 μm, set by the secondary source aperture. The dashed line represents 60 μm coherence length, the diameter of the zone plate area that is probed in the experiment. The distance between the sec- ondary source aperture and the zone plate at NanoMAX was 35 m.

Observing the contrast change in the horizontal opening width series it is evident that the larger the opening, the larger the intensity in the image. This is expected since a larger fraction of the beam passes through the aper- ture. However, it is important to note that the some of the contrast in the fringes is preserved, meaning that there is a sufficient degree of spatial co- herence present over a large portion of the beam in the horizontal direction. Taking the results for both directions into consideration, the x-ray beam at NanoMAX had sufficient spatial coherence and beam stability to perform experiments that require these beam characteristics, such as ptychography. To illustrate the point, a simple ptychography experiment was carried out during the commissioning. The principle of the ptychographic iterative engine (PIE) [75] is briefly described in Figure 6.7. The setup was simi- lar to the Ronchi test, with the test sample slightly out of focus in order to have a larger illumination of the object. To help enlarge the probe further, a 100 nm-resolution zone plate was used instead of the 30 nm one. The test structure was an array of tungsten Siemens stars. The sample was scanned 48 | RONCHI TEST

Figure 6.7: The principle of the ptychographic iterative engine (PIE). The complex exit wave Ψ(r) is the convolution of the incoming wavefront, or probe P(r) and the ob- ject transmission O(r). The Fourier transform of Ψ(r) can be measured as a diffraction pattern. Assuming the probe is known, at each step of the iteration the guess for the exit wave is updated with intensities from real measurement data, while phase data is kept. The guess for object transmission is then updated according to the new exit wave. In practice, since the probe is unknown, the process is started with a rough guess of the probe which is also updated in each iteration step. A difference map approach is used to determine convergence. In the diagram, green arrows indicate iteration steps and red arrows indicate Fourier transformations. in 100 nm steps in both horizontal and vertical directions. A diffraction image was recorded for 1 second for each position by a Dectris Pilatus 100k detector with 172 μm pixel size. Given sufficient overlap of illumination be- tween two scanned positions, the data can be used to reconstruct the wave- front with both amplitude and phase information, as can be observed in the results presented in Figure 6.8. The final data was obtained after 500 iterations in PtyPy software [76] with default settings of the extended ptychographic iterative engine (ePIE). Expected reconstructed images of the sample were obtained in both phase and amplitude. The probe shape is not an ideal Airy pattern, suggesting that the focal spot was not diffraction-limited. This possibly occurred be- cause of misalignments in the beamline at the time. Nevertheless, it cannot be understated that ultimately resolution of less than 50 nm was achieved using a coherent imaging technique with very limited beam time in the early RONCHI TEST | 49

Figure 6.8: Ptychography results. a) Reconstructed wavefront amplitude of the exit wave. b) Reconstructed wavefront phase of the exit wave. c) Reconstructed probe at the sample position. d) Reconstructed probe in the focal plane. Figure from [54] stages of beamline development. This inspires confidence that at a mature stage NanoMAX will deliver cutting edge performance in nanoimaging.

CONCLUSION | 51

Chapter 7

Conclusion

Zone plates continue to be one of the most challenging structures to fab- ricate due to high-aspect ratio requirements. In this thesis, two suitable nanofabrication methods were investigated in detail. First, an existing tungsten process was improved and extended from 20:1 aspect ratios at 50 nm linewidth to 22:1 at 30 nm linewidth. A 200 μm diameter zone plate with 2.2% efficiency was made and used for commis- sioning NanoMAX, the nanoimaging beamline at MAX IV. This optic was suitable to perform transmission and fluorescence imaging as well as pty- chography, three common modalities in x-ray microscopy. Second, metal-assisted chemical etching was investigated because it is considered to be a very promising new method which does not show an ob- vious aspect ratio limit. In this thesis, the parameter space of the etching reaction was explored, and means of controlling the etching rate, etching path, and porosity are outlined. The results, in conjunction with the reso- lution capabilities of MACE fabrication, enable several strategies for man- ufacturing zone plates such as pure silicon devices, zone-doubling using atomic layer deposition, or electroplating a heavy metal. Apart from inevitable improvements in lateral resolution, MACE could benefit from innovations. For example, electrochemical plating of a sili- con mold prepared with MACE could be an all-wet process that overcomes the mechanical stability issues when the structures are drying. Another ex- citing development would be robust control of non-linear etching paths, enabling fabrication of optimal zone plate profiles as it was recently made possible in multilayer Laue lenses. Besides nanofabrication process development, the work in this thesis used the versatility of the Ronchi test to quickly install the fabricated optical devices, assess their performance and detect the presence of aberrations. It was also used to ensure that the proper coherence conditions are met at 52 | CONCLUSION

the nanoimaging beamline NanoMAX at the fourth generation synchrotron facility MAX IV. Hard x-ray nanoprobes benefit not only from improved optics, but also from other advances. One important aspect of carrying out precise experi- ments is the ability to maintain stability of the setup. In parallel to the work presented in this thesis, a moiré-based nanometer-precision measurement scheme was developed to aid NanoMAX in this regard [77]. All of the presented scientific work was focused on improving one tool, the x-ray microscope. The dream of any imaging modality is to be able to see and identify single atoms. This in principle can already be done with trans- mission electron microscopy in ultrathin samples or on substrate surfaces with scanning probe microscopy. However, x-rays could enable atomic res- olution within objects of interest. It is a worthwhile idea to consider since x-rays already meet the wavelength requirement for atomic resolution, but x-ray optics have not yet evolved that far to perform direct imaging at this small scale. On the other hand, fabricating optics like that would mean hav- ing an atomically precise method. Currently, using such fabrication tools for full devices is not feasible, therefore, other avenues need to be explored in parallel. For example, ptychography is a recently-rediscovered idea that uses diffraction images instead of transmission data. This enables to go be- yond the resolution limit imposed by the optics [78]. In the end, the com- bination of high resolution and high efficiency optics together with novel methods will certainly fulfill this nanoscale dream. SUMMARY OF PAPERS | 53

Summary of Papers

This thesis is based on the papers presented below. The author is the main author of papers 1 and 3, where he was involved in conceiving and plan- ning the experiments, fabricated zone plate optics, contributed to the syn- chrotron experiments, and wrote the manuscripts. He fabricated gold pat- terns for MACE in paper 2, was involved in conceiving and planning the experiments, and contributed to the SEM images in the figures. The author contributed to a synchrotron experiment in paper 4. The author fabricated one of the zone plates used in paper 5, where he also took part in the syn- chrotron experiment, and contributed to the figures to the manuscript.

Paper 1 improves on an existing tungsten nanofabrication method and showcases ZPs manufactured in this way. The main improvement was the introduction of a resist curing step, enabling extension of the process to 22:1 aspect ratio at 30 nm linewidth. Paper 2 explores the parameter space of metal-assisted chemical etching of silicon. 100 nm resolution ZP patterns were etched varying the so- lution composition, temperature, and etching time. Parameter influ- ence on etching rate, etching directionality, and remaining structure porosity is analyzed. Paper 3 provides a short overview of tungsten ZPs, some modern approaches to ZP manufacturing, and an in-depth analysis on why MACE is the prime candidate for ultimate ZP efficiency. Critical points of the MACE fabrication are identified. Paper 4 presents a way to detect and correct for aberrations of any x-ray optic. The aberrations of a compound refractive lens stack were iden- tified and corrected with a custom silicon dioxide phase plate. Its per- formance was analyzed in several synchrotron and free electron laser experiments. Paper 5 describes the commissioning experiment of the NanoMAX beam- line at MAX IV. The Ronchi test was performed at the beamline, con- 54 | SUMMARY OF PAPERS

firming the presence of spatial coherence. Sample STXM experiments were executed, including transmission imaging down to 40 nm reso- lution, fluorescence imaging of a thin film of chromium, and ptycho- graphy of test structures. ACKNOWLEDGEMENTS | 55

Acknowledgements

With the utmost pride and sincerity I would like to extend my gratitude to a number of people who were important during the work on this thesis. Uli, who’s been the best supervisor anybody could ask for. My work was as good as it was only because of his gentle guidance. Hans, who has brought together quite a few sharp minds. The environ- ment he’s made for everyone to thrive in exists seemingly in perpetuity. Fredrik, Jussi, Stelios, and Rabia, who worked on x-ray optics together with me. Our collaborations have made each one of us better. Abinaya, Simon, Dmitry, Petros, and Bejan, my office mates throughout the years. We always found rays of sunshine even in the Swedish winters. Ulf, Daniel, Ida, Matthias, Athanasia, Tunhe, Mårten, Emelie, Jakob, William, Mikael, Ilian, Jenny, Kalle, Kian, Yuyang, and everybody else at BioX. You were the reason I always wanted to show up at work. A special thanks to Jenny and Erik who kindly agreed to proofread this thesis. Thomas F., Anders H., Anders L., Matthew, Taras, Adrian, and count- less others who I’ve had the chance to work with and learn from in the Nanolab. Karin and Madeleine, who often went above and beyond their duties to make daily office life easier. Frank, Felix, and the rest of the team at my first synchrotron experiment at Diamond. I will always remember our shared discoveries. Ulf, Dina, Tomaš, Sebastian, Marianne, Alexander, Zdenek, Christoph and everyone else at NanoMAX and MAX IV. I was incredibly inspired by the commissioning experiment that we worked on together. I feel honored and privileged to have met Prof. David Attwood. Thank you for your encouraging words. Gabrielė, Daniel, Amanda, and Sam, whom I befriended in Stockholm. Vytautas, Saulius, Gedas, , and Dovilė, my perennial welcoming com- mittee back home. Tomas, Jotautas, Laura, Aistė, Ximena, Cheve, JP, Lind- sey, and Viktorija, who live around the world. Thank you for always believ- ing in me. 56 | ACKNOWLEDGEMENTS

Ačiū Mamai ir Tėčiui. Liksiu visada jiems dėkingas. BIBLIOGRAPHY | 57

Bibliography

[1] B. Pullman, The atom in the history of human thought. New York: Oxford University Press, 1998.

[2] R. Hooke, Micrographia: or Some physiological descriptions of minute bodies made by magnifying glasses with observations and inquiries thereupon. London: The Royal Society, 1665.

[3] S. W. Hell and J. Wichmann, “Breaking the diffraction resolution limit by stimulated emission: stimulated-emission-depletion fluorescence microscopy,” Optics Letters, vol. 19, no. 11, pp. 780–782, 1994.

[4] W. K. Röntgen, “Ueber eine neue art von strahlen: Vorläu- fige mittheilung,” Sitzungsberichte der Würzburger Physikalisch- medicinischen Gesellschaft, 1895.

[5] W. K. Röntgen, “Ueber eine neue art von strahlen: II. mittheilung,” Sitzungsberichte der Würzburger Physikalisch-medicinischen Gesellschaft, 1896.

[6] A. Sakdinawat and D. Attwood, “Nanoscale X-ray imaging,” Nature Photonics, vol. 4, no. 12, pp. 840–848, 2010.

[7] A. V. Baez, “Fresnel zone plate for optical image formation using ex- treme ultraviolet and soft X radiation,” Journal of the Optical Society of America, vol. 51, no. 4, pp. 405–412, 1961.

[8] I. Mohacsi, I. Vartiainen, B. Rösner, M. Guizar-Sicairos, V. A. Guzenko, I. McNulty, R. Winarski, M. V. Holt, and C. David, “Inter- laced zone plate optics for hard x-ray imaging in the 10 nm range,” Scientific Reports, vol. 170, p. 969, 2017.

[9] D. Attwood and A. Sakdinawat, X-Rays and Extreme Ultraviolet Ra- diation: Principles and Applications. Cambridge University Press, 2016. 58 | BIBLIOGRAPHY

[10] C. Chang and A. Sakdinawat, “Ultra-high aspect ratio high-resolution nanofabrication for hard x-ray diffractive optics,” Nature Communi- cations, vol. 5, 2014. [11] K. Li, M. J. Wojcik, R. Divan, L. E. Ocola, B. Shi, D. Rosenmann, and C. Jacobsen, “Fabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching,” Journal of Vacuum Sci- ence & Technology B: Nanotechnology and Microelectronics, vol. 35, no. 6, p. 06G901, 2017. [12] K. Parfeniukas, S. Giakoumidis, R. Akan, and U. Vogt, “High-aspect ratio zone plate fabrication for hard x-ray nanoimaging,” vol. 10386 of Proc. SPIE, p. 8, 2017. [13] A. Cornejo-Rodriguez, Optical Shop Testing, ch. Ronchi test. Wiley, 3 ed., 2007. [14] D. Nilsson, F. Uhlén, A. Holmberg, H. M. Hertz, A. Schropp, J. Patom- mel, R. Hoppe, F. Seiboth, V. Meier, C. G. Schroer, E. C. Galtier, B. Nagler, H. J. Lee, and U. Vogt, “Ronchi test for characterization of nanofocusing optics at a hard x-ray free-electron laser,” Optics Let- ters, vol. 37, no. 24, pp. 5046–8, 2012. [15] H. N. Chapman, A. Barty, M. J. Bogan, S. Boutet, M. Frank, S. P. Hau-Riege, S. Marchesini, B. W. Woods, S. Bajt, W. H. Benner, R. A. London, E. Plönjes, M. Kuhlmann, R. Treusch, S. Düsterer, T. Tschentscher, J. R. Schneider, E. Spiller, T. Möller, C. Bost- edt, M. Hoener, D. A. Shapiro, K. O. Hodgson, D. Van Der Spoel, F. Burmeister, M. Bergh, C. Caleman, G. Huldt, M. M. Seibert, F. R. Maia, R. W. Lee, A. Szöke, N. Timneanu, and J. Hajdu, “Femtosec- ond diffractive imaging with a soft-x-ray free-electron laser,” Nature Physics, vol. 2, no. 12, pp. 839–843, 2006. [16] U. Johansson, U. Vogt, and A. Mikkelsen, “NanoMAX: a hard x-ray nanoprobe beamline at MAX IV,” vol. 8851, p. 88510L, 2013. [17] P. Kirkpatrick and A. V. Baez, “Formation of optical images by x-rays,” Journal of the Optical Society of America, vol. 38, no. 9, p. 766, 1948. [18] H. Mimura, H. Yumoto, S. Matsuyama, Y. Sano, K. Yamamura, Y. Mori, M. Yabashi, Y. Nishino, K. Tamasaku, T. Ishikawa, and K. Ya- mauchi, “Efficient focusing of hard x-rays to 25 nm by a total reflection mirror,” Applied Physics Letters, vol. 90, no. 5, pp. 25–28, 2007. [19] K. Yamauchi, H. Mimura, T. Kimura, H. Yumoto, S. Handa, S. Mat- suyama, K. Arima, Y. Sano, K. Yamamura, K. Inagaki, H. Nakamori, BIBLIOGRAPHY | 59

J. Kim, K. Tamasaku, Y. Nishino, M. Yabashi, and T. Ishikawa, “Single-nanometer focusing of hard x-rays by Kirkpatrick-Baez mir- rors,” Journal of Physics Condensed Matter, vol. 23, no. 39, 2011.

[20] D. H. Bilderback, S. A. Hoffman, and D. J. Thiel, “Nanometer spatial resolution achieved in hard x-ray imaging and Laue diffraction exper- iments,” Science, vol. 263, no. 5144, pp. 201–203, 1994.

[21] S. Suehiro, H. Miyaji, and H. Hayashi, “Refractive lens for x-ray fo- cus,” Nature, vol. 352, pp. 385–386, August 1991.

[22] A. G. Michette, “No x-ray lens,” Nature, vol. 353, pp. 510–510, Octo- ber 1991.

[23] A. Snigirev, V. Kohn, I. Snigireva, and B. Lengeler, “A compound refractive lens for focusing high-energy x-rays,” Nature, vol. 384, no. 6604, pp. 49–51, 1996.

[24] A. Snigirev, I. Snigireva, M. Grigoriev, V. Yunkin, M. D. Michiel, G. Vaughan, V. Kohn, and S. Kuznetsov, “High energy X-ray nanofo- cusing by silicon planar lenses,” Journal of Physics: Conference Se- ries, vol. 186, p. 012072, 2009.

[25] K. Saitoh, K. Inagawa, K. Kohra, C. Hayashi, A. Iida, and N. Kato, “Fabrication and characterization of multilayer zone plate for hard x- rays,” Japanese Journal of Applied Physics, vol. 27, no. Part 2, No. 11, pp. L2131–L2133, 1988.

[26] S. Bajt, M. Prasciolu, H. Fleckenstein, M. Domaracky, H. N. Chap- man, A. Morgan, O. Yefanov, M. Messerschmidt, Y. Du, K. Murray, M. Kuhn, S. Aplin, K. Pande, P. Villanueva-Perez, J. Chen, A. An- drejczuk, A. Meents, A. Burkhart, D. Pennicard, X. Huang, H. Yan, E. Nazaretski, Y. Chu, and C. Hamm, “X-ray focusing with efficient high-NA multilayer Laue lenses,” Light: Science & Applications, no. 7, 2018.

[27] J. Kirz, “Phase zone plates for x-rays and the extreme UV,” Journal of the Optical Society of America, vol. 64, no. 3, pp. 301–309, 1974.

[28] A. Holmberg, Nanofabrication of zone plate optics for compact soft x-ray microscopy. PhD thesis, KTH, Applied Physics, 2006.

[29] K. C. Johnson, Grating Diffraction Calculator (GD-Calc®)– Coupled-Wave Theory for Biperiodic Diffraction Gratings. KJ In- novations, 2008. 60 | BIBLIOGRAPHY

[30] B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-ray interac- tions: Photoabsorption, scattering, transmission, and reflection at E = 50–30,000 eV, Z = 1–92,” Atomic Data and Nuclear Data Ta- bles, vol. 54, no. 2, pp. 181–342, 1993.

[31] F. Uhlén, J. Rahomäki, D. Nilsson, F. Seiboth, C. Sanz, U. Wagner, C. Rau, C. G. Schroer, and U. Vogt, “Ronchi test for characterization of x-ray nanofocusing optics and beamlines,” Journal of Synchrotron Radiation, vol. 21, no. 5, pp. 1105–1109, 2014.

[32] K. Parfeniukas, J. Rahomäki, S. Giakoumidis, F. Seiboth, F. Wit- twer, C. G. Schroer, and U. Vogt, “Improved tungsten nanofabrication for hard x-ray zone plates,” Microelectronic Engineering, vol. 152, pp. 6–9, 2016.

[33] S. Gorelick, J. Vila-Comamala, V. A. Guzenko, R. Barrett, M. Salomé, and C. David, “High-efficiency Fresnel zone plates for hard x-rays by 100 keV e-beam lithography and electroplating,” Journal of Syn- chrotron Radiation, vol. 18, no. 3, pp. 442–446, 2011.

[34] J. Vila-Comamala, S. Gorelick, E. Färm, C. M. Kewish, A. Diaz, R. Bar- rett, V. Guzenko, M. Ritala, and C. David, “Ultra-high resolution zone- doubled diffractive x-ray optics for the multi-keV regime,” Optics Ex- press, vol. 19, no. 1, pp. 175–84, 2011.

[35] I. Mohacsi, I. Vartiainen, M. Guizar-Sicairos, P. Karvinen, V. A. Guzenko, E. Müller, E. Färm, M. Ritala, C. M. Kewish, A. Somogyi, and C. David, “High resolution double-sided diffractive optics for hard x-ray microscopy,” Optics Express, vol. 23, no. 2, p. 776, 2015.

[36] A. Holmberg, S. Rehbein, and H. M. Hertz, “Nano-fabrication of con- denser and micro-zone plates for compact X-ray microscopy,” Micro- electronic Engineering, vol. 73-74, pp. 639–643, 2004.

[37] J. Reinspach, M. Lindblom, O. von Hofsten, M. Bertilson, H. M. Hertz, and A. Holmberg, “Cold-developed electron-beam-patterned ZEP 7000 for fabrication of 13 nm nickel zone plates,” Journal of Vacuum Science & Technology B: Microelectronics and Nanome- ter Structures: Processing, Measurement, and Phenomena, vol. 27, no. 6, pp. 2593–2596, 2009.

[38] T. A. Green, “Gold etching for microfabrication,” Gold Bulletin, vol. 47, no. 3, pp. 205–216, 2014. BIBLIOGRAPHY | 61

[39] H. Lüthje, A. Bruns, H. Harms, I. Köhler, U. Mescheder, U. Mack- ens, and T. Stuck, “X-ray mask technology: Precise etching of sub- half-micron tungsten absorber features,” Microelectronic Engineer- ing, vol. 11, no. 1-4, pp. 255–258, 1990.

[40] P. Charalambous, “Fabrication and characterization of tungsten zone plates for multi keV X-rays,” AIP Conference Proceedings, vol. 507, no. 2000, pp. 625–630, 2000.

[41] J. Reinspach, F. Uhlén, H. M. Hertz, and A. Holmberg, “Twelve nanometer half-pitch W–Cr–HSQ trilayer process for soft x-ray tung- sten zone plates,” Journal of Vacuum Science & Technology B: Mi- croelectronics and Nanometer Structures, vol. 29, no. 6, p. 06FG02, 2011.

[42] E. Rogstad and K. S. Beckwith, “Cryo etching.” Nordic Nano User Meeting, 2017.

[43] M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Dis- charges and Materials Processing, ch. Etching. Wiley, 2005.

[44] Z. Liu, Y. Wu, B. Harteneck, and D. Olynick, “Super-selective cryo- genic etching for sub-10 nm features,” Nanotechnology, vol. 24, no. 1, p. 015305, 2013.

[45] R. J. Shul and J. G. Fleming, Handbook of Advanced Plasma Process- ing Techniques, ch. Bulk Si Micromachining for Integrated Microsys- tems and MEMS Processing. Springer, 2000.

[46] C. W. Jurgensen, R. R. Kola, A. E. Novembre, W. W. Tai, J. Frack- oviak, L. E. Trimble, and G. K. Celler, “Tungsten patterning for 1:1 x-ray masks,” Journal of Vacuum Science & Technology B: Micro- electronics and Nanometer Structures, vol. 9, no. 6, p. 3280, 1991.

[47] ZEON Corporation, Technical report: ZEP 7000. High Resolution Positive Electron Beam Resist, June 2000. Ver.1.05.

[48] T. H. P. Chang, “Proximity effect in electron-beam lithography,” Jour- nal of Vacuum Science and Technology, vol. 12, no. 6, pp. 1271–1275, 1975.

[49] A. M. Haghiri-Gosnet, “Stress and microstructure in tungsten sput- tered thin films,” Journal of Vacuum Science & Technology A: Vac- uum, Surfaces, and Films, vol. 7, no. 4, p. 2663, 1989. 62 | BIBLIOGRAPHY

[50] T. Lee, S. Lee, and J. Ahn, “Development of x-ray mask fabrication process using W-sputtering,” Metals and Materials, vol. 3, no. 4, pp. 272–276, 1997.

[51] A. C. F. Hoole, M. E. Welland, and A. N. Broers, “Negative PMMA as a high-resolution resist – the limits and possibilities,” Semiconductor Science and Technology, vol. 12, no. 9, pp. 1166–1170, 1999.

[52] D. A. Czaplewski and L. E. Ocola, “Increased pattern transfer fidelity of ZEP 520A during reactive ion etching through chemical modifica- tions by additional dosing of the electron beam resist,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 29, no. 2, p. 021601, 2011.

[53] T. G. Oyama, H. Nakamura, A. Oshima, M. Washio, and S. Tagawa, “Positive–negative dual-tone sensitivities of ZEP resist,” Applied Physics Express, vol. 7, p. 035601, 2014.

[54] U. Vogt, K. Parfeniukas, T. Stankevič, S. Kalbfleisch, Z. Matej, A. Björ- ling, M. Liebi, G. Carbone, A. Mikkelsen, and U. Johansson, “First x- ray nanoimaging experiments at NanoMAX,” vol. 10389 of Proc. SPIE, p. 7, 2017.

[55] I. Mohacsi, P. Karvinen, I. Vartiainen, A. Diaz, A. Somogyi, C. M. Kewish, P. Mercere, and C. David, “High efficiency x-ray nanofo- cusing by the blazed stacking of binary zone plates,” vol. 8851, pp. 88510Z–88510Z–8, 2013.

[56] C. Chartier, S. Bastide, and C. Lévy-Clément, “Metal-assisted chemical etching of silicon in HF-H2O2,” Electrochimica Acta, vol. 53, no. 17, pp. 5509–5516, 2008.

[57] X. Li and P. W. Bohn, “Metal-assisted chemical etching in HF/H2O2 produces porous silicon,” Applied Physics Letters, vol. 77, no. 16, p. 2572, 2000.

[58] Z. Huang, N. Geyer, P. Werner, J. de Boor, and U. Gösele, “Metal- assisted chemical etching of silicon: A review,” Advanced Materials, vol. 23, no. 2, pp. 285–308, 2011.

[59] T. Hadjersi, “Oxidizing agent concentration effect on metal-assisted electroless etching mechanism in HF–oxidizing agent–H2O solu- tions,” Applied Surface Science, vol. 253, no. 9, pp. 4156–4160, 2007. BIBLIOGRAPHY | 63

[60] H.-D. Um, N. Kim, K. Lee, I. Hwang, J. Hoon Seo, Y. J. Yu, P. Du- ane, M. Wober, and K. Seo, “Versatile control of metal-assisted chem- ical etching for vertical silicon microwire arrays and their photovoltaic applications,” Scientific Reports, vol. 5, no. 1, p. 11277, 2015. [61] C.-Y. Chen, C.-S. Wu, C.-J. Chou, and T.-J. Yen, “Morphological con- trol of single-crystalline silicon nanowire arrays near room tempera- ture,” Advanced Materials, vol. 20, no. 20, pp. 3811–3815, 2008. [62] K. Peng, A. Lu, R. Zhang, and S.-T. Lee, “Motility of metal nanopar- ticles in silicon and induced anisotropic silicon etching,” Advanced Functional Materials, vol. 18, no. 19, pp. 3026–3035, 2008. [63] K. Jefimovs, J. Vila-Comamala, T. Pilvi, J. Raabe, M. Ritala, and C. David, “Zone-doubling technique to produce ultrahigh-resolution x-ray optics,” Physical Review Letters, vol. 99, no. 26, p. 264801, 2007. [64] T. Aaltonen, M. Ritala, T. Sajavaara, J. Keinonen, and M. Leskelä, “Atomic layer deposition of platinum thin films,” Chemistry of Ma- terials, vol. 15, no. 9, pp. 1924–1928, 2003. [65] T. Aaltonen, M. Ritala, V. Sammelselg, and M. Leskelä, “Atomic layer deposition of iridium thin films,” Journal of The Electrochemical So- ciety, vol. 151, no. 8, p. G489, 2004. [66] F. Marschall, J. Vila-Comamala, V. Guzenko, and C. David, “System- atic efficiency study of line-doubled zone plates,” Microelectronic En- gineering, vol. 177, pp. 25 – 29, 2017. Advances in Micro- and Nano- Patterning. [67] O. J. Hildreth, W. Lin, and C. P. Wong, “Effect of catalyst shape and etchant composition on etching direction in metal-assisted chemical etching of silicon to fabricate 3D nanostructures,” ACS Nano, vol. 3, no. 12, pp. 4033–4042, 2009. [68] D. L. Kendall, “Vertical etching of silicon at very high aspect ratios,” Annual Review of Materials Science, vol. 9, no. 1, pp. 373–403, 1979. [69] M. Ahn, R. K. Heilmann, and M. L. Schattenburg, “Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafers,” Journal of Vacuum Science & Technology B: Microelectron- ics and Nanometer Structures, vol. 25, no. 6, p. 2593, 2007.

[70] K. M. Eisele, “SF6, a preferable etchant for plasma etching silicon,” Journal of the Electrochemical Society, vol. 128, no. 1, pp. 123–126, 1981. 64 | BIBLIOGRAPHY

[71] F. Laermer and A. Schilp, “A method for anisotropic etching of sili- con,” 1992. Patent. [72] M. Strojnik, G. Paez, and M. Mantravadi, Optical Shop Testing, ch. Lateral Shear Interferometers. Wiley, 3 ed., 2007. [73] F. Seiboth, A. Schropp, M. Scholz, F. Wittwer, C. Rödel, M. Wünsche, T. Ullsperger, S. Nolte, J. Rahomäki, K. Parfeniukas, S. Giakoumidis, U. Vogt, U. Wagner, C. Rau, U. Boesenberg, J. Garrevoet, G. Falken- berg, E. C. Galtier, H. Ja Lee, B. Nagler, and C. G. Schroer, “Perfect x-ray focusing via fitting corrective glasses to aberrated optics,” Na- ture Communications, vol. 8, p. 14623, 2017. [74] F. Seiboth, A. Schropp, M. Scholz, F. Wittwer, C. Rödel, M. Wünsche, T. Ullsperger, S. Nolte, J. Rahomäki, K. Parfeniukas, S. Giakoumidis, U. Vogt, U. Wagner, C. Rau, U. Boesenberg, J. Garrevoet, G. Falken- berg, E. C. Galtier, H. J. Lee, B. Nagler, and C. G. Schroer, “Aberra- tion correction for hard x-ray focusing at the nanoscale,” Proc. SPIE, vol. 10386, p. 10, 2017. [75] J. M. Rodenburg, A. C. Hurst, A. G. Cullis, B. R. Dobson, F. Pfeiffer, O. Bunk, C. David, K. Jefimovs, and I. Johnson, “Hard-x-ray lensless imaging of extended objects,” Physical Review Letters, vol. 98, no. 3, pp. 1–4, 2007. [76] B. Enders and P. Thibault, “A computational framework for ptycho- graphic reconstructions,” Proceedings of the Royal Society of Lon- don A: Mathematical, Physical and Engineering Sciences, vol. 472, no. 2196, 2016. [77] U. Vogt, D. Köhler, J. Dickmann, J. Rahomäki, K. Parfeniukas, S. Kub- sky, F. Alves, F. Langlois, C. Engblom, and T. Stankevič, “Moiré method for nanometer instability investigation of scanning hard x-ray microscopes,” Optics Express, vol. 25, no. 11, pp. 12188–12194, 2017. [78] F. Pfeiffer, “X-ray ptychography,” Nature Photonics, vol. 12, no. 1, pp. 9–17, 2018.