<<

The Pennsylvania State University

The Graduate School

College of Engineering

AMORPHOUS FILM MICROSTRUCTURE AND ITS DEVICE

APPLICATIONS: STRAIN SENSOR, MICROBOLOMETER, THIN

FILM TRANSISTOR AND SOLAR CELL

A Dissertation in

Electrical Engineering

by

Hang-Beum Shin

2012 Hang-Beum Shin

Submitted in Partial Fulfillment of the Requirements for the Degree of

Doctor of Philosophy

August 2012

The dissertation of Hang-Beum Shin was reviewed and approved* by the following:

Thomas N. Jackson Robert E. Kirby Chair Professor Dissertation Advisor Chair of Committee

Joan M. Redwing Professor of Materials Science and Engineering and Professor of Electrical Engineering

Srinivas Tadigadapa Professor of Electrical Engineering

Mark W. Horn Professor of Engineering Science and Mechanics

Kultegin Aydin Professor of Electrical Engineering Head of the Department of Electrical Engineering

*Signatures are on file in the Graduate School

ii

ABSTRACT

This thesis reports materials and device results for several types of thin-film devices: (1) n+ µc-Si:H strain sensors and a-Si:H thin film transistor (TFT) arrays on a flexible polyimide substrates, (2) high temperature coefficient of resistance (TCR) a-Si(C):H and sputtered a-Ge films as sensor materials for uncooled microbolometers, and (3) a-Si:H solar cell integration with plasma enhanced atomic layer deposited (PEALD) ZnO TFTs and circuits.

The strain sensor array was fabricated on a flexible polyimide substrate. Six photolithography layers were processed on 4-by-4 inch flexible samples, forming a-Si:H TFTs and n+ µc-Si:H strain bridges. Discrete test structures and arrays with up to 32-by-32 sensors were fabricated. By using Wheatstone bridge sensors with varying orientation for different sensors in an array it was possible to determine both strain magnitude and direction. Strain sensor array operation was demonstrated with a custom interface circuit board and a LabView program from real-time data display.

In resistive microbolometer devices, the temperature coefficient of resistance (TCR) is a key property for high sensitivity. Plasma enhanced chemical vapor deposition (PECVD) silicon and sputtered germanium deposited with various deposition parameters were investigated to achieve high TCR. Real-time spectroscopic ellipsometry (RTSE) was installed onto a load-locked

PECVD system, and the growth evolution of films was monitored successfully. Depending on the deposition conditions, film phases were correlated with variations in film electrical properties.

One major factor that limits microbolometer performance is 1/f , and the normalized Hooge parameters of PECVD silicon and sputtered germanium films were evaluated.

In a more theoretical approach to understanding amorphous silicon, an a-Si:H bandstructure was modeled using a commercial software, Synopsis Sentaurus, and the temperature dependence of resistivity was simulated using a variety of band structure models. An

iii

a-Si:H model was constructed to examine the TCR dependence on several model parameters: band-tail slope / concentration, gap-state slope / concentration, doping concentration, and mobility. Comparison of experimental and modeled conductivity over a wide temperature range can help determine the slope of the band-tail traps.

Autonomously powered circuits are of interest for a range of applications. Thin film

solar cells currently occupy a smaller portion of the market than solar cells made from bulk

materials, but still have large potential for use in mobile and portable electronics. Here, the

heterogeneous integration of a-Si:H solar cells and ZnO TFT circuits was demonstrated. The

process requires only two additional photolithography steps compared to a standard ZnO TFT

process. As a simple integration example, 15 series connected n-i-p solar cells were fabricated to

provide the supply voltage for 7-stage ZnO ring oscillator. The resulting a-Si:H/ZnO integration

requires only illumination (no other external power) and oscillates at about 28 kHz. This

integration of PV cells into functional TFT circuits to create an autonomously powered devices

may be groundbreaking for the development of cost-effective low-power sensors.

iv

TABLE OF CONTENTS

List of Figures ……………………………………………………………………….…viii

List of Tables ………………………………………………………………….………xvii

Acknowledgements …………………………………………………………………...xviii

Chapter 1 Introduction ...... 1

Hydrogenated amorphous silicon technology ...... 1 Electronic structure of a-Si:H ...... 2 Research Objectives and Outline ...... 4

Chapter 2 PECVD Development and Spectroscopic Ellipsometry ...... 6

The principle of PECVD ...... 6 Plasma Process: Sheath ...... 7 Chemical kinetics and surface process in a-Si:H deposition ...... 9 Optical Emission Spectroscopy (OES) ...... 11 Spectroscopic Ellipsometry: RC2 / M88 / IR-Vase ...... 13 Light and Matter interaction: complex dielectric functions ...... 15 Lorentz oscillator model: Semiconductor (a-Si:H), Insulator ...... 16 Optical components in spectroscopic ellipsometry ...... 20 (IR) Spectroscopic Ellipsometry ...... 22 Real-time Spectroscopic Ellipsometry (RTSE)...... 24 Computer-controlled PECVD gas/power control ...... 26

Chapter 3 Strain sensor and a-Si:H TFT Array on a Flexible Substrate ...... 30

Overview ...... 30 Sensor Part: Strain and Whitestone Bridge ...... 32 Flexible substrates: Polyimide Kapton ...... 34 Mask design ...... 35 Sample Fabrication ...... 36 Kapton Lamination and Surface Preparation ...... 37 Bottom gate (Chromium, Metal-1) Deposition and Patterning ...... 39 Tri-Layer Deposition and Patterning ...... 40 Via Patterning ...... 41 Thin Molybdenum / n+ Microcrystalline Silicon Deposition and Patterning ...... 42 Thick Molybdenum ...... 44 Room temperature nitride or Parylene Passivation ...... 45 ACF Bonding ...... 47 Sensor and array characterization ...... 48 Layer characterization ...... 49 PECVD silicon nitride (SiNx) ...... 50 v

Amorphous silicon thin film transistors (a-Si:H TFTs) ...... 53 Readout circuitry for sensor array ...... 54 Discrete Sensor Characterization ...... 55 Strain Array Data Collection and Analysis ...... 57 Temperature susceptibility ...... 60 Several array results ...... 61 Troubleshooting in the arrays ...... 62 Integration with UIUC amplifiers ...... 64 Conclusions ...... 65

Chapter 4 Uncooled IR Microbolometers ...... 66

Introduction to microbolometer devices ...... 66 Parameters in microbolometer material ...... 67 Temperature coefficient of resistance (TCR) ...... 68 1/f noise theory and measurement setup ...... 69 Photolithography mask design ...... 73 PECVD silicon (a-Si:H, µc-Si:H, a-SiC:H, µc-SiC:H) ...... 74 Sample preparation ...... 75 Phosphine (PH3) doping concentration ...... 77 High hydrogen dilution at optimum doping concentration (D = 0.019) ...... 79 High hydrogen dilution at excessive doping concentration (D = 0.039) ...... 82 Amorphous silicon carbide (a-SiC:H) ...... 84 Comparison between a-Si:H and a-SiC:H ...... 87 High hydrogen dilution in silicon carbide (SiC:H) ...... 89 Interface noise evaluation ...... 90 Sputtered Germanium ...... 93 Sample preparation ...... 95 Hydrogen mixing...... 96 Boron co-sputtering ...... 98 Hydrogen mixing with substrate heating ...... 99 Nitrogen mixing ...... 100 PECVD and Sputtered Germanium ...... 102 TCAD simulation for bandstructure ...... 103 Low temperature n-type a-Si:H ...... 108 Detectivity ...... 109 Vertical structure: through film resistor ...... 112

Chapter 5 a-Si:H Solar Cell imbedded ZnO circuit ...... 113

Background ...... 113 p-i-n / n-i-p a-Si:Hcell structure ...... 114 Transparent Conductive Oxide (TCO): Indium Tin Oxide (ITO) ...... 115 Calibration for illumination set-up using a c-Si reference cell ...... 118 Solar cell characterization ...... 119 TCAD solar cell simulation ...... 123 ZnO TFT circuit fabrication ...... 127 Mask design for ZnO thin film transistor ...... 127 ZnO/Al2O3 transistors, diodes, and optical properties ...... 128 vi

ZnO circuits: inverters ...... 131 Solar cell imbedded structure / fabrication ...... 132 Real-time spectroscopic ellipsometry (RTSE) for a-Si:H solar cell ...... 133 Conclusion ...... 134

Chapter 6 Conclusions and Future Work ...... 135

Conclusions ...... 135 Future work ...... 137 Appendix ...... 139 A. n+ microcrystalline strain sensor array process procedures ...... 139 B. Sample fabrication procedure for microbolometer ...... 141 C. Trap distribution in a-Si:H solar cell ...... 141 References ...... 143

vii

LIST OF FIGURES

Figure 1-1. Amorphous semiconductor illustration (left) and radial distribution function (RDF) (right) ...... 3

Figure 1-2. Schematic molecular model of the electronic structure of a-Si:H and the corresponding density of states distribution (left), and the density of states distribution near the band edge of amorphous silicon (right) ...... 4

Figure 2-1. Pre-sheath and sheath formation in the chamber ...... 9

Figure 2-2. Typical diagram of chemical reaction on the surface (left), and Matsuda- Callagher-Perrin model (right): SiH3 radical is the only growth precursor ...... 9

Figure 2-3. Optical emission spectrometer connected to the PECVD ...... 12

Figure 2-4. Effect of changes in the silane-to-hydrogen ratio on the plasma (With respect to the hydrogen peak, the SiH peak decreases and the microcrystalline transition grows faster.) ...... 12

Figure 2-5. Geometry of a spectroscopic ellipsometry ...... 13

Figure 2-6. Procedure for using spectroscopic ellipsometry (SE) to measure the optical properties of the film ...... 14

Figure 2-7. Dual compensator ellipsometry over the visible range of 0.75 – 5.15 eV (240 – 1675 nm wavelength), RC2 (left) and IR ellipsometer over the spectral range from 0.04 - 0.75 eV (300 – 5900 cm-1 wavenumber), IR-Vase (right) ...... 15

Figure 2-8. A frequency range of vibrational transition, electronic transition (left), and an illustration of responses at resonance frequency and lower/higher frequencies (right) ... 17

Figure 2-9. Dielectric functions from a-Si:H, R = [H2]/[SiH4] = 10 and D = [PH3]/[SiH4] = 0.039 ...... 19

Figure 2-10. Illustration of the TE, TM mode propagation of light (top), and the calculated reflection coefficients for three refractive indexes (bottom): p-polarized light is changing phases around 70 ~ 80 degrees, at which SE is measuring most of the films...... 21

Figure 2-11. Schematics of spectroscopic ellipsometry components: polarizer, compensator, detector (J. Lee et al., RSI 72, 1742 (2001)) ...... 22

Figure 2-12. PECVD silicon dioxide deposited on the RF sputtered titanium (top), and PECVD silicon nitride deposited on the DC sputtered chromium (bottom)...... 23

Figure 2-13. Amorphous to microcrystalline transition depends on the hydrogen dilution ratio...... 24

viii

Figure 2-14. Beam spot on the detector side window (left) and beam on a 4 by 4 inch groove in the 6-inch substrate holder (right) ...... 25

Figure 2-15. Cross-section of three-chamber PECVD system (left) and aphotograph of the chamber (right) ...... 25

Figure 2-16.The PECVD chamber was monitored byreal-time spectroscopic ellipsometry (RTSE). Psi at the five energy levels was plotted as a function of time elapsed (left), and spectral data can be fitted at the given time slots by using CompleteEASE ® software . The deposition condition was R = [H2]/[SiH4] = 15, D = [PH3]/[SiH4] = 0.019 (Lot ID: RTSE #27): Tauc-Lorentz oscillator parameter was amplitude = 214.03, broadening = 2.648 eV, resonance energy = 3.690 eV, and band gap = 1.610 eV...... 26

Figure 2-17. Schematics of film deposition ...... 27

Figure 2-18. RF power supply and MFC readout connected to the data acquisition board, and controlled by LabVIEW program ...... 28

Figure 2-19. Deposition conditions of mass flow control (SiH4, PH3, H2) and RF power by LabVIEW program ...... 29

Figure 3-1. Schematics of the 2-by-2 sensor array: the gate inputs were applied to the row direction, and differential outputs were collected to the column direction. The pixels in the column direction shared the differential output lines...... 31

Figure 3-2. 32-by-32 pixel sensor array on a Kapton substrate after it has been peeled off from the hard substrate ...... 31

Figure 3-3. Commercial Vishay strain sensor (left) and the previous version of strain sensors where the Wheatstone bridge circuit is imbedded into a Vishay strain sensor (right) ...... 32

Figure 3-4. Strain deformation (left) and how the Wheatstone Bridge detects the directional strain (right) ...... 33

Figure 3-5. A microscope picture of deep pinholes on the surface, 300HN (left).The silicon Gelpak causes a large range of roughness if the Kapton is too thin (right) ...... 34

Figure 3-6. Several commercial polyimide products were evaluated with AFM (Among the films, Upilex-S 5 mil (= 125 µm) was the most suitable for the substrate.) ...... 35

Figure 3-7. 4-by-4 inch square actual sample fabricated on polyimide substrate (left) and the 5-inch mask design (NextGen version 4.05) (right) ...... 35

Figure 3-8. Fabricated sensor array and Wheatstone bridge ...... 36

ix

Figure 3-9. Cross-sectional view of the total layers: bottom-gated a-Si:H thin film transistor with n+ heavily-doped µc-Si:H padded contacts, and the n+ heavily-doped µc-Si:H sensor ...... 37

Figure 3-10. Cross-sectional view at the metal-1 step: the bottom gate for a-Si:H TFT and the bottom metal line were formed...... 39

Figure 3-11. Cross-sectional view at the tri-layer step: tri-layers for a-Si:H TFT and crossover dielectric were formed, while the rest of area was left on the bottom nitride...... 41

Figure 3-12. Cross-sectional view at the via step: via for the top nitride and bottom nitride were formed at the same time...... 42

Figure 3-13. Cross-sectional view at the contact step: a n+ microcrystalline bridge for the Whitestone bridge strain sensor, and a-Si:H n+ contact were formed at the same time...... 44

Figure 3-14. Planar view for the one strain sensor pixel: (a) after the base nitride deposition, chromium sputtered and patterned (b) after tri-layer deposition, top nitride and a-Si:H patterned, (c) via etch, (d) after n+ microcrystalline and thin molybdenum deposition, contact area patterned, (e) after thick molybdenum deposition, metal-2 line patterned...... 45

Figure 3-15. Three types of Parylenes and their applications ...... 47

Figure 3-16. (a) Parylene deposition system, (b) inside of the deposition system, and (c) AFM pictures from Parylene “N”: Rpeak-peak 208 nm, RMS roughness 23.9 nm, average roughness 18.7 nm...... 47

Figure 3-17. Shown here is an 8-by-8 sensor array bonding to an ACF cable...... 48

Figure 3-18. Test vehicles in the test block placed at the edge of the sensors: metal conductivity, metal surface leakage, capacitance, vias, TLMs, various sizes of TFTs, 736 crossovers, thickness monitors, resolution checkers ...... 50

Figure 3-19. PECVD silicon nitride test structure (left) and refractive index measured by spectroscopic ellipsometry ...... 51

Figure 3-20. Several ammonia to silane compositions of PECVD silicon nitride etching rate test depending on the BOE dilution ratio from 1:1 to 1:10 (left) and corresponding electrical measurement of leakage current and dielectric constant of those films (right) ...... 52

Figure 3-21. PECVD silicon nitride was deposited at several mixed gas ratio conditions and measured at AFM: (a) Peak-to-peak roughness 303 Å, RMS roughness 36.9 Å, RAvg 28.1 Å, (b) Peak-to-peak roughness 380Å, RMS roughness 34.7 Å, RAvg 25.1 Å, (c) Peak-to-peak roughness 358 Å, RMS roughness 38.5 Å, RAvg 29.5 Å, (d)Peak-to- peak roughness 275 Å, RMS roughness 20.7 Å, RAvg 16.0 Å...... 52

x

Figure 3-22. Discrete TFT evaluation, Id-Vg and Id-Vd curve: W/L = 70/30, mobility = 0.25 cm2/V-s, Vth = 1.6 V (from Lot ID # 87) ...... 54

Figure 3-23. Sensor characterization board (lines of gates supply power to the on-test row of the sensor array; lines of biases supply sensor biases with ground and 5 V; and lines of outputs offer differential output voltages) ...... 55

Figure 3-24. Discrete sensor results for the sensor mounted to the carbon panel and flexed. Actual strain range is 0~1000 microstrain. Sensor bias voltage for this experiment was 3.84V. (Data courtesy of Luke Bradley at NextGen Aeronautics) ...... 56

Figure 3-25. Eight discrete sensors without a-Si:H TFTs were tested under four different strain conditions. Depending on the sensor direction, the symmetric cell did not respond, while the asymmetric cell did respond...... 57

Figure 3-26. Sensor array (8 × 8, on left) and screen view of output voltages (captured by Labview, on right)...... 58

Figure 3-27. For each pixel, 9 raw voltages from several strained condition plotted by MATLAB from Lot 96 1-2-4 array #2 (top) and the JAVA program output designed by Matt Smith from NextGen Aeronautics (bottom): blue pixel is turned out to be a good pixel for all the strained conditions, and the red is for a bad pixel...... 59

Figure 3-28. Hot oven used to evaluate the temperature dependence (Convex conditions with an approximately 1200 microstrain (left), flat (right)) ...... 60

Figure 3-29. Discrete sensors tested at approximately 1200 microstrain (Flat and strained conditions had voltage differences between -65 ºC and 160 ºC.) ...... 61

Figure 3-30. Test results for 1-2-4 arrays (Lot ID # 87-1, 2, # 85-1) and 8 × 8 arrays (Lot ID # 96-2, # 97-2) ...... 62

Figure 3-31. Three checkpoints for array test (left), and breakout board connections for the test (right) ...... 63

Figure 3-32. SEM picture and microscope images of the laser exposed area: once shooting exposure, electrical stress can burn the residue of the line completely...... 63

Figure 3-33. Schematics of ACF bonding UIUC amplifier on PSU strain sensor array, and metal pin configuration: the UIUC amp converts differential outputs to single ended outputs...... 64

Figure 4-1. Schematics of a basic microbolometer (left) and an a-Si:H microbolometer pixel array (right) [34] ...... 66

Figure 4-2. Setup for the measurement of TCR from the MDC Duo-chuck automatically programmed and controlled by Excel Visual Basic...... 68

xi

Figure 4-3. Illustration for preamplifier process and the schematics of electrical connection (left), and the schematics of noise measurement apparati (right): the sample in the 16 pin packages to the DAQ board. The signal was captured by LabVIEW...... 70

Figure 4-4. 1/f noise plot in log of frequency versus log of normalized PSD domain ...... 71

Figure 4-5. Same electrical resistance / different volume for the three resistors: Volume multiplication from the left to the right, and extraction of normalized Hooge parameter ...... 72

Figure 4-6. Photolithography mask pattern, showing the transmission line pattern, several volumes of noise measurement patterns, and the process monitoring patterns ...... 74

Figure 4-7. Free carrier density from extrinsically doped semiconductor over the wider temperature range: free carrier density is almost constant between 200 K and 600 K .... 75

Figure 4-8. (a) n-type a-Si:H resistivity, TCR, and normalized Hooge parameter as a function of doping gas ratio, D = [PH3]/[SiH4] = variables, R = [SiH4]/[H2] = 8, and (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2)...... 79

Figure 4-9. (a) The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of a hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, and (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2) from R = 8 to 35 (with increasing hydrogen dilution, ε2 linearly shifts), and (c) R = 35 to 75 (with increasing hydrogen dilution, the shape near Erbark tail changes) ...... 82

Figure 4-10. The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of the hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, 0.039 ...... 83

Figure 4-11. Two different lasers measured several hydrogen dilutions of film ...... 84

Figure 4-12. (a) n-type a-SiC:H optical bandgap, resistivity, TCR and normalized Hooge parameter as a function of methane gas ratio, Z = [CH4]/{[SiH4] + [CH4]} = 0, 0.14, 0.20, 0.25, 0.33, D = [PH3]/[SiH4] = 0.019, R = [SiH4]/[H2] = 8, (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2), and (c) the comparison between amplitude(A), resonance energy(E0), broadening(Γ), and optical bandgap(Eg) as a function of carbon content and D. K. Basa’s results (Rutherford backscattering) ...... 86

Figure 4-13. Two of a-SiC:H (Z = 0.20, 0.33) were measured by X-ray photoelectron spectroscopy (XPS), and the absolute carbon contents were extracted ...... 87

Figure 4-14. a-Si:H with a doping gas ratio of D = [PH3]/[SiH4] = 0.006, 0.013, 0.019 and a-SiC:H with D = 0.019, and the methane gas ratio of Z = [CH4]/{[SiH4] + [CH4]} =

xii

0, 0.014, 0.020 was compared by the electrical properties (resistivity, TCR, and normalized Hooge parameter were compared with each other) ...... 89

Figure 4-15. The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of a hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, and a methane gas ratio of Z = [CH4]/{[SiH4] + [CH4]} = 0.2 ...... 90

Figure 4-16. Capped and uncapped cross-section (top) and normalized Hooge parameter comparison between uncapped and capped with silicon nitride or i-layer-buffered capped samples (right) ...... 92

Figure 4-17. Resistivity - TCR relation in various materials: there is a general correlation, high resistivity – high TCR, but exceptions exist depending on the process condition...... 94

Figure 4-18. Resistivity, TCR and normalized Hooge parameter as a function of hydrogen mixing ratio with argon (The substrate was at room temperature, the total pressure was 5mTorr, and DC power was 80W on a 2-inch diameter target (left), and the dielectric function of the argon only sputtered film with the maximum hydrogenation is also shown (right))...... 97

Figure 4-19. Resistivity, TCR, and normalized Hooge parameter as a function of power ratio during co-sputtering (Both substrates were kept at room temperature; the total pressure was 5 mTorr with argon, and the germanium-side DC power was 80 W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film with the maximum ratio of boron co-sputtered film.) ...... 99

Figure 4-20. Resistivity, TCR and normalized Hooge parameter as a function of hydrogen mixing in argon ambient (The substrates were heated up with a tungsten wire; the total pressure was 5 mTorr with argon, and the germanium-side DC power was 80W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film at room temperature with the minimum resistivity films from the heated series.) ...... 100

Figure 4-21. Resistivity, TCR, and normalized Hooge parameter as a function of nitrogen mixing ratio with argon (The substrate was kept room temperature; the total pressure was 5 mTorr, and DC power was 80 W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film at room temperature with the minimum resistivity film.) ...... 101

Figure 4-22. Infrared spectroscopic ellipsometry (IRSE) analyzed data from sputtered samples in several conditions...... 102

Figure 4-23. Resistivity, TCR and normalized Hooge parameter at different conditions (The sputtered films are comparable to PECVD germanium films.) ...... 103

xiii

Figure 4-24. Sentaurus bandstructure simulation: resistivity is changing as a function of temperature by Fermi-Dirac distribution, and carriers in the trap distribution to up to the extended state and contributes to the electrical conduction...... 105

Figure 4-25. Sentaurus bandstructure simulation: resistivity and TCR change as a function of the doping concentration depending on the band-tail distribution...... 106

Figure 4-26. Sentaurus bandstructure simulation: at the same resistivity and different band-tail slope (0.03, 0.04, 0.05 eV), (a) Fermi level shifts as a function of temperature (Fermi-level started to be pinned at a higher trap distribution), (b) trap distribution and trapped charge distribution at 300 K (The empty traps (= trap distribution – filled traps) below the bandgap increases as the band-tail has shallower slope) ...... 108

Figure 4-27. Sentaurus bandstructure simulation was compared with experimental data: (1) slope 0.03 eV, doping concentration 1×1016 cm-3, 326 Ω-cm and -1.38 %/K at 300 K, (2) slope 0.04 eV, doping concentration 5.75×1016 cm-3, 325 Ω-cm and - 2.52 %/K at 300 K, and (3) slope 0.05 eV, doping concentration 2.2×1017 cm-3, 324 Ω-cm and -2.94 %/K at 300 K ...... 108

Figure 4-28. Sentaurus bandstructure simulation was compared with experimental data: at several band-tail slopes, 0.03, 0.04, 0.05 eV, a trap concentration was varied to fit to the experimental data...... 109

Figure 4-29. Detectivity was plotted as a function of resistivity, and resistivity-TCR- normalized Hooge parameter relations...... 111

Figure 4-30. Vertical through film resistor test structures: a matrix form from 10 µm × 10 µm to 150 µm × 150 µm via sizes...... 112

Figure 5-1. Irradiance from the sun (left), and the irradiance at 480 nm wavelength as a function of time (right): http://www.nrel.gov/midc/srrl_bms/, SRRL PGS-100 Direct Normal (5 min scans at 0.7nm), May 5th, 2011 ...... 114

Figure 5-2. Three photolithography mask layers and cross-section of the cell structure ...... 115

Figure 5-3. ITO was evaluated under several process conditions: substrate temperature, process pressure, Ar-to-O2 mixing ratio, and RF power. The best conditions in terms of optical transmission and conductivity were achieved at ITO #7, #8...... 117

Figure 5-4. ITO optical transmission evaluation using RC2 spectroscopic ellipsometry and extracting n and k from the ITO films ...... 117

Figure 5-5. Halogen lamp illumination setup and calibration cell for air mass 1 (AM 1) condition...... 118

xiv

Figure 5-6. I-V characteristics of the reference c-Si cell was measured as a function of halogen lamp distance: 14-inch illumination distance from the halogen lamp is equivalent to AM 1 condition ...... 119

Figure 5-7. I-V characteristics at AM 1 condition from several cells ...... 119

Figure 5-8. Unscaled I-V characteristics at AM 1 condition at 1 mm-by-2 mm from SA#8 cell (left), and the corresponding power generation (right): Voc = 0.74 V, Isc = 0.127 mA, voltage at maximum power = 0.585 V, current at maximum power = 83.1 µA, fill factor = 0.52, efficiency = 2.43 % ...... 120

Figure 5-9. Unscaled and scaled dark I-V characteristics at several sized patterns: ID #1: 800 µm× 800 µm = 640,000 µm2, ID #2: 2,000 µm× 320 µm = 640,000 µm2, ID #3: 2,000 µm×125 µm = 250,000 µm2, ID #4: 500 µm×500 µm = 250,000 µm2, ID #5: 200 µm×200 µm = 40,000 µm2 ...... 121

Figure 5-10. Unscaled I-V characteristics at AM 1 condition at 1 mm-by-2 mm from SA8 cell (left), and the corresponding power generation: Voc = 0.74 V, Isc = 0.127 mA, voltage at maximum power = 0.585 V, current at maximum power = 83.1 µA, fill factor = 0.52, efficiency = 2.43 % ...... 122

Figure 5-11. Unscaled I-V characteristics at AM 1 condition and dark at 1 mm-by-2 mm from Pd-i-n-Ti cell, and the corresponding power generation. For SA7, Voc = 0.50 V, Isc = 0.052 mA, fill factor = 0.58, efficiency = 0.75 %, and for SA10, Voc = 0.50 V, Isc = 0.045 mA, , fill factor = 0.60, efficiency = 0.68 % ...... 123

Figure 5-12. 2D simulation for a n-i-p solar cell: the grid was sized small enough to catch the depletion region, and doping concentrations and thicknesses were chosen as conventional numbers...... 123

Figure 5-13. Optical property characterizations for each layer from spectroscopic ellipsometry (RC2) ...... 124

Figure 5-14. Optical property characterizations for each layer from spectroscopic ellipsometry (RC2) ...... 125

Figure 5-15. According to the Sentaurus simulation, the trap distributions in each layer affect to the I-V characteristics ...... 126

Figure 5-16. Trap distributions in n-, i-, p-layer correlated with I-V characteristics according to the Senataurus simulation ...... 126

Figure 5-17. Three masks for the thin film transistor (left), and vertical cross-section (right) ...... 127

Figure 5-18. Id-Vg characteristics in linear region (left) and Id-Vd (right) ...... 129

Figure 5-19. I-V characteristics from several sizes of diode ...... 129

xv

Figure 5-20. 310 Å-thick Al2O3 and 164 Å-thick ZnO was measured at the visible spectroscopic ellipsometry (RC2): a Tauc-Lorentz model was used for both layers, A = 305.02, Γ = 8.476 eV, E0 = 8.079 eV, and Eg = 6.497 eV for Al2O3and A = 80.83, Γ = 6.710 eV, E0 = 2.738 eV, and Eg = 3.437 eV for ZnO...... 130

Figure 5-21. Al2O3 and ZnO were measured at the infrared spectroscopic ellipsometry (IR-Vase) ...... 130

Figure 5-22. Input / output voltages from several beta ratios of inverters were compared (left), and inverter transfer function, input and output voltage and current were plotted at beta = 10 (left): at beta = 10 the voltage crosses the half of the Vdd (10 V), 5 V, and the maximum current consumption of one inverter at Vdd (10 V) is 42 µA...... 131

Figure 5-23. Cross-sectional view of a-Si:H solar cell imbedded into the ZnO transistor fabrication (top), and six-layered mask for the autonomous-powered circuits (bottom) ...... 133

Figure 5-24. During the 4000 Å-thick i-layer deposition, RTSE monitors the deposition process and extracts parameters with a Tauc-Lorentz oscillator model: A = 209.58, En = 3.24 eV, Γ = 2.90 eV, Eg= 1.61 eV. The model was well fitted to the experimental data (left); the bulk thicknesses and the roughness are plotted (right) ...... 133

Figure 5-25. 7-stage ZnO ring oscillator circuit with n-i-p a-Si:H stacks (left) and oscilloscope result (right) ...... 134

xvi

LIST OF TABLES

Table 3-1. Layer thickness and film characterization (from Lot ID # 87) ...... 50

xvii

ACKNOWLEDGEMENTS

I wish to thank my advisor, Dr. Thomas N. Jackson, for his advice, instruction, and encouragement throughout the course of this work. His guidance and expertise in the device fabrication and characterization were critical to the completion of this work. I extended my deep appreciation to all the committee members –Dr. Srinivas Tadigadapa, Dr. Joan Redwing and Dr.

Mark Horn—for discussing and reviewing my thesis.

This work has been built up by a large number of collaborators and colleagues. First, I thank those who assisted me during the journey of this work, for all of the members of the research group at Penn State (JERG): Yuanyuan Li, Raymond Fok, Paris Liu, Myung-Yoon Lee,

Israel Ramirez, and Hayou Li. Second, I would like to thank Dave Saint John, Dr. Nikolas

Podraza and Dr. Chris Wronski. Third, I would like to thank all individuals related with Penn

State members, NextGen aeronautics, MURI group, L3 communications, and Solchip for support

of this work.

Finally, I am also indebted to my parents for their love and support. Lastly, I give thanks

for my wife and my daughter, for their endless patience and endurance throughout the time of this

work.

xviii

Chapter 1

Introduction

Hydrogenated amorphous silicon technology

Hydrogenated amorphous silicon (a-Si:H) has been useful in many applications. It was

deposited in 1969 via glow discharge decomposition of silane [1], when W. E. Spear and P. G.

LeComber showed that hydrogenated amorphous silicon (a-Si:H) could be doped [2]. After the

first devices were made by D. Carlson and C. R. Wronski in 1976 [3], the research in a-Si:H

technology has led to a wide variety of applications. Even though amorphous silicon is inferior to its crystalline counterpart in terms of electronic properties due to a continuous distribution of localized states in the gap, there are numerous technological advantages for a variety of device applications these days, owing to the low cost and large applicability: thin-film transistors (TFTs) for use in active-matrix liquid crystal displays (AMLCDs), solar cells, and various types of imaging devices [4-8].

Hydrogenated amorphous silicon (a-Si:H) differs from crystalline silicon (c-Si) with its lack of long range order in the atomic structure and the hydrogen content (~10%). It has been found that the incorporation of atomic hydrogen in amorphous silicon, to a level of 5–10% depending mainly on the temperature and deposition rate [9], saturates the dangling bonds and improves the quality of the material. Although the overall properties are similar to c-Si, due to the short range of order in an amorphous network, microscopic bonding shows the distortions of bond lengths and bond angles as well as dangling bond and microvoids [10]. Thus, the lack of long range order reduces the free carrier mobility and makes band-tails and a continuous distribution of localized states in the gap. 1

It also increases the bandgap (Eg) from 1.1 eV in crystalline silicon to 1.7 eV and changes from an indirect bandgap to a direct bandgap, so that it makes the material much more strongly absorbent for photons above the threshold, and resultantly a functioning solar cell requires only a small thickness for absorption with this a-Si:H material. The inherent disorder in the material results in high optical absorption, which reduces the amount of material needed to efficiently absorb sunlight, thereby offering the potential for significant cost reduction in solar cells. For this reason, although there are many types of device applications, the largest potential application of amorphous silicon is still in the area of photovoltaics.

The process is based on low-temperature (T < 300 ºC), so that inexpensive substrates, for instance, flexible substrates, can be used. However, the disorder also causes several disadvantages, such as the Staebler-Wronski effect (SWE) [11], which results in the degradation of optoelectronic properties upon prolonged illumination. The growth of a-Si:H based thin films exhibits a highly nonlinear dependence on the multi-parameter space present in the plasma- enhanced chemical vapor deposition (PECVD) process, which consists of source gas mixtures, mass flow rates, process pressure, substrate temperature, and chamber configuration. Each parameter has an impact on the properties of the material as well as the performance of the device, with some parameters having greater impacts than others.

Electronic structure of a-Si:H

The random nature of disorder in a-Si:H shows a difference in the electronic structure from the crystalline silicon (c-Si). In Figure 1-1, the structure of amorphous silicon is illustrated, and it is not a completely disordered system. From a transmission electron microscopy (TEM) diffraction pattern, a radial distribution was extracted, which tells the probability of finding an atom at distance R from another atom. Periodicity occurs in the short-range order: a1 has a sharp 2

peak in the graph, but not in the long-range order; a2 and a3 have broadened peaks, affecting bonding lengths and bonding angles as well as introducing extensive broken bond defects.

Figure 1-1. Amorphous semiconductor illustration (left) and radial distribution function (RDF) (right)

In the atomic state, four silicon valence electrons exist in the 2s and 2p state, but if silicon atom to silicon atom is located close enough to interact the electrons with each other, i.e.,(that is, in the molecular state), the electron states are redistributed to forms a covalent sp3 bonding states.

If a number of atoms are in the neighborhood, the electron interaction splits into an anti-bonding state as a conduction band, and a bonding state as a valence band. Like crystal silicon, the bands are strongly influenced by the short-range order. However, the absence of long-range order perturbs in a small amount of edges so that it broadens the distribution of localized states in the band-tail, which reduces the scattering length to atomic distance. Thus, the band-tail is attributed to the deviations of bond length and angle from the long-range order, and this band-tail shape plays an important role in conduction due to the majority of trapped carriers.

In addition, the localized deep gap states in the band gap are a result of the structural defects such as broken bonds, and the gap states determine many of the electronic properties since they cause carrier trapping and act as recombination centers.

3

Figure 1-2. Schematic molecular model of the electronic structure of a-Si:H and the corresponding density of states distribution (left), and the density of states distribution near the band edge of amorphous silicon (right)

Research Objectives and Outline

This study aimed to investigate the material properties of hydrogenated amorphous silicon (a-Si:H) as they relate to process conditions and to evaluate its use in a variety of device applications, including (1) microcrystalline silicon strain sensors in hydrogenated amorphous silicon transistor arrays on flexible substrates, (2) high temperature coefficient of resistance hydrogenated amorphous silicon and germanium as the sensor materials in microbolometers, and

(3) hydrogenated amorphous silicon solar cells imbedded on ZnO thin film transistors.

After a brief overview of a-Si:H technology in Chapter 1, a strategy of material deposition, especially plasma-enhanced chemical vapor deposition (PECVD), and corresponding film characterization techniques are introduced in Chapter 2. In this chapter, there is a description of the modification of the PECVD chamber to use real-time spectroscopic ellipsometry (RTSE), which facilitated the monitoring of the deposition of inhomogeneous films and reduced the time and effort required for analyzing the film microstructure.

Chapter 3 describes a hydrogenated microcrystalline strain sensor array with amorphous thin film transistors (a-Si:H TFT) on a flexible substrate. The use of a flexible polyimide substrate 4

places restrictions on certain process parameters, especially the temperature of the process. A

Wheatstone bridge was used to measure the strain on the substrate, and the a-Si:H TFT in the column was selectively being connected to output voltage at each measurement time. Depending on the strain direction, the output voltage properly responded.

Chapter 4 discusses the PECVD a- or µc-Si(C):H and sputtered a-Ge:H films used for microbolometer sensing material. The films are evaluated by electrical and optical measurements, and the properties are correlated with deposition conditions. The electrical properties of resistivity,

TCR, and noise are modeled using TCAD bandstructure simulation.

Chapter 5 focuses on the a-Si:H n-i-p solar cell. The purpose of the solar cell is to operate

TFT circuits without any external power supply, so as to build an autonomous powered cell block.

The n-i-p PECVD deposition conditions were adopted and varied based on a thesis from Dr.

Wronski’s research group. PEALD ZnO circuit components (transistors, diodes, inverters) were built up to calculate how much power was consumed and to estimate the solar cell size required for these cell operations. The achieved experimental results are compared with the TCAD simulations to track down the physics of the solar cell devices.

5

Chapter 2

PECVD Development and Spectroscopic Ellipsometry

A relatively large portion of the devices in this thesis involved plasma-enhanced chemical deposition (PECVD) and the use of spectroscopic ellipsometry (SE) to characterize the materials used. The real-time spectroscopic ellipsometry (RTSE) installed on the three-chamber system enabled excellent material deposition analysis.

The principle of PECVD

In chemical vapor deposition (CVD), a gas is thermally dissociated in a heated substrate into chemically active species that contribute to the film growth process. Hot-wire CVD is based on the dissociation of a gas on a hot filament at about 1800 ºC. In a plasma-enhanced chemical vapor deposition (PECVD) system, the chemically active species are created by energetic, or 'hot', electrons in the plasma and diffuse towards a deposition substrate, while the substrate may remain at a relatively low temperature of approximately ~250 ºC.

Plasma is a conductive gas in which some of the molecules are ionized. Plasma consists of a collection of free-moving electrons and ions, i.e., atoms that have lost electrons. Energy is needed to separate electrons from atoms to make plasma. The energy can be thermal, electrical, or

UV light. In the typical semiconductor plasma process, the degree of ionization of the plasmas is very low; 1014 cm-3 radicals arise out of the neutral species 1016 cm-3, and thus, one charged

particle out of every 102 neutral molecules [12].

Plasmas can be broadly classified as hot or cold. In hot plasmas, the average thermal

energy of electrons (kT) is high enough to separate electrons from their atoms on a regular basis.

In cold plasmas, only the electrons are hot, while the neutral species and ions stay at temperatures

6

much lower than their ionization energies. This results from the fact that the mass of the ions is

much greater than the mass of the electrons. As a result, this discrepancy between electron and

gas temperatures makes cold plasmas of great interest for planar processing, particularly for

chemical vapor deposition. Hot electrons can excite, ionize, and dissociate precursors around

them. In practical systems, these plasmas occur at pressures of approximately 1 Torr or less, and

the reactor types are classified according to the mechanism that delivers power to the electrons.

The most widely used plasma reactor for CVD applications is the capacitive plasma reactor. In

this system, an AC or RF voltage is applied between two electrodes in a parallel plate

configuration to generate the plasma. The frequency ranges from a few kHz (VHF) to a few MHz

(RF), and depending on the type of gas feed used, the system can be classified as a ring fed

system or a shower head system.

Dissociation of precursor gases occurs in the glow discharge, the first step of the PECVD

system. Radicals, created from the plasma, diffuse toward the substrate and interact with the

growing film surface, and finally becoming incorporated into the film. The common deposition

variables are the RF power density (mW/cm2), process pressure (mTorr), gas flow rates (sccm), pumping speed (Torr-Liter/sec), and reactor geometry. Generally, higher power induces a higher dissociation rate and a higher electron density of plasma, and lowering the pressure results in an increase of electron temperature.

Plasma Process: Sheath

In the weakly ionized plasma, the electron temperature is typically a few volts, and the ions are cold. Since the electrons are far more mobile than the ions, the region near the wall has non-neutral potential, which is called sheath. Accordingly, the electron density decreases with a

Boltzmann factor in the region. Also, the transition layer, called pre-sheath, forms between the 7

non-neutral sheath and neutral plasma, whose length is mathematically described as Debye length.

Typically, Debye length under gas discharge 1016 m-3 is 0.1 mm.

Assuming there is cold plasma, i.e. ion temperature is zero (Ti) and electron temperature

(Te) is nonzero, the neutral ion mean free path (λ) is expected,

11 i  cm (2-1) npgi 330

20 -3 where ng is the density of the gas molecule (10 m in the case of argon) and σi is a cross section,

10-14 cm-2 in the case of argon. Thus, a pressure (p) of 3 mTorr in an argon ambient gives a 1 cm

mean free path.

The diagram of the plasma system is illustrated in Figure 2-1 (left). RIE uses a smaller

area as a sample processing side so that the ions from the plasma can be subjected to the high

potential drop, while typical deposition systems use a larger area as its main deposition side so

that the ions just diffuse toward to the deposition side.

An ion velocity at the plasma-sheath edge is known as Bohm velocity, uB, as shown in

Figure 2-1 (right). The ion energy conservation provides:

11 Mux22 Mue x (2-2) 22s

Also, the continuity of ion flux is,

nxuxii     nu iss (2-3)

where nis, uis is the ion density and ion velocity at the sheath edge, respectively.

1/2 eT e (2-4) uusB M

The result is the Bohm sheath criterion. If the argon atom has a mass (M) of ~ 10-27 kg and the

3 electron temperature (Te) is ~3.3 eV, then the velocity at the sheath, uB, is 2.8 × 10 m/s. These

values are used for the DC, RF sputter, reactive ion etcher (RIE), and PECVD, etc. 8

Small area density, n density, Electron / Ion / Electron Φ Large area Large Potential,

Figure 2-1. Pre-sheath and sheath formation in the chamber

Chemical kinetics and surface process in a-Si:H deposition

The PECVD technique uses silane (SiH4) to deposit amorphous silicon with activeness of plasma as well as the chemical reaction characteristics. Dangling bonds are created by ion bombardment, which remove the H atoms from the surface, and other reactive radicals are inserted onto the site and form a bond. During the deposition, several parameters are introduced for voids, surface mobility, dangling bonds, or defects.

Matsuda-Callagher-Perrin model : SiH3 radical is only growth precursor

SiH3 SiH3 SiH4 1-β γ

β

β : surface reaction probability (~0.26) s γ : recombination probability (~0.16) a-Si:H s : sticking probability (~0.10)

Figure 2-2. Typical diagram of chemical reaction on the surface (left), and Matsuda-Callagher- Perrin model (right): SiH3 radical is the only growth precursor

9

Reaction surface is classified into an activated site and apassivated site. The activated site, noted as θa, has at least one silicon dangling bond so that the site open to reaction, while the

passivated site has no silicon dangling bond or passivated the surface with hydrogen atom, noted

as θp (= 1 - θa).

The first-order Langmuir surface reactions are [13]:

+ Ki SiH3 +θp  θa + Yi Hg) ( (2-5)

K2a SiH2 + θθaa (2-6)

K2p SiH2 + θθp  p (2-7)

K3 SiH3 + θa  θp (2-8)

K4 SiH4 +θa  θp + SiH3 (g) (2-9)

where Yi is the yield of H atoms removed per incident ion and Ki, K2a, K2p, K3, K4 are the rate constants. Also, the reactions of H atoms at the surface are added:

KHp H+θa  θp (2-10)

KHa H+θp θa +H(g)2 (2-11)

where KHp and KHa are the rate constants. From these equations, the rate of the creation site is formulated and solved for the steady state:

dθ a =YK n (1-θ ) - Knθ - Kn θ =0 (2-12) dt iiisaaa 33s4 4s

Then, the activated site is solved as

YKiiis n YK iiis n θa = ≈ (2-13) YKiiis33s44s n +Kn +Kn Kn44s

If the parameters in the typical discharge plasma process are applied to the equation (2-13), nis/n4S

-4 -2 ~ 10 , and resultantly θa ~10 can be achieved. 10

Many researchers have proposed their models for a-Si:H films. First, Ganguly and

Matsuda developed the SiH3 surface diffusion model, which describes the mechanisms of the reaction of SiH3 radicals with the hydrogenated Si surface. Second, Winer and Street constructed a model to describe the movement of hydrogen atoms at the surface. The temperature of the substrate enhances the surface mobility of the hydrogen atoms, which exceeds that of the silicon atoms. Thus, we concluded that the optimum temperature is a key to the good a-Si:H with the least weak bonds. Below a substrate temperature of 250 ºC, hydrogen diffusion is arrested, and the total content of hydrogen increases as the temperature decreases. Third, Gleason, Kushner and

Shirafuji used a computer simulation from the creation of a plasma species to the formation of an amorphous network.

Optical Emission Spectroscopy (OES)

When the plasma dissociates the precursors, the radicals generate a specific wavelength

depending on the excitation. The generated spectra can be analyzed for plasma components,

which can be correlated with differences in the properties of the film. Typically, higher hydrogen

dilution accelerates the amorphous to microcrystalline silicon phase change, and OES can

determine the relative intensity ratio change in the hydrogen radical peak at 653 nm wavelength

to the SiH radical peak at 414 nm wavelength. With higher hydrogen dilution, the relative

intensity of the SiH peak decreases, as shown in Figure 2-4.

11

Figure 2-3. Optical emission spectrometer connected to the PECVD

30000 1:200 H peak Dilution ratio, SiH4:H2 2:200 653nm 4:200 1Torr, 100W 6:200 20000 SiH peak 414nm H2 only

Intensity (Arb.) 10000

0 200 400 600 800 1000 Wavelength (nm)

30000 2.6 H peak 6 2.4 653nm 5 20000 4 2.2 SiH peak 3 2.0

414nm 2 10000 1.8 Intensity (arb.) 1 Microcrystalline 1.6 faster 1.4 0 40 80 120 160 200 400 410 420 430 640 650 660 670 H peak / SiH intensity ratio intensity / SiH H peak Hydrogen Dilution Wavelength (nm)

Figure 2-4. Effect of changes in the silane-to-hydrogen ratio on the plasma (With respect to the hydrogen peak, the SiH peak decreases and the microcrystalline transition grows faster.)

12

Spectroscopic Ellipsometry: RC2 / M88 / IR-Vase

The technique of ellipsometry was invented in 1887 by Paul Drude, who used the technique to determine the dielectric function of various materials. Ellipsometry is an extremely sensitive characterization technique that measures the change in the polarization state of incident light upon reflection from the surface of a material, as shown in Figure 2-5. The ellipsometer

measures (1) psi (Ψ), defined as the ratio of Fresnel reflection coefficients (Rp/Rs), and (2) delta

(Δ), the phase difference of the two polarized lights.

Figure 2-5. Geometry of a spectroscopic ellipsometry

Ellipsometry measures the complex ratio of reflectivity as:

R   P tan( )exp(i  ) (2-14) RS

From the psi (Ψ) and delta (Δ), the ellipsometer can characterize the thickness of the film

and the optical properties; the complex index of refraction, which can be solved as the complex

dielectric function,  12i ; and which quantity is interpreted as the linear response of the photon related to the electronic bandstructure of the material.

13

The general procedure used for this optical measurement is illustrated in Figure 2-6. Once the optical quantities (Ψ, Δ) are measured, it is necessary to construct an estimating model for use in predicting the thickness, the roughness, and the complex dielectric functions of the layers.

After the model has been developed, the unknown parameters can be varied, and the model parameter can be fitted to the measured data. The fitting can be evaluated using the mean square error (MSE) technique. A 500 Å-thick μc-Si:H film was deposited on the 1000 Å-thick thermal oxide coated silicon by PECVD technique at a high hydrogen dilution ratio, R = [H2]/[SiH4] =

100, with a doping gas ratio, D = [PH3]/[SiH4] = 0.039. Two dielectric functions were

interrogated and well fitted within the range of 0.75 eV to 5.15 eV.

Model Experimental Data R   P  tan( )exp(i ) RS Layer #n Measurement ... Model Generated Data Layer #2 Generated and Experimental 40 300 Layer #1

Substrate 30 200 

Model degrees in

20 100

Fit indegrees Model Fit  10 Exp -E 70? 0 3 srough 20.20 ?Å Model Fit Fit 2 genosc 501.80 ?Å Exp -E 70? 1 sio2_jaw 1000.00 ?Å 0 -100 0 si_jaw 1 mm 0.0 1.0 2.0 3.0 4.0 5.0 6.0 Photon Energy (eV) Results

3.5eV 3.9eV Microcrystalline silicon (D=0.039, R=100)

Figure 2-6. Procedure for using spectroscopic ellipsometry (SE) to measure the optical properties of the film

14

Three spectroscopic ellipsometers were being used for ex-situ measurements: J. A.

Woollam, 1) RC2 at Material Research Lab for visible range; 2) M-2000 at EE West Clean

Room;and 3) IR-VASE at EE West Room 20. In addition, J. A. Woollam, M88, was being used

for in-situ measurement in a three-chamber PECVD system at EE West Clean Room.

Figure 2-7. Dual compensator ellipsometry over the visible range of 0.75 – 5.15 eV (240 – 1675 nm wavelength), RC2 (left) and IR ellipsometer over the spectral range from 0.04 - 0.75 eV (300 – 5900 cm-1 wavenumber), IR-Vase (right)

Light and Matter interaction: complex dielectric functions

Assuming an isotropic, homogeneous, and non-magnetic material (M=0, μr=1) with no external charges or currents (ρext = 0, Jext = 0), the Maxwell equations in S.I. units become:  E 0   dB E  dt  (2-15) B 0   dD  B   J 00dt cond

In order to achieve E and eliminate B from the equations,

11dE   dE22rr 4  dB cdtc (2-16) E    dt dt

15

Using the identity   E  EE 2 (2-17)

Equation (2-16) can be described as    11dE2 dE 2 E    4 (2-18) cdtc222rr dt

To achieve E,

224  EAexp  iqxt qiN22 r  where 22r  (2-19) cc 

And, the complex dielectric function N can be describe as,

1/2  4  r (2-20) Nnik   r  i   

Or, the another representation of the complex dielectric function, N, can be

22 1  r nk 2 12iN   where 4 (2-21)  r 2nk 2 

Lorentz oscillator model: Semiconductor (a-Si:H), Insulator

Material to material or light to material-- any kind of response in physics can be

described by general oscillator model. For instance, an electron was initially confined and then

deviated from the equilibrium position at a certain time of illumination. The electrons are under

the restoring field, and the specific oscillation can occur depending on the restoring field and

illumination.

mx  kx bx  (2-22)

Typically in mechanics the equation (2-22) is solved into: 16

Fm/ A  0 222 22 0 4 xAi cos t where (2-23) 1 2   tan 22 0 

where ω0 is the free oscilation frequency and γ is the damping coefficient. Thus, with the

resonance peak at ω0, the amplitude is maximized and at the lowest frequency, noted as the DC

component, the amplitude is equal to the displacement, as shown in Figure 2-8. Peak position, peak intensity, and peak width were correlated with resonance frequency, oscillator strength, and damping force, respectively.

Electronic transitions

Vibrational bands Amplitude Phase delay

IR Visible UV X-ray 1011 1013 1015 1017 Frequency(Hz)

Figure 2-8. A frequency range of vibrational transition, electronic transition (left), and an illustration of responses at resonance frequency and lower/higher frequencies (right)

The mechanical description and the Lorentz oscillator model have a similarity. If an electron in the material is in the field,

F  eE (2-24)

the electron movement can be described as, 17

it 2 mx e E e  m0 x  m x  (2-25)

The general solution can be tried with

it xtxe  0 (2-26)

Plugging into the equation (2-25),

22it it it it mxe0000 eEe  mxe  mxe (2-27)

Then the displacement, x0, was achieved,

eE x0  22 (2-28) mi()0 

The dipole moment for one atom is described as,

eE2 pext()  22 (2-29) mi()0 

and the dipole moment for one atom is,

2   e pEwhere()  () 22 (2-30) mi()0 

Considering the displacement and polarization (or dipole moment) relation,    DEPE00r (2-31) or   0 (1)r  E  P (2-32)

the dipole moment for N number of atoms is,

   P Np0 (1)r  E  (2-33)  NE()

The complex dielectric function, εr or ε(ω), is derived as,

18

N() Ne2 () 1  1 22 (2-34) 00mi() 

In more general form, the dielectric function can be achieved in terms of energy:

e22N0 N E  : resonance energy  E 1  eb, n nn   22 where (2-35)  m n1 EE iE 0 nn nn :broadening energy

A practical application for amorphous silicon uses:

22 eN 2 A22 a 15.1eV  228 eV A 0m  E 1 22 where (2-36) EEiE0   2.00 eV EeV 3.85

In Figure (2-9), an experimental data of dielectric functions from a-Si:H, R = [H2]/[SiH4]

= 10 and D = [PH3]/[SiH4] = 0.039 was plotted. An optical description of the relative dielectric

function in the DC component, or the dielectric constant, which is cross-sectioned with the y-axis,

is 11.5, as similar to the typical crystalline silicon 11.7.

Figure 2-9. Dielectric functions from a-Si:H, R = [H2]/[SiH4] = 10 and D = [PH3]/[SiH4] = 0.039

19

Optical components in spectroscopic ellipsometry

From the continuity of the D-field across the interface of different refractive index materials, Fresnel equations can be derived: as for the TM mode (or p-polarized light),

nn1221cos  cos rp  (2-37) nn1221cos  cos

Also, the TE mode (or s-polarized light) is,

nn1122cos  cos rs  (2-38) nn1122cos  cos

The s-polarized light does not change the phase over the entire angle, while p-polarized

light changes the phase – crossing the zero point –as shown in Figure 2-10. Ellipsometry uses the

psi (Ψ), which is the contrast of rp and rs, and the ideal point occurs when rp crosses the zero value.

If this is the case, p-polarized light contains information of the gas-phase during the propagation, and s-polarized light has information of the gas-phase as well as the measuring surface. Thus, subtraction of the two polarized lights can be maximized at the point. However, the refractive index in materials varies as a function of wavelength instead of being one constant number, so the one fixed angle cannot be determined for the best measurement angle. In addition, the reason that spectroscopic ellipsometry can be used in the processing chamber is that it uses the difference of the p- and s- polarizations instead of absolute intensity. When the probing beam reflects on the sample, the change in polarization is being detected.

20

TM mode (p-polarized)

rp rp

rp TE mode (s-polarized) E rs

n1=1 rs n2=1.5 Tangential E-field is continuous

Figure 2-10. Illustration of the TE, TM mode propagation of light (top), and the calculated reflection coefficients for three refractive indexes (bottom): p-polarized light is changing phases around 70 ~ 80 degrees, at which SE is measuring most of the films.

Spectroscopic ellipsometry has two major advantages in characterization of films: (1) it uses relative values of polarizing light instead of absolute value, so that the signal is rarely disturbed by plasma processing in a real-time monitoring system, and (2) it can characterize the layers under the capping layer since it uses various measuring angles: this characterization cannot be achieved by XPS or FTIR.

There are several types of optics with the components, such as a polarizer, a compensator, and a detector. Depending on the rotation part, the ellipsometry is classified into (1) a rotating polarizer (PRSA), (2) a rotating compensator (PSCRA), and (3) a dual rotating compensator

(PC1RSC2RA) multi channel ellipsometer.

21

Figure 2-11. Schematics of spectroscopic ellipsometry components: polarizer, compensator, detector (J. Lee et al., RSI 72, 1742 (2001))

Infrared (IR) Spectroscopic Ellipsometry

Vibrational atomic bonding responds to the infrared light, so that infrared spectroscopic ellipsometry (IRSE) can determine film composition [14]. One of the advantages of IRSE is that the absolute value of absorption for each frequency can be evaluated. For example, if there are two films with different thicknesses, it would be hard to compare directly with absorption using

Fourier transform infrared spectroscopy (FTIR). In addition, IRSE measures the film at several angles, so that it can decompose each property in a stack of films.

Infrared light is penetrating most of the substrate materials(the silicon wafer); thus, in a long wavelength regime > 4 µm, the signal was very noisy due to the multiple reflections from the backside of the wafer if the silicon wafer is coated with 1000 Å-thick thermal oxide. To get rid of this noise, a metallic coating to the substrate can be used, similar to reflection absorption infrared spectroscopy (RAIR).

PECVD silicon dioxide can be achieved by mixing silane, nitrous oxide, and helium

(SiH4-N2O-He) [15, 16]. The silicon dioxide film was deposited on top of the RF sputtered

titanium, and analyzed, as shown in Figure 2-12 (left). The bending mode of Si-O-Si was 22

observed 808 cm-1; the bending mode of Si-OH at 950 cm-1 was not significantly observed due to

the helium bombardment; and the stretching mode of Si-O-Si appeared at 1039 cm-1

[17].Decreasing an amount of silane (SiH4) with respect to nitrous oxide (N2O) and helium (He), the Si-OH peak position at 950 cm-1 was decreasing and the Si-O-Si peak position at 1039 cm-1 was increasing. Thus, it proves that weak bond of Si-OH can be removed at very small amount (<

0.7 sccm) of silane flow condition.

PECVD silicon nitride can be achieved with mixtures of SiH4-N2-Ar, SiH4-N2-He or

-1 -1 SiH4-NH3 [18, 19]. The TA and TO peaks exist at 160 cm and 480 cm , respectively, in the Si-

Si network [20], but the measurement is limited to show only one peak at 480 cm-1. See Figure 2-

12 (bottom). The main absorption spectra shows the stretching vibrational Si-N peak at 830 cm-1,

and the shoulder side at 1180 cm-1 corresponds to the Si-O-Si bridges due to the partial oxidation

of extra Si [21, 22]. In addition, the small absorption at 2140 cm-1 shows the existence of a Si-H

stretching vibration mode. As the silane ratio increases, so does the Si-H mode [23].

Figure 2-12. PECVD silicon dioxide deposited on the RF sputtered titanium (top), and PECVD silicon nitride deposited on the DC sputtered chromium (bottom). 23

Real-time Spectroscopic Ellipsometry (RTSE)

Hydrogenation in PECVD silicon deposition grows films inhomogeneously, and ex-situ ellipsometry can tell if the film is entirely amorphous or if it has a small deviation in the refractive index, indicating the film contains microcrystalline component. However, ex-situ neither tracks the film growth nor shows at which thickness it started to have microcrystallinity. It just shows a different refractive index component if there is a microcrystalline fraction.

Figure 2-13. Amorphous to microcrystalline transition depends on the hydrogen dilution ratio.

The three-chamber PECVD system had a 5-inch diameter shower head, so installing a

real-time spectroscopic ellipsometry with the J. A. Woollam Co., Inc.’s M88 with a very large

incident angle of 82º was difficult. To avoid a significant modification of the pumping line and

the chamber, a wedge was designed and successfully built into chamber B. Model M-88 has 1-cm

diameter beam spots, which results in a large area of measurement coverage with a 1/sin(82º)-

long ellipsoid, as shown in the Figure 2-14.

24

Figure 2-14. Beam spot on the detector side window (left) and beam on a 4 by 4 inch groove in the 6-inch substrate holder (right)

Figure 2-15 shows a cross-section of the chamber. A light source of the cross-section was installed on the left side, and a detector was installed on the right side: the detector was installed in the front side in the picture.

unit: mm Shower head

8 degree

Figure 2-15. Cross-section of three-chamber PECVD system (left) and aphotograph of the chamber (right)

M88 spectroscopic ellipsometry can collect data every second. After deposition, dielectric functions can be modeled with estimated thickness and fitting parameters, and then converging algorithm in the software fits the model parameters to the experimental values.

CompleteEASE software® supports real-time data analysis, so that experimental data of psi and

25

delta is fit to each time slot. Figure 2-16 (left) shows psi data as a function of time elapsed, and ten time slots are picked up for sampling to extract the complex dielectric function, as shown in

Figure 2-16 (right).

Figure 2-16.The PECVD chamber was monitored byreal-time spectroscopic ellipsometry (RTSE). Psi at the five energy levels was plotted as a function of time elapsed (left), and spectral data can be fitted at the given time slots by using CompleteEASE software®. The deposition condition was R = [H2]/[SiH4] = 15, D = [PH3]/[SiH4] = 0.019 (Lot ID: RTSE #27): Tauc-Lorentz oscillator parameter was amplitude = 214.03, broadening = 2.648 eV, resonance energy = 3.690 eV, and band gap = 1.610 eV.

Computer-controlled PECVD gas/power control

Hydrogenation process generically makes film deposition inhomogeneous in a constant

deposition condition, as introduced previously. The key idea is, if the deposition condition varies

with time elapsed, a homogeneous film or a specific crystalinity of films could be achieved. Since

one of the solar cell communities reported that a protocrystalline phase offers the best conditions

for the solar cell devices, this kind of approach with RTSE would be valuable.

26

30min, 500A 1min, 80A 10 ~ 30 sec / each step 30min, 500A

Test scheme Target process

Figure 2-17. Schematics of film deposition

Computer control facilitates multiple stacks of layers, i.e. ALD, so the RF power (RFX-

600) and MFC readout (MKS 247) were connected with a computer through the Data Acquisition

(DAQ) board. Ultimately, the LabVIEW program was controlling the RF power on/off and 0-600

W as well as SiH4 0-20 sccm; PH3 0-6 sccm; and H2 0-300 sccm of gas flow. After changing the

gas flow in the actual process, a throttle valve automatically moved toward the pre-set process

pressure, so an extra buffer time around 10~20 seconds were needed for stabilizing the pressure

before the power started.

27

RF power (RFX - 600) MFC readout (MKS 247)

Analog: 0 – 5 V for Set pt. input Digital:On/Off 0 – 15 V CMOS Analog: 0 – 5 V for Power

Analog output:16 (- 10 – 10 V) Digital output:8 (0 – 5 V) DAQ board (NI PCI - 6703)

Figure 2-18. RF power supply and MFC readout connected to the data acquisition board, and controlled by LabVIEW program

Figure 2-19 shows a LabVIEW control panel for the programmed sequence. For example, at the first step, gas flows of 3 sccm of SiH4, 3 sccm of PH3, and 60 sccm of H2and 15 watts of

RF power was commanded by LabVIEW. Next, 1 sccm of SiH4, 1 sccm of PH3, and 200 sccm of

H2 without power was commanded at step 2, to allow the pressure to stabilized. Step 3 then

commands 50 watts of RF power at the same flow of gas. This repetitive procedure was built in

the program.

28

Figure 2-19. Deposition conditions of mass flow control (SiH4, PH3, H2) and RF power by LabVIEW program

29

Chapter 3

Strain sensor and a-Si:H TFT Array on a Flexible Substrate

Overview

Strain sensors on flexible substrates can detect the shape and strain in unusual applications such as microsurgery, artificial skin of robots, and monitoring for aging aircraft [24].

Typically, thin film semiconductors provide higher strain gauges than those of metallic foils.

Moreover, large sheet resistance enables a reduction in the size and power consumption of the device [25]. For these reasons, heavily-doped microcrystalline silicon strain sensors were developed into a pixel array type.

Each pixel of the array had one Wheatstone bridge sensor, which was made from heavily- doped microcrystalline silicon, and the pixel outputs were collected through the two bottom-gated hydrogenated amorphous silicon (a-Si:H) thin film transistors (TFTs) in the array. Each column shared the output lines, so that the rows of outputs were controlled selectively by gated rows, as shown in the Figure 3-1.

30

60 um thick 1140 um Block longitudinal2140 um pitch : 850 um 850

Block lateral pitch : 1500 um

Figure 3-1. Schematics of the 2-by-2 sensor array: the gate inputs were applied to the row direction, and differential outputs were collected to the column direction. The pixels in the column direction shared the differential output lines.

These sensor arrays were fabricated directly on a flexible Kapton polyimide film. To facilitate the fabrication, the films were adhered to a hard glass substrate, by a silicone gel. After the fabrication process was completed, the Kapton sheet was peeled off from the silicone gel with very thin wire to minimize stressing the film.

Figure 3-2. 32-by-32 pixel sensor array on a Kapton substrate after it has been peeled off from the hard substrate

Additional circuitry was needed to test the fabricated sensor arrays due to a need of the

higher voltages to drive the TFTs. A conventional data acquisition board, the National Instrument 31

(NI) 6229 data acquisition (DAQ) board only supplies 5 V outputs, and LM348N chips were added to increase the output voltage from 5 V to 30 V. Also, Analog Devices 8221 amplifiers were used for buffering the differential output voltages. Those 8-, 16- or 32-column output voltages were collected at one time, depending on the size of the arrays, through the DAQ board, which was programmed with LabVIEW or other analysis tools. Thus, it was concluded that the strain direction and magnitude can be determined by the array set of voltage outputs.

Sensor Part: Strain and Whitestone Bridge

In accordance with Hooke’s law, σ = E ε, stress causes thin films to deform. This can

result in an electrical resistance shift if a wire is deformed. For example, if a μc-Si:H beam is under tensile stress, the length will increase, but the width and the thickness decrease to maintain the same volume. Consequently, the strain or the physical change may transform into the electrical resistance shift. Commercial Vishay strain gauge uses these characteristics, as shown in

Figure 3-3(left). Applying the structure in the Wheatstone bridge, our initial version of the strain sensor was constructed as shown in Figure 3-3(right).

Figure 3-3. Commercial Vishay strain sensor (left) and the previous version of strain sensors where the Wheatstone bridge circuit is imbedded into a Vishay strain sensor (right)

32

One of the reasons for developing a semiconductor thin film strain sensor is that it has a higher strain gauge factor than a metallic foil. The strain gauge factor, G, is defined by a ratio of voltage response to a given strain:

VV 0.1VV 5 G  20 (2-2) 1000

The typical value of metallic foil strain gauge is known as 2 – 5, while the microcrystalline sensor

can provide up to the range of 50 – 150 [26].

The resistance modulation from a μc-Si beam is utilized for stress measurement using a

Wheatstone bridge, which consists of four identical μc-Si resistors formed in a square. The

Wheatstone bridge converts the electrical resistance into an output voltage. When a bias voltage

is applied across the square as depicted in Figure 3-4, and all four resistors are equal, the bridge is

said to have zero-differential output; this is due to its symmetry. However, if the symmetry is

broken due to a deformation, a nonzero output voltage is produced. This directional symmetry

and asymmetry allow us to determine the strain direction. Thus, the differential output voltages

from the type of sensor placement can indicate the amount and the direction of the strain.

Unit: ΔL / L (microstrain)

Figure 3-4. Strain deformation (left) and how the Wheatstone Bridge detects the directional strain (right)

33

Flexible substrates: Polyimide Kapton

At present, flexible substrates are receiving a lot of attention since they are light and portable and because the device on a flexible substrate is applicable to any shape [27, 28]. The initial test for the substrate can be the surface roughness to ensure that there is no significantly deep pinhole in the dielectric layer which could cause the circuit to be shorted. A significantly deep pinhole appears in Figure 3-5(left). If the Kapton film is too thin, the device is affected by the silicone Gel-pak under the Kapton as shown in Figure 3-5(right).

Figure 3-5. A microscope picture of deep pinholes on the surface, 300HN (left).The silicon Gelpak causes a large range of roughness if the Kapton is too thin (right)

Upilex-S 125-micron(5-mil) thick polyimide was chosen because (1) this material has a

relatively smooth surface compared to most other polyimides; (2) such a thick layer was not

affected by the adhesive layer, silicone Gelpak, as shown in Figure 3-5(right), and (3) the bending

curvature from the thicker film offers a larger strain gauge.

34

(Upilex-S) RMS roughness 14.0Å, peak-peak 276Å (/20um) (Kapton “A”) RMS roughness 15.8Å, peak-peak 134Å (/1um)

(200TAB-E) RMS roughness 29.8Å, peak-peak 517Å (/20um) (Kapton “B”) RMS roughness 26.4Å, peak-peak 241Å (/1um)

Figure 3-6. Several commercial polyimide products were evaluated with AFM (Among the films, Upilex-S 5 mil (= 125 µm) was the most suitable for the substrate.)

Mask design

Our actual sample size was expected to be a 4-by-4 inch square, as shown in Figure 3-

7(left), so the maskset was designed onto 5-by-5 inch masks as shown in Figure 3-7(right). The photolithography maskset included six layers: (1) metal-1, (2) tri-layer, (3) via, (4) n+ microcrystalline, (5) thin molybdenum contact, and (6) metal-2.

Figure 3-7. 4-by-4 inch square actual sample fabricated on polyimide substrate (left) and the 5- inch mask design (NextGen version 4.05) (right) 35

The fabricated sensor was shown after the six-layer lithography layers. The sensor pixel has two types of sensitivity direction in a Wheatstone bridge orientation, as shown in the Figure

3-8.

Figure 3-8. Fabricated sensor array and Wheatstone bridge

Sample Fabrication

The first step was the lamination of the Kapton substrate to a glass carrier. Then, the semiconductor, dielectric, and metal layers were deposited, and photolithographically patterned, and etched by wet or dry etching techniques. After the whole process, the sample was detached from the glass carrier for characterization. Figure 3-9 shows the cross-section of the devices which includes a TFT / n-microcrystalline sensor / crossover / metal1 – metal 2 contacts.

36

Figure 3-9. Cross-sectional view of the total layers: bottom-gated a-Si:H thin film transistor with n+ heavily-doped µc-Si:H padded contacts, and the n+ heavily-doped µc-Si:H sensor

Kapton Lamination and Surface Preparation

For a number of reasons, the Kapton substrate on which the devices were fabricated must be mounted to a glass carrier. The primary reason is that the fabrication process requires a rigid substrate, and the other is that the Kapton is needed to decrease its expansion and contraction in response to environmental moisture and solvent exposure. Once, the Kapton was accidentally delaminated during the metal 2 step before the exposure, and the Kapton shrank so that the alignment was 30 um out of the position at one inch distance from the center of the wafer. The stability of the Kapton was greatly improved by using a pre-bake step prior to lamination. The

Kapton sheets were wrapped individually in aluminum foil so they would not scratch each other when they were stacked up, and kept in a vacuum oven at 250 ºC for at least 24 hours prior to use.

In addition, to make better adhesion between the Kapton and silicone Gelpak, the Kapton facing the silicone was treated with oxygen plasma for 2 minutes.

37

The carrier glass was first cleaned with piranha (H2SO4:H2O2 = 4:1) to remove organic

contaminants that would decrease adhesion. Kapton polyimide was bonded temporarily to a four-

inch glass carrier by means of a tacky silicone adhesive and a differential pressure laminator. First,

a silicone sheet was laminated to the carrier glass, followed by the lamination of pre-baked and

plasma-treated Kapton onto the silicone. In this phase, the best results were achieved with

silicone sheets purchased from Gel-Pak, DGL-60-X4. The Kapton was laminated to the silicone

using 10 minutes of vacuum dwell, followed by two minutes of pressure dwell. Shorter vacuum

dwell time might cause the generation of bubbles between the silicone and Kapton during the

subsequent 250ºC PECVD processing and under the high vacuum system, ~ 10-6 Torr. The generation of such bubbles negatively affects material deposition due to the non-uniform substrate temperature.

Once the Kapton is mounted to the carrier glass, the surface is ready to be prepared for fabrication. As a first step, the Kapton is treated with oxygen plasma for two minutes to increase the surface potential energy. The oxygen plasma used throughout this work is run in an RIE system running at a DC bias of -100 V with a 13.56 MHz RF power of 100 W, an oxygen flow rate of 100 sccm, and a process pressure of 100 mTorr. It has been found that the Kapton etch rate in this oxygen plasma is 10nm/min.

After the oxygen plasma treatment, a 250 nm-thick silicon nitride layer was deposited on top of the Kapton to protect the surface from exposure to moisture and solvents, and also to prevent surface damage during subsequent oxygen plasma exposures. The silicon nitride was deposited in a multi-chamber load-locked PECVD system using 8 sccm of silane and 40 sccm of ammonia, at a pressure of 500 mTorr, an RF power of 12 W (~88 mW/cm2), and a nominal temperature of 250 ºC for 10 minutes.

38

Bottom gate (Chromium, Metal-1) Deposition and Patterning

On top of the base nitride layer, a 200 nm-thick layer of chromium was DC magnetron sputtered under an argon ambient at 8mTorr and with a current of 350 mA on a 5 inch target. The voltage corresponding to this current was approximately 300 V, and the deposition rate was

20nm/min. The sputtered Cr layer was patterned by lithography. AZ 1813 resist was used, which was mixed in the laboratory and was composed of 32 % PGMEA and 68 % AZ 1827 resist. The resist was spun at 4000 RPM for 30 seconds, yielding an approximately 1.3 µm thick layer. The sample was soft-baked for 90 seconds at 90 ºC and exposed in a g-line Karl Suss Aligner MA150.

The MA150 provides a proximity alignment, so that the surface can avoid being scratched by contacting the hard surface. The resist was then developed in Microposit 351, which was diluted

3.5:1 with DI water for approximately one minute. After using an optical microscope to inspect for alignment or other defects, the resist was hard-baked at 110ºC for 5 min. Then, the oxygen plasma was treated for 1 minute to descum the residue of photoresist at the exposed area. The Cr layer was etched in a Ceric ammonium nitrate (CAN) and nitric acid (HNO3) mixture, 1g CAN +

25 ml D.I. water + 5 ml HNO3. Then the photoresist was removed by acetone and IPA and oxygen plasma treatment.

Figure 3-10. Cross-sectional view at the metal-1 step: the bottom gate for a-Si:H TFT and the bottom metal line were formed.

39

Tri-Layer Deposition and Patterning

After patterning the metal-1 layer, the bottom silicon nitride layer was deposited onto the sample at the same PECVD chamber that deposited the base silicon nitride. Tri-layer deposition began with a bottom silicon nitride layer 300 nm thick, using the same deposition recipe as the base silicon nitride. After the first bottom nitride (it works as agate dielectric), the pressure in the

chamber was brought back down to the low 10-6 Torr range before deposition of the 50 nm-thick

hydrogenated amorphous silicon (a-Si:H) active layer. The a-Si:H was deposited using 9.5 sccm

of silane and an RF power of 44 mW/cm2. The same temperature (250 ºC) and pressure (500 mTorr) used in the silicon nitride recipe was used in this process. The deposition rate was 0.64

Å/s. After the a-Si:H layer deposition, the system was re-evacuated, and the 300 nm thick top silicon nitride layer was deposited.

Patterning of the tri-layer consisted of two etching steps. The first step was to define lithographically where the full tri-layer would be, and the rest of the area will be etched by the bottom silicon nitride. After resist patterning, the top nitride layer was etched using a10:1 buffered oxide etch (BOE) that was again diluted 5:1 with de-ionized (D.I.) water. The silicon nitride etch rate in this solution was 300nm/min. The underlying a-Si:H was not etched by BOE and acted as an etch-stop layer. Also, silicon nitride is hydrophilic while a-Si is hydrophobic, so completion was easily judged by looking at the de-wetting properties of the sample surface. Once the top nitride was etched, the exposed a-Si:H was etched in a solution of 22.5 g of KOH dissolved in 200 mL D.I. water. This strong base stripped the resist immediately, but the top nitride layer acted as a hard mask for the etching. Completion of the etching process was judged by the visible disappearance of the highly reflective a-Si:H layer. The etch rate of a-Si:H in this solution was 16 nm/min.

40

Figure 3-11. Cross-sectional view at the tri-layer step: tri-layers for a-Si:H TFT and crossover dielectric were formed, while the rest of area was left on the bottom nitride.

Via Patterning

At this point, areas of the tri-layer where TFTs and metal-1/metal-2 crossovers would be

were defined, and the rest of the sample surface was covered with a bottom nitride layer. The via lithography step defined the source and drain contact for the a-Si:H TFT (top SiNx), and the

metal-1 to metal-2 contact (bottom SiNx). Since the via etching process was applied to the top silicon nitride and the bottom silicon nitride at the same time, the thickness of the top and bottom silicon nitride should be the same, as shown in Figure 3-12.

After patterning the resist, the vias were etched using the same diluted BOE solution that was used in the previous step. After etching the top and bottom silicon nitride, the resist was stripped with acetone and IPA, and then oxygen plasma was used for two minutes to remove resist residue. The oxygen plasma was critical; without it, the microcrystalline silicon layer deposited subsequently would suffer from the bad contacts with the amorphous silicon.

41

Figure 3-12. Cross-sectional view at the via step: via for the top nitride and bottom nitride were formed at the same time.

Thin Molybdenum / n+ Microcrystalline Silicon Deposition and Patterning

Before the microcrystalline silicon (μc-Si) can be deposited, the a-Si:H surface must be stripped of its native oxide to improve the quality of the TFT contact. The sample was immersed in the diluted BOE for 5 sec, rinsed thoroughly, and blown dry. Then, it was immediately loaded into the PECVD system for n+ μc-Si deposition to minimize re-growth of the native oxide. The n+ μc-Si was deposited using a temperature of 250 ºC, a pressure of 1 Torr, and a power density

2 of 550 mW/cm ; source gas flows were 0.7 sccm of SiH4, 0.1 sccm of 3.86 % PH3 in SiH4, and

200sccm of H2. Note that the PH3was actually 3.76% PH3 diluted in SiH4 in the bottle. The

deposition rate was 2.7 nm/min.

After deposition, the sample was withdrawn from the deposition chamber into the load

lock. It was maintained under vacuum until it was fully cool, more than one hour, at which point

it was removed from the PECVD. Then, the sample was again immersed in diluted BOE for

5seconds to remove a native oxide or improve contact quality, and placed immediately into a

sputter vacuum system. At the base pressure of low 10-6 Torr range, a 100-nm-thick layer of

42

molybdenum was then sputtered on the sample under an argon ambient, with a pressure of 15 mTorr and a voltage of 300 V, and the corresponding current of 350 mA. The deposition rate was approximately 20nm/min.

After the molybdenum was deposited on the n+ μc-Si:H, a lithography step was performed to protect the areas of the molybdenum where it would make contact with the underlying μc-Si:H. The remaining molybdenum was wet etched to expose the n+ μc-Si:H

underneath it. The etching process was performed in a solution of 2:3:6 HNO3:H3PO4:D.I.water.

Once the etching process was complete, the resist was stripped with acetone and IPA and cleaned with oxygen plasma for one minute. Then, another lithography step was defined for n+ μc-Si:H sensors to be formed. The remaining n+ μc-Si:H was dry etched in a magnetically-enhanced reactive ion etch (ME-RIE) system using gas flows of 50 sccm of CHClF2 and 26 sccm of O2, a power of 100W (~ 250 mW/cm2), and a pressure of 30mTorr for 5 minutes. This recipe etched

μc-Si at approximately 12nm/min, while not significantly etching the underlying silicon nitride.

When the etching process was complete, the photoresist was stripped using the usual sequence of

acetone, IPA, and oxygen plasma. Completion of the etching process was confirmed by

electrically testing the n+-to-n+ surface leakage structure. At this point, discrete TFTs could be

tested by probing directly to the source and the drain, both of which have a small area of

molybdenum where contact to the underlying n+ μc-Si could be made.

43

Figure 3-13. Cross-sectional view at the contact step: a n+ microcrystalline bridge for the Whitestone bridge strain sensor, and a-Si:H n+ contact were formed at the same time.

Thick Molybdenum

The final step in completing a functioning sensor array was to deposit and pattern the metal-2 layer. Molybdenum was again sputtered as before. The metal-2 layer was targeting

300nm-thick instead of 100 nm-thick, so that the thicker layer thickness reduces the electrical resistance of wires. The metal was patterned lithographically and wet etched, and the resist was stripped using acetone, IPA, and oxygen plasma as usual.

Planer views for the previous steps are illustrated as shown in the Figure 3-14.

44

(a) (b) (c)

(d) (e)

Figure 3-14. Planar view for the one strain sensor pixel: (a) after the base nitride deposition, chromium sputtered and patterned (b) after tri-layer deposition, top nitride and a-Si:H patterned, (c) via etch, (d) after n+ microcrystalline and thin molybdenum deposition, contact area patterned, (e) after thick molybdenum deposition, metal-2 line patterned.

Room temperature nitride or Parylene Passivation

At this point, the array was completed except for passivation. However, the exposed thin films were very susceptible to damage. Therefore, a silicon nitride layer was deposited as a hard- protect layer. The array input/output pins could not be covered by the insulating nitride, so a shadow mask was used to allow deposition only on the sensor arrays. This deposition was done in an unheated PECVD reactor that used an atomizer to dissociate N2 molecules as the nitrogen

source, instead of the NH3 gas used in the base nitride and tri-layer. The deposition pressure was

300 mTorr, the gas flows were 15 sccm of SiH4 and 100 sccm of N2, the atomizer power was

45

150W, and the main RF power was 600 W. A 5000Å-thick layer silicon nitride was deposited at room temperature for 5000 seconds. The deposition rate was 1 Å/sec.

Room temperature passivation involves using the incorporation of the atomizer concept from the Ionic Systems. This concept allows the use of pure nitrogen in the reaction rather than ammonia. Using the following equations, we ensured that half the amount of excess hydrogen was incorporated into the film:

3 SiH4 + 4 NH3 = 1 Si3N4 + 24 H

3 SiH4 + 2 N = 1 Si3N4 + 12 H

The problem in using nitrogen for this reaction is the high binding energy of the nitrogen of 222 kcal/mol, whereas the Si-H binding energy in silane is 68 kcal/mol, and N-H in ammonia is 72 kcal/mol. Thus, the deposition system requires a separate pre-chamber to be used to dissociate the nitrogen before mixing the silane. In this pre-chamber, called an atomizer chamber, excessive dissociation energy does not damage the fabricating device during deposition.

Another way to achieve safe passivation was to deposit Parylene instead of atomized silicon nitride. Parylene is a conformal protective polymer. Typically, the process line from powder-type dimer Parylene is: 1) vaporizer, 2) pyrolysis, 3) deposition chamber, and cold trap / mechanical pump. At the molecular level a dimer form is converted into gas under a vacuum, pyrolized to cleave the dimer, and finally deposited as a clear polymer film. A cold trap is used to protect the mechanical pump from the residue of reactants. Three types of Parylene dimers were used, as shown in Figure 3-15.

46

Figure 3-15. Three types of Parylenes and their applications

(a) (b) (c)

Figure 3-16. (a) Parylene deposition system, (b) inside of the deposition system, and (c) AFM pictures from Parylene “N”: Rpeak-peak 208 nm, RMS roughness 23.9 nm, average roughness 18.7 nm.

ACF Bonding

The final step of fabrication was to bond ribbon cables to the input/output pins of the

sensor arrays. The pins on the sample are 5mm long and 250 μm wide, and they are spaced 250

μm apart. A flexible ribbon cable that used an anisotropic conductive film (ACF) was aligned

manually to the pins under a microscope and bonded with a Hughes heat press. The ACF line,

referred to as a “heat seal connector,” was obtained from Elform Inc.; the suggested bonding

parameters were a pressure of 35~45 kg/cm2, a bonding period of 7 ± 2 seconds, and temperatures

47

of 160 ~ 180 ºC. The press was heated to 180ºC for 10 seconds with a pad of thermally conducting rubber while pressure was applied; to ensure that the epoxy bond was appropriately set, it was allowed to cool to 80 ºC before the pressure was removed. The opposite end of the

ACF ribbon cable was bonded to an FJH-25-D-06-4 ribbon cable made by Samtec, Inc. The ACF cable, Samtec cable and sockets on the characterization board had the same pitch, line width and spacing as metal pins on the sensors, so that sensor-ACF cable-Samtec cable-socket to the characterization board had parallel connection. Figure 3-16 shows the sensor array bonded to the cables and ready for plugging into the socket from the characterization board.

One of the delicate processes was the ACF to ACF bonding for the press. The heat seal

connector was designed for bonding directly to the metallic surface. The ACF layer consisted of an insulating layer with metal-coated PMMA bowls in it. When the cable was heated and pressed,

the insulating layer got thinner and the bowls were connected to one surface and the conductive layers from the cable. In the case of the two ACF layers as shown in the Figure 3-15, bonding required twice the amount of pressure or a much higher temperature. Otherwise, one side of the

ACF cable must be peeled off by acetone or N-methyl-pyrrolidinane (NMP).

Pressure & Heat

ACF layer conductive layer Plastic

Figure 3-17. Shown here is an 8-by-8 sensor array bonding to an ACF cable.

Sensor and array characterization

Characterization can be classified by individual layers; the interfaces between them, thin

film transistors, and strain sensitivity for the legs. Strain sensor array consists of the combination 48

of several components, so that one defective step of the components may lead to the failure. Thus, it is important to design appropriately to find all the possible errors or put the test vehicles into a mask design in advance.

Layer characterization

It was necessary to place the test vehicles right beside the actual sensor arrays, as shown in Figure 3-17. The test vehicles were designed to facilitate the evaluation of each layer, structure,

and process properties that would be difficult or impossible to measure otherwise. In addition, the

component was designed to be the exact same size as the sensor array structure, which allows

troubleshooting in the event of failures. The test vehicle had sheet resistance measurement

features for the metal-1, metal-2, and n+ μc-Si:H layers; surface leakage measurements for the

metal-1, metal-2, and n+ μc-Si:H layer were also assessed to see if the etching process was

properly completed. There are also as same-sized TFTs and vias as those in the strain sensor array.

Also, the 736 crossovers between metal-1 and metal-2 help us to see the leakage current through

the dielectric structure. The set also has the capacitance measuring pattern and the transmission

line method (TLM) pattern.

49

Figure 3-18. Test vehicles in the test block placed at the edge of the sensors: metal conductivity, metal surface leakage, capacitance, vias, TLMs, various sizes of TFTs, 736 crossovers, thickness monitors, resolution checkers

Each of the layer materials or contact information can be extracted from test vehicles in the mask designs, which were formed during the fabrication process. The thickness of the layers

was measured by a profilometer. Several structures facilitated the measurement of the sheet

resistance for the metal-1, metal-2, and n+ μc-Si:H layers; the dielectric properties of the gate insulator, i.e., silicon nitride dielectric constant, as a capacitor structure whose area is 2mm2,and

the leakage current through the tri-layer stacks. Average material characterization data are

included in Table 4-1.

Metal 1, Chromium1500Å 14 Ohm/sq., 2.2×10-4 Ω-cm Surface leakage 1.2×1014 Ω /sq.

N+ microcrystalline600Å 12.9kΩ /sq., 6.4×10-2 Ω -cm

Metal 2, Molybdenium3000Å 3.3 Ω /sq., 9.9×10-5 Ω -cm Surface leakage 5.9×1013 Ω /sq.

Crossover 1.47×1013 Ω /crossover (scaled from 736 crossovers)

Table 3-1. Layer thickness and film characterization (from Lot ID # 87)

PECVD silicon nitride (SiNx)

PECVD silicon nitride is deposited from silane (SiH4) and ammonia (NH3) precursors [23,

29]. Adding nitrogen may improve the quality of PECVD silicon nitride since nitrogen generates

less hydrogen so that it has little N-H bond. Also, the N atom is more electronegative than a Si

atom [30], so that interface charge at the gate dielectric do not shift threshold voltage of the TFT.

It is also possible that adding nitrogen may control the mechanical stress from the composition

ratio [31]. Lastly, the RF power and substrate temperature have been reported to play an

important role on the bonding network [18].

50

The silane to ammonia ratio was evaluated thoroughly in our experiment. The optical

refractive index in the silicon nitride and the growth rate increased as the silane gas ratio increased, as shown in the Figure 3-18. As the ratio of silane to ammonia increased, the etching

time increased exponentially. During etching in all the conditions, bubbles were shown where silicon nitride was being etched in the BOE, and if the ratio exceed 40:6, too many bubbles were generated, so the point that the bubbles hindered etching and a residue remained due to the non-

uniform etching.

Figure 3-19. PECVD silicon nitride test structure (left) and refractive index measured by spectroscopic ellipsometry

For electrical characterization, a metal (Cr) – SiNx– metal (Mo) structure was made, and

the leakage current density and relative dielectric constant were extracted from I-V, C-V, and

thickness information from spectroscopic ellipsometry, as shown in Figure 3-19.

Recipe 1:1 1:3 1:5 1:10 Growth

NH3:SiH4 Rate (a) 40:2 < 5 s 8~9 s 35 s 5.0 Å/s (b) 40:4 10 s 35 s 45 s 1 m 20 s 6.1 Å/s (c) 40:6 45 s 2 m 7.5 Å/s (d) 40:8 1 m 30 s 5 m 8.7 Å/s Too many bubble to etch

51

Figure 3-20. Several ammonia to silane compositions of PECVD silicon nitride etching rate test depending on the BOE dilution ratio from 1:1 to 1:10 (left) and corresponding electrical measurement of leakage current and dielectric constant of those films (right)

The roughnesses of silicon nitride films have similar peak-to-peak values regardless of the gas ratios, as shown in Figure 3-20. With an increasing silane ratio, the film looks more clustered so that it has a decreased probability of pinholes. Overall, the highest silane ratio that the etching process allows was recommended. Therefore, a 40:4 ammonia to silane ratio was chosen.

(a) NH3 (40 sccm), SiH4 (2 sccm) (b) NH3 (40 sccm), SiH4 (4 sccm)

(c) NH3 (40 sccm), SiH4 (6 sccm) (d) NH3 (40 sccm), SiH4 (8 sccm)

Figure 3-21. PECVD silicon nitride was deposited at several mixed gas ratio conditions and measured at AFM: (a) Peak-to-peak roughness 303 Å, RMS roughness 36.9 Å, RAvg 28.1 Å, (b) Peak-to-peak roughness 380Å, RMS roughness 34.7 Å, RAvg 25.1 Å, (c) Peak-to-peak roughness 358 Å, RMS roughness 38.5 Å, RAvg 29.5 Å, (d)Peak-to-peak roughness 275 Å, RMS roughness 20.7 Å, RAvg 16.0 Å.

52

Amorphous silicon thin film transistors (a-Si:H TFTs)

Using amorphous silicon (a-Si:H) TFTs in the array formation allowed us to choose pixels for row selection and isolation, due to its extremely low off-current. When one row in the array is selected (i.e., the one gate is turned on) while the other rows are off, then the output is following the turned-on row. Thus, it is important to evaluate the a-Si:H TFT functionality.

However, it is hard to evaluate a TFT individually in the sensor array since each TFT is connected with other TFTs in the array. Thus, discrete TFTs were included with the test structures, i.e.: several shapes of TFTs including the identical size as in the sensor array. The transistor was sized with a 70 μm width and 30 μm gate length, and the structure was a conventional bottom-gated thin film transistor. Chromium was used as the gate metal, and 300 nm-thick PECVD SiNx was used as the gate dielectric. In addition, n+ microcrystalline and molybdenum were formed for source and drain contact.

53

Figure 3-22. Discrete TFT evaluation, Id-Vg and Id-Vd curve: W/L = 70/30, mobility = 0.25 cm2/V-s, Vth = 1.6 V (from Lot ID # 87)

Readout circuitry for sensor array

To facilitate the measurement of the sensor array, a combined circuit board was built using op-amps for the gate drive and the differential amplifier for output voltage. The National

Instruments (NI) 6229 data acquisition (DAQ) system can produce output voltage signal up to 5

V, but the a-Si:H TFT requires 30 V turn-on voltage. The LM348 op-amp is used to amplify the

NI-6229 output to either -2 V or +30 V for gate bias, so that a-Si:H TFTs in the array can be turned on and off. In the array test, the other rows, except for the on-test row, must be turned off accurately; otherwise, the other rows of cells work as a noise source.

The characterization board is designed for up to a 32×32 array with an AD 8221 or with an UIUC amplifier circuit. The reason for using the amplifier is to reduce the number of outputs and to buffer the DAQ readouts with a unity gain. Since the Wheatstone bridge strain sensor array can make one pair of differential outputs for each column, in the case of a 32×32 array, the

64 differential output nodes would become 32 single-ended output nodes. In addition, 32 input nodes for the gate control and 31 bias nodes for the sensor bias are required to test the large array at one time.

The board functions quite flexibly in connection. The discrete sensor array does not have gate control, so it uses only bias and outputs. The 1-2-4 or 8 × 8 array uses only the first 8 pins. 54

Also, for both the AD 8221 and the UIUC amplifiers, the board allows the different output connectors to bypass one or the other.

Bias

Output Gate

Power Gate supply (board) Output

Figure 3-23. Sensor characterization board (lines of gates supply power to the on-test row of the sensor array; lines of biases supply sensor biases with ground and 5 V; and lines of outputs offer differential output voltages)

Discrete Sensor Characterization

To test the strain bridge independently from other variables associated with the sensor arrays, such as TFTs and crossovers, discrete sensors were investigated. Luke Bradley at

NextGen Aeronautics tested a single bridge strain sensor. By bonding the discrete sensors to a

55

carbon panel, those results were correlated to a Vishay strain gauge to establish the true strain being measured by the sensor. The sensor resistance between opposite corners was 200-274 kΩ,

and the test results of two trials are included in the Figure 3-24.

Four-point measurement Circuit board

Al2O3 rods Specimen Pusher block connected with linear- motor drive

Figure 3-24. Discrete sensor results for the sensor mounted to the carbon panel and flexed. Actual strain range is 0~1000 microstrain. Sensor bias voltage for this experiment was 3.84V. (Data courtesy of Luke Bradley at NextGen Aeronautics)

Also, eight discrete sensors were tested in real-time at four different strain conditions,

and a LabVIEW monitor was captured as shown in Figure 3-25. During a real-time monitoring,

the discrete sensors were bent to receive intermittently an unstrained (flat) and strained (at

approximately 900 microstrain), using a 3-inch diameter beaker surface. Depending on the sensor

direction, the symmetrically-bent pixels were insensitive and the asymmetrically-bent pixels were

sensitive with a voltage shift of approximately 0.1 V. During the measurement, the sensor bias

was supplied by 5V.

56

Lot 96 Simple discrete sensor

White Red Green 135 135 tensile Light Blue compressive

Yellow Purple Orange Dark Blue

90 90 tensile compressive

Figure 3-25. Eight discrete sensors without a-Si:H TFTs were tested under four different strain conditions. Depending on the sensor direction, the symmetric cell did not respond, while the asymmetric cell did respond.

Strain Array Data Collection and Analysis

Under each strain condition, the output voltages from one row were measured simultaneously, and swept to the next row. The nine strain conditions were flat, convex

(0º,45º,90º, and 135º), and concave (0º,45º,90º, and 135º). Each strain condition was achieved by pressing the films to the 3-inch, 4-inch, or 5-inch diameter beaker curvature surface, and for each strain condition, LabVIEW scanned from the first row to the last row automatically. Figure 3-26

(right) shows LabVIEW screen: every five seconds the gate voltage changes and LabVIEW scans each row at the given strain condition. Finally, as for the testing of the 8×8 sensor array, 9 strain conditions × 64 (= 576) voltage outputs were collected.

57

1 2 3 0°

45°

90°

Gate Output Bias 135°

1 2 3

Figure 3-26. Sensor array (8 × 8, on left) and screen view of output voltages (captured by Labview, on right).

To analyze the LabVIEW outputs effectively, the MATLAB was initially used for comparing the nine voltages for each pixel. The results were plotted indifferent colors, depending on the sensor direction, as shown in Figure 3-26 (left). In the figure, the red and blue indicate sensitivity direction, in contrast to the black, which was for flat or insensitive direction. For the square(□) pixel, 0º, 90º were sensitive and 45º, 135º marked the insensitive direction. For the

diamond(◊) pixel, 0º, 90º were insensitive and 45º, 135º were the sensitive direction. Thus, a pixel is determined to be successful only when the output voltages from the nine conditions are respond correctly: sensitive in four directions, i.e. tensile and compressive strain for 45º, 135º, shows voltage difference while the other four directions, i.e. tensile and compressive strain for 0º, 90º, and flat conditions have the same value as shown in Figure 3-27 (top).

Matt Smith from NextGen designed a JAVA program to analyze the results that included

a safety factor, as shown in Figure 3-27 (bottom). The screen shows good (blue) or bad (red) cells

58

more easily in this JAVA program. In addition, the safety factor warns whether the response is lower than the setting values.

Lot 96 1-2-4 #2

Red & Blue: sensitive direction Black: Insensitive direction

These cell was dead due to the safety factor.

Figure 3-27. For each pixel, 9 raw voltages from several strained condition plotted by MATLAB from Lot 96 1-2-4 array #2 (top) and the JAVA program output designed by Matt Smith from NextGen Aeronautics (bottom): blue pixel is turned out to be a good pixel for all the strained conditions, and the red is for a bad pixel.

59

Temperature susceptibility

The purpose of the sensor is to detect the strain under harsh conditions such as airplane body; it might need to work in various temperature conditions. One of the heat ovens in the lab, as shown in Figure 3-28, can provide uniform temperature distribution in it, so the sensor was required to be connected electrically into it. To connect the readout board with the sensor array in the heat oven, the ACF cables were required to be protected with Kapton tape so they would not short when the oven door was closed. Flat and convex strain conditions were investigated, and at each strain condition, the oven was heated up to measure output voltages after the temperature was stabilized.

Until the sensor was heated up to 160 ºC, the signal at the strained condition was different from the signal at the flat condition, as shown in Figure 3-29. Also, when the sensor was cooled with liquid nitrogen, discrete signals were produced at -65 ºC. Thus, the strain sensor was proved to be working between -65 ºC and 160 ºC, a result that was quite comparable to the working temperature range of the commercial Vishay strain sensor.

Figure 3-28. Hot oven used to evaluate the temperature dependence (Convex conditions with an approximately 1200 microstrain (left), flat (right))

60

Figure 3-29. Discrete sensors tested at approximately 1200 microstrain (Flat and strained conditions had voltage differences between -65 ºC and 160 ºC.)

Several array results

Using the JAVA program, several 1-2-4 arrays and 8 × 8 arrays were tested, as shown in the Figure 3-30. Since the one lot included two 1-2-4 arrays, two 8 × 8 arrays, one discrete

sensor set, and one 32 × 32 array, each of them was labeled separately. For each pixel, if the nine

voltage outputs were properly correlated with the strain conditions and pixel direction, then the

61

pixel was denoted as green. However, if any one of the voltage outputs was off-track, it was deemed a failure, and the cell turned red.

Figure 3-30. Test results for 1-2-4 arrays (Lot ID # 87-1, 2, # 85-1) and 8 × 8 arrays (Lot ID # 96- 2, # 97-2)

Troubleshooting in the arrays

Once the array was fabricated and metallization and passivation are complete, it is hard to test a separate component since the only open part of contact points are metal pins outside of the array (gate lines for all columns, output lines for all rows, and bias lines.) Assuming any of the lines are disconnected and the isolated part could be shorted, all the possible tests can be investigated: (1) one sensor bias line to the neighbor sensor bias line – 8 check points for 8-by-8 array, (2) two output lines for each column – 64 check points (= 8 outputs × 8 gate lines) for 8- by-8 array, and (3) each gate drive line to each output line, or crossovers – 272 check points (= 16 output lines × (8 gate lines + 9 bias lines) ).

62

Figure 3-31. Three checkpoints for array test (left), and breakout board connections for the test (right)

Also, one component failure leads to several abnormal behaviors for the outputs. For example, one of the shorted crossovers can cause defected outputs over all the sensors in one row.

Thus, one method of minimizing the defect is to cut the electrical line by a LASER. After exposing the spot to be cut, the electrical current is still flowing through the residue of the metal line at the 1st measurement, but the residue was soon burned and no longer flowing in a large

current after the 2nd measurement, as shown in Figure 3-32.

Figure 3-32. SEM picture and microscope images of the laser exposed area: once shooting exposure, electrical stress can burn the residue of the line completely.

63

Integration with UIUC amplifiers

Our PSU strain sensor array works from the connection part of a LM348 op-amp, and a

UIUC amplifier which was intended to replace the op-amp. UIUC Dr. John Roger’s group designed and printed amplifier circuits by stamping to increase the output impedance. Figure 3-32 shows the different metal line masks and how to connect the strain sensor array and amplifier.

Since UIUC fabricates the circuits by stamping on a polyimide substrate, there is another possibility to print directly on our PSU substrate as long as our passivation surface is smooth enough.

UIUC amp. bonding test

Penn State substrate

Differential output (to amp) Ribbon cable

UIUC Ribbon substrate Cable Ribbon UIUC Gate Single- Sensor cable to Amplifiers ended Amplifier bias Power computer outputs

Figure 3-33. Schematics of ACF bonding UIUC amplifier on PSU strain sensor array, and metal pin configuration: the UIUC amp converts differential outputs to single ended outputs.

64

Conclusions

An amorphous silicon thin film transistor array and microcrystalline strain bridge was successfully formed on the 4-by-4 inch polyimide flexible substrate. Using a Wheatstone bridge circuit made from n+ microcrystalline silicon, a strain response of ~ 900 microstrain was converted into a voltage output, ~ 0.1 V. Array voltage outputs are collected through the circuit board, and using a LabVIEW program, the results can be calculated. In our PSU 4-by-4 sensor array (ID # 87-2), all the pixels were successfully responding for all nine strain conditions. The strain sensor was shown to operate between -65 ºC and 160 ºC.

65

Chapter 4

Uncooled IR Microbolometers

Introduction to microbolometer devices

A microbolometer is used as a detector in thermal cameras, detecting the infrared range with wavelengths of 7.5-14 μm [32]. Several types of IR sensors have been developed based on resistive, pyroelectric, dielectric and thermoelectric responses. The resistive microbolometer, which is the most common type, consists of a temperature dependent resistor and an IR absorber

[33]. Once an IR incident wave strikes the absorber, the structure is heated, which changes its electrical resistance. During this temperature sensing process, the resistor should have a large temperature coefficient of resistance (TCR), so that a small temperature change will result in a significant change in the electrical resistance of the . In addition, the pixel structure requires thermal isolation for uncooled IR sensors, in order to increase sensitivity. Also, thermal conductance should be reduced to as low as possible by fabricating thermal isolation microbridges, as shown in Figure 4-1. By doing so, the need for cryogenic equipment to cool the pixels is eliminated, which reduces their cost and increases their portability significantly.

Figure 4-1. Schematics of a basic microbolometer (left) and an a-Si:H microbolometer pixel array (right) [34] 66

Microbolometer technology was developed originally by Honeywell in the late 1970s under a classified contract with the U.S. Department of Defense. After the U.S. Government declassified the technology in 1992, Honeywell licensed it to several manufacturers, including

BAE Systems, Raytheon, L-3 Communications Infrared Products, FLIR Systems, etc. Increasing civilian and military interest in infrared (IR) imaging has led to the recent growth in IR technologies. The current uses of infrared imaging include night vision, surveillance, electrical loss detection, gas leak detection, and medical imaging.

Many manufactures have their own unique procedure for producing microbolometers, but only a few materials are currently being used for this purpose, .: vanadium oxide (70%), amorphous silicon (17%), barium strontium titanate (13%), according to FLIR System. The quality of the images produced by microbolometers has continued to increase by reducing the pixel size and developing higher TCR materials. The pixel size was typically 45 μm × 45 μm in

older devices, but in more current devices, it is 17 μm × 17 μm.

Parameters in microbolometer material

The main parameters to the high performance microbolometer device are responsivity,

detectivity and net equivalent temperature difference (NETD). Responsivity is the ability to

convert the incoming radiation into an electrical signal or the measure of how much an infrared

detector's output changes at a given temperature change. The detectivity (cm-Hz1/2/W) is the signal to noise ratio at 1 W input. The NETD is the equivalent temperature difference of the noise, and it is typically expressed in units of Kelvin (K). Cooled infrared camera systems typically have low noise levels, in the range of 10 – 30 mK, while uncooled infrared cameras systems are typically a little noisier, in the range of 30 – 120 mK. The parameters used in the detector are affected by three main electrical properties: TCR, 1/f noise, and resistivity. 67

Temperature coefficient of resistance (TCR)

The temperature coefficient of resistance (TCR, represented by ), is a measure of the change in electrical resistance with respect to temperature:

R R=R 1+ TT or = T (4-1) 00 R

where R is the resistance of the sensor material, and T is temperature. And taking the integral on

both sides of Equation 4-1, we get

lnRTconst =  . (4-2)

In our study, the microbolometer operating range is confined from 22 ºC to 55ºC, and TCR measurement was done in that range. The temperature was controlled by a MDC Duo-chuck, and the voltage-current measurement was conducted by the HP4145 parametric analyzer, measuring

from -2 V to 2 V, in 0.1 V increments. Both the Duo-chuck and the HP4145 parametric analyzer

are connected and automatically programmed and controlled by Excel Visual Basic. From the

measurements, TCR values are extracted from the linear regression in the plot of temperature

versus the natural logarithm of resistance plot, as expressed in the Equation 4-2.

Figure 4-2. Setup for the measurement of TCR from the MDC Duo-chuck automatically programmed and controlled by Excel Visual Basic. 68

The TCR as a material parameter can be represented by activation energy, Ea. If it is n-

type, and the carrier concentration can be approximated as,

EECF EE CF nNCCexp  N 1 (4-3) kT kT

Then, the TCR can be described as

dn EECF EA TCR NC 22 or  (4-4) dT kT kT

For example, TCR -5 %/K is equivalent to the activation energy of 0.38 eV.

0.38 eV 0.05 (4-5) 0.0259eV 300 K

1/f noise theory and measurement setup

When a constant voltage is applied to a semiconductor resistor of resistance R, a small

portion of the current will fluctuate according to the equation:

V I() t R () t const . (4-6)

and

IRSf  Sf   or IR (4-7) IR I 22R

where SI(f) and SR(f) are the variance of current or resistance fluctuations. These equations were developed by Hooge with an empirical formula [35]: the fluctuating portion has reciprocal dependency on frequency and number of carriers. These relationships were connected with a constant, αH, called the Hooge parameter, and the relationship is known as the Hooge equation:

69

S  IH (4-8) I 2 fN

During the actual measurement, 200 nA or 500 nAof bias current was flowing into the amorphous silicon or germanium sample, and a small offset of voltage adjustment was added before amplification. Then, the 5 nA/V gain in the Stanford research low noise amplifier (SR570) output the noise signal within -5 V to 5 V range. Typically, this output signal can be directly collected and processed into power spectral density (PSD) by a dynamic signal analyzer. In our study, alternatively, the output signal is collected by a data acquisition (DAQ) board, and then the collected data is processed with either LabVIEW or MATLAB program.

Shielded box Packaged sample Low noise current (16 pin DIP) amplifier (SR570)

Data Acquisition (16 bit NI-6036E)

Filter (anti-aliasing)

• 2 kHz sampling rate • 30 sec data acquisition • 20 FFTs averaged • LabVIEW® function power spectral density (PSD)

Figure 4-3. Illustration for preamplifier process and the schematics of electrical connection (left), and the schematics of noise measurement apparati (right): the sample in the 16 pin packages to the DAQ board. The signal was captured by LabVIEW.

Most of the PSD has the shape of 1/f noise in the low frequency domain(1 Hz to 1 kHz), as predicted by the Hooge equation, and the higher frequency domain is covered by white noise or Johnson noise floor, which is the bottom limit of the measurement:

70

SkTRfVB 4  (4-9)

where kB is the Boltzmann constant; T is temperature; R is electrical resistance; f is bandwidth.

From the equation, a thermal noise voltage from 10 kΩ is calculated:

vkTfRkTHzknB4   4 B (1 )(10  ) 12.7 nV (4-10)

Also, the bottom limit in the measurement setting can arise from the environmental noise, such as

improper electromagnetic shielding, and grounding. Limit by anti-aliasing filter anti-aliasing Limit by Limit by anti-drift filter

SfI   log2 Ibias

Figure 4-4. 1/f noise plot in log of frequency versus log of normalized PSD domain

From the empirical Hooge equation (4-8),

SI  f  αH = 2  Nf where NnV  (4-11) Ibias a normalized Hooge parameter (αH/n) can be extracted from the experiment setup, and this parameter is defined by

αH SI f  SI  f  αH = 2 f V or log2  log log f (4-12) n Ibias Ibias nV

2 Thus, if the x-axis and y-axis are defined by log (f) and log (SI(f) / Ibias ) respectively, the y-

intersection and slope correspond to log(αH/ (n×V)) and β in the plot. Figure 4-5 shows the three resistors and measured values. 71

x volume Scale : x 2 times Volume : x 4 times

10µm 20µm

40µm

Figure 4-5. Same electrical resistance / different volume for the three resistors: Volume multiplication from the left to the right, and extraction of normalized Hooge parameter

The actual sample was put into a 16-pin package and wire-bonded, after which a measurement was conducted in the electromagnetic shielding box. The noise measurement system consisted of a low-noise current amplifier (SR 570), a dual filter (Rockland model 452), and a data acquisition board. It was especially important for the low-noise current amplifier and sample packages, which are ahead of the amplification, to be covered with a shielded box to block all the other environmental noise. In addition, the shielded box and the coaxial cables were grounded separately from the signal lines. A common measurement gain is 5 nA/V, and the data acquisition board has an input limit in capturing the amplified voltages. Thus, a filter was installed to prevent the saturation of signal collection. The data acquisition board was a 16-bit NI-

6036E, and the signal sampling rate was 2 kHz, which was double the Nyquist frequency. Power spectral density (PSD) was determined from the data by LabVIEW for 30 seconds of data collection, and on average, at least twenty measurements were used. Finally, the data were

72

analyzed using the normalized Hooge parameter with its slope, which was approximately, -1, in the log-log scale graph, meaning 1/f noise.

Photolithography mask design

The photolithographic mask set consisted of two or three layers: 1.0 active area (bright field), 2.0 via (dark field), and 3.0 metal (bright, dark field) as shown in the figure below. This

mask set was designed to allow bottom or top electrode contact, and it was capable of patterning

the top electrode by a lift-off process. Most of the samples in this study were deposited on top of

thermal 1000 Å-thick silicon dioxide or silicon nitride, and then etched by the 1.0 active mask.

After that, sputtered titanium was deposited on top of the measuring material using the 3.0 metal

mask.

The patterns included: lithographic alignment, transmission line method, hall

measurement, modified Corbino disk, process monitoring patterns, and several values of

resistances with three different volumes. Process monitoring patterns were used to measure metal

line sheet resistance and the surface leakage current, and the transmission line method (TLM) was

used to obtain contact resistance, transfer length and sheet resistance. The original purpose of the

Corbino disk patterns was to measure magneto-resistance, and in this case, the pattern was useful

for measuring sheet resistance if the material was difficult to be etched or isolated from other

patterns.

73

6.2 mm 1 sq. 20 sq.

Hall measurement 1/2 sq. 1/5 sq. Transmission Align Corbino line mm 5.3

Process 1/10 sq. 1/20 sq. monitoring patterns

1/50 sq. 1/100 sq. Noise measurement

Figure 4-6. Photolithography mask pattern, showing the transmission line pattern, several volumes of noise measurement patterns, and the process monitoring patterns

PECVD silicon (a-Si:H, µc-Si:H, a-SiC:H, µc-SiC:H)

Typically a-Si:H films has a large trap distribution while c-Si does not. Correspondingly, the temperature response of the c-Si is not variable over the wide range of temperature, but the a-

Si:H is. See the Figure 4-7. In the c-Si, the electron density is quite constant over the wide range of temperature. However, the a-Si:H has high temperature coefficient of resistance due to its trap distributions.

74

Figure 4-7. Free carrier density from extrinsically doped semiconductor over the wider temperature range: free carrier density is almost constant between 200 K and 600 K

For use with a microbolometer, vanadium oxide has been more popular than amorphous silicon as the main sensor material so far [8, 36-38]. However, PECVD silicon is easier to analyze in terms of the physics of the temperature dependence since the PECVD silicon is well known and has been studied intensely.

Given that the Fermi-Dirac distribution shape changes as temperature increases, a

negative value of TCR comes from an increase in the number of conduction carriers at elevated temperatures in a semiconductor material. In the case of a-Si:H, most of the carriers ( > 90 %) are

trapped in the gap state or tail state, i.e. below the mobility gap, so that only a small portion of the

carriers contributes to the electrical conduction above the extended state. The temperature- dependent Fermi-level movement is strongly affected by the conduction and valence band-tail

trap distributions, rather than deep gap states.

Sample preparation

The approximately 500 Å-thick a-Si:H or a-SiC:H films were prepared using capacitive

radio frequency (13.56 MHz) PECVD with a 6-inch shower head, which was kept under high

75

vacuum, approximately 10-6 Torr, with a load-lock system. The substrate was a crystalline silicon

(c-Si) wafer coated with 1000 Å of a thermally grown silicon dioxide wafer. The n-type a-Si:H

and a-SiC:H films were deposited using silane (SiH4), phosphine (PH3), hydrogen (H2), and

methane(CH4) precursors. The silane was an ultra high purity (>99.99 %) source gas from Voltaix; phosphine 3.86 % in silane from Voltaix; ultra high purity hydrogen; and electronic grade methane. The main variables were the ratio of hydrogen to silane, R =[H2]/[SiH4], which ranged

from 0 to 200; the ratio of actual phosphine to silane, D = [PH3]/[SiH4], which ranged from 0 to

0.039; and the ratio of methane to silane, Z = [CH4]/{[SiH4]+ [CH4]}. The substrate temperature

2 under deposition was Ts = 220 ºC; RF plasma power density was 0.33 W/cm ; and process

pressure was 500 mTorr.

After deposition, the film was investigated by an ex-situ rotating-compensator, multi-

channel ellipsometer at angles of incidence of 50º, 60º, 70º, and a spectral range from 0.8 to 5.2

eV. The dielectric functions were obtained directly from the spectroscopic ellipsometry spectra

using a Tauc-Lorentz oscillator of a semi-infinite c-Si substrate /1000 Å SiO2 / ~500 Å Si:H film

/ surface roughness and parameterized. For mixed-phase (a+µc)-Si:H, two oscillators were used

to fit the spectra due to the microcrystalline growth. A top surface roughness layer was

represented by a Bruggerman effective medium approximation, consisting of a 50 % top layer

film to 50 % air ambient mixture.

After the film deposition, an additional patterning process was conducted for electrical

measurement of sheet resistance (Ω/□), temperature coefficient of resistance (TCR), and

normalized Hooge parameter, that is, a representative value for 1/f noise. Two photolithographic

layers of patterning were applied: defining an a-Si:H layer on the insulating thermal oxide layer

with CF4/O2 dry etching, and subsequent sputtered titanium lift-off for electrode formation. The

transmission line method (TLM) from five different spacing patterns was used for extracting

76

accurate sheet resistance and contact resistance, using a -1 V to +1 V sweep of the HP 4145 parametric analyzer. The TCR measurement was facilitated with a Duo-chuck and a HP 4145 parametric analyzer, controlled by Excels Visual Basic. At temperatures from 22 ºC to 55 ºC, electrical measurements were taken from a -2 V to 2 V voltage sweep. Then, the TCR was extracted from the plot of the natural logarithmof resistance versus temperature.

Noise was extracted from the power spectral density over the frequency range of 0.1 Hz to 2 kHz using a low-noise amplifier (Stanford Research 570) with an internal battery source voltage (5 V). Once the amplified signal was band-pass filtered for anti-aliasing within 0.1 Hz and 1 kHz, the output was collected into a 16-bit data acquisition board (NI-6036E) instead of the conventional approach of using a dynamic signal analyzer (DSA). The automated data acquisition averages from more than 20 data acquisitions increased the accuracy of noise measurement. Since the empirical Hooge equation assumes a volume dependency, the lithographic mask was designed to include three identical resistance values but different volumes. Those three power spectral densities were used by the LabVIEW and MATLAB program to extract normalized Hooge parameters. Thus, the normalized Hooge parameter, as a representative value of noise, is equal to a normalized standard deviation value at 1 Hz with respect to current as well as volume.

Phosphine (PH3) doping concentration

Varying the doping source gas ratio of phosphine to silane, D = [PH3]/[SiH4], at a fixed gas ratio of hydrogen dilution to silane of R = [H2]/[SiH4] = 8, the electrical properties - resistivity, TCR, and normalized Hooge parameter of the series of films were measured as shown in Figure 4-8(a). As the ratio of the D = [PH3]/[SiH4] increased, a more phosphorus dopant

incorporated and the resistivity value of the films correspondingly decreased until the doping gas

77

ratio reached at ~2 %. For more than 2 % of the doping gas, the resistivity value was saturated.

Thus, the 2% of the doping gas was determined to be a maximum doping efficiency.

Ex-situ spectroscopic ellipsometry observed that the dielectric functions from D = 0.006

to 0.019 are all quite similar in shape, and proved to be an amorphous silicon, but D = 0.039 deviated from the others, as shown in Figure 4-8(b). A similarity between the dielectric functions from D = 0.006 to 0.019 and given the significant changes in electrical properties implies that the structural amorphous networks were not significantly disturbed in low doping amounts; this is expected for substitutional doping [2, 39]. In addition, looking at a difference in the dielectric function between D = 0.019 and 0.038, indicates that impurity-induced defects arose in the structure, but this was a relatively a small amount compared with the carbon alloy in Figure 4-

9(b). This defect at the amorphous phase, R = 8, had a smaller influence in electrical properties, than at the higher hydrogenation. Overall, the normalized Hooge parameters and TCRs are proportional to the resistivity values of the samples.

As the doping concentration decreases in a-Si:H, the Fermi level position shifts farther from the conduction band, and as a result, a significant number of carriers in the extended state decrease. However, due to the far distance from the Fermi-level and relatively pinned Fermi-level in temperature changes, the relative change of the number of conduction carriers in temperature will increase, as shown in Figure 4-8(a).

78

(a) (b)

Figure 4-8. (a) n-type a-Si:H resistivity, TCR, and normalized Hooge parameter as a function of doping gas ratio, D = [PH3]/[SiH4] = variables, R = [SiH4]/[H2] = 8, and (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2).

High hydrogen dilution at optimum doping concentration (D = 0.019)

Previously it has been shown using spectroscopic ellipsometry (SE) that at low hydrogen dilution of R = 8 at ~500 Å thickness the film was all amorphous. However, high hydrogen dilution in SiH4 can help evolution to form a different phase as the film grows, such as protocrystalline, microcrystalline or a mixed phase of those. A series of the samples were deposited, increasing the hydrogen to silane dilution ratio from R = 8 to R = 200 with a uniform thickness of ~ 500 Å, as shown in the Figure 4-9(a). In increasing the hydrogen dilution, R, those

films evolved faster from amorphous to mixed, and then to the microcrystalline phase [40]. The

79

phase changes were detected by the dielectric functions and surface roughness changes in real- time spectroscopic ellipsometry (RTSE), as expressed by the bulk layer thickness diagram.

For initial introduction of hydrogenation from R = 8 to 25, the resonance peak stays

around the energy of ~3.7 eV, and the optical bandgap slightly increases by ~ 0.2 eV with the

hydrogen passivation of the band-tail, as shown in the Figure 4-9(b). Along with the band-tail

passivation, the resistivity of the films increased as well. As hydrogenation continues further, nanocrystallites comprise a large volume fraction of the films at the R > 50 regime, and finally evolve to the microcrystalline phases. At that time, the amplitude of the 3.7 eV peak in the

imaginary part of the dielectric function decreases, and the peak at ~4.0 eV increases[41]. As the

nanocrystallites populate in the film, the electrical resistivity in this regime reduces to 0.1 Ω-cm

and the TCR also becomes very small in the microcrystalline phase. Around the phase transition,

resistivity drops while TCR stays high, prior to the development of a crystalline film.

High hydrogen dilution in PECVD silicon helps it to evolve into a different phase, and

accordingly makes a different resistivity-TCR relation. As for one a-Si:H band-structure, a

resistivity correlates with a TCR; that is, resistivity-TCR relation cannot be tailored for the band-

structure. Thus, the idea is that the band-structure is required to be perturbed by hydrogen or

carbon incorporation. In the experimental data of hydrogen dilution in Figure 4-9(a), the

resistivity-TCR-normalized Hooge parameter is not proportional since it is not a single

amorphous phase. As hydrogen dilution furthers, resistivity drops first prior to TCR and then

noise drops. Most of the a-Si:H and a-SiC:H have a normalized Hooge parameter ranging

between 10-19 cm3 and 10-20 cm3, while microcrystalline silicon has a value below 10-22 cm3.

Microcrystalline Si is thought to have fewer traps in electrical conduction or higher mobility than the amorphous material. Thus, TCR and noise is lower than in the other phases.

80

Free carriers exist right above the conduction band level. For example, becoming the R =

8 to R = 25, resistivity increases three times with a ~ 0.2 eV higher bandgap, as shown in Figure

4-9(b), which means that the region within the bandgap difference ~ 0.2 eV contains two third of the conduction carriers in the extended states at R = 8. At the same time, TCR did not increase as the resistivity increases. Since higher TCR typically occurred at higher resistivity in prior experiments, the steeper band-tail slope seemed to be a less effective way to achieve the high

TCR. As increasing hydrogen dilution from R = 35, the dielectric function (see Figure 4-9(c)) starts to deviate from the linear shift. Since the phase is moving out of amorphous regime, the

TCR is very different from that expected for the amorphous phase. Experimental results from R =

35, 50, 65, 75 show that resistivity-TCR-normalized Hooge parameter is not proportional to each other: resistivity drops when R = 35 to 50 first, TCR drops when R = 50 to 65, and normalized

Hooge parameter drops when R = 65 to 75.

In microbolometer applications, it is desirable to have a higher TCR at a given resistivity, and correspondingly higher TCR values can be achievable at this region from the amorphous silicon itself. In the amorphous and mixed region, the normalized Hooge parameter is in the range between 10-19 cm3 and 10-20 cm3, and the value drops below 10-22 cm3 in the microcrystalline

region.

81

(b)

(a) (c)

Figure 4-9. (a) The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of a hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, and (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2) from R = 8 to 35 (with increasing hydrogen dilution, ε2 linearly shifts), and (c) R = 35 to 75 (with increasing hydrogen dilution, the shape near Erbark tail changes)

High hydrogen dilution at excessive doping concentration (D = 0.039)

Hydrogen dilution exhibits behavior that is similar to a-Si:H properties with excessive

doping D = 0.039. Figure 4-10(a) shows that the two ~500 Å-thick series of films has similar

increasing and decreasing shape in resistivity as hydrogenation goes further. An interesting

phenomenon occurs during the amorphous to microcrystalline transition. Like the maximum

doping efficiency series of D = 0.019, both of the resistivity peaks were formed at R = 25 and 50,

82

respectively. After the peak, the electrical resistivity started to reduce while the TCR maintained a high value. However, the TCR as well as the resistivity reduces when microcrystalline coalesces, and a very conductive electrical channel forms on the top surface. Compared with the D = 0.019 series, all the plots of D = 0.039 were shifted toward a higher dilution range, as evidenced by the ex situ dielectric function evolution from ex situ spectroscopic ellipsometry. The excess dopant had a negative impact on the nucleation in hydrogenation condition.

(a) (b)

Figure 4-10. The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of the hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, 0.039

As a proof of microcrystalinity in high hydrogen dilution, Raman spectroscopy can be used to detect the phase. A 514 nm wavelength (green) laser and a 356 nm wavelength

(Ultraviolet) probe the films, and the penetration depths can be extracted from the spectroscopic ellipsometry experimental data, as shown in Figure 4-11. With increasing hydrogen dilution, the 83

peak position was shifted from amorphous to microcrystalline in both cases. However, the shorter penetration depth of the 365nm wavelength has better consistency in determining top surface microcrystalline formation. Thus, a hydrogen dilution of R = 50 was determined to be a starting point of crystalline.

1400 514nm (green) laser Penetration depth 1200 1000 800

600 a-Si:H or µc-Si:H 400 thickness by ellipsometry

Penetration Depth (A) 200 365nm (UV) Laser 0 Penetration depth 0 20406080100200 Dilution Ratio (R)

514nm laser 365nm laser

R=200 R=200 R=100 R=100 R=75 R=75 R=60 R=60 R=50 R=50 R=25 R=25 R=10 R=10 Si Ref Si Ref Raman Intensity (arb. units) Raman Intensity (arb. units) 400 500 600 200 300 400 500 600 -1 Raman Shift (cm ) Raman Shift (cm-1)

Figure 4-11. Two different lasers measured several hydrogen dilutions of film

Amorphous silicon carbide (a-SiC:H)

Amorphous silicon with a carbon alloy is attainable by mixing silane with methane gas in the PECVD process [42, 43]. Adding the carbon species helped to form the amorphous silicon structure, which was evaluated by spectroscopic ellipsometry, as reported previously [44, 45].

84

Our results were comparable to the spectroscopic ellipsometry results correlated with Rutherford backscattering by D. K. Basa [46], so that the carbon contents (x) were extracted from the amplitude (A), resonance energy (E0), broadening (C), and optical bandgap (Eg). As a result, a

small carbon content (<0.1) was extracted in the Figure 4-11(c).

The carbon incorporation resulted in an exponential increase of resistivity, as shown in

Figure 4-11(a). This may come from either the increase of the optical bandgap or the longer and

shallower band-tail slope, which traps most of the conduction carriers, and both of them resulted

in an exponential reduction of the number of carriers. Ex-situ spectroscopic ellipsometry shows

that increasing methane gas flow rate results in an increase in optical bandgap and a slower

increase in the imaginary part of the complex dielectric function, ε2. Since a spectral dependence of ε2 (ħω) is directly related to a joint density of state (JDOS), a longer band-tail can be evidenced by the spectroscopic ellipsometry result [47-49]. Also, a decrease in the ε2 peak intensity in the

dielectric function was observed, which suggests that a more disordered material is being made as

more carbon species are included.

85

(a) (b) (c) Figure 4-12. (a) n-type a-SiC:H optical bandgap, resistivity, TCR and normalized Hooge parameter as a function of methane gas ratio, Z = [CH4]/{[SiH4] + [CH4]} = 0, 0.14, 0.20, 0.25, 0.33, D = [PH3]/[SiH4] = 0.019, R = [SiH4]/[H2] = 8, (b) the corresponding complex dielectric function spectra (ε = ε1 + iε2), and (c) the comparison between amplitude(A), resonance energy(E0), broadening(Γ), and optical bandgap(Eg) as a function of carbon content and D. K. Basa’s results (Rutherford backscattering)

Two of the a-SiC:H samples (Z = 0.20, 0.33) were measured by X-ray photoelectron spectroscopy (XPS). This technique can determine an absolute number of atom portions by using a mass spectrometer, so that the carbon content in the films can be compared with the ellipsometry results. The spectroscopic ellipsometry suggests that the two samples have 5 % and

7 %, while the XPS indicates 7.3 % and 10.4 %. The small difference may occur for this reason: the XPS is detecting at ~ 5 nm deep from a natively oxidized surface, and thus reads a lot of oxygen content, but spectroscopic ellipsometry reads primarily from the entire amorphous silicon carbide layer. To solve this problem, in situ argon beam milling is supported for etching the top surface.

86

Figure 4-13. Two of a-SiC:H (Z = 0.20, 0.33) were measured by X-ray photoelectron spectroscopy (XPS), and the absolute carbon contents were extracted

Comparison between a-Si:H and a-SiC:H

As previously mentioned above, a high TCR regime can be explained by a leverage effect

when a significant change in a number of carriers in the extended state are populated as the

temperature increases in a-SiC:H. Therefore, as for the two sample sets, a-Si:H, D = 0.006, 0.013,

0.019, and a-SiC:H, D = 0.019, Z = 0, 0.14, 0.20, it would be worthwhile to compare TCR and

the normalized Hooge parameter at the similar resistivity range. The similarity of the two sets is

an electrical characterization of the distance between the conduction band and the Fermi level

position, and the difference is the shape of the band-tail state. As a carbon species is incorporated

to form a-SiC:H, the band-tail gets longer as observed by the imaginary part of the complex

dielectric function, ε2, in the Figure4-11(b), while the doping concentration series in a-Si:H does not show any changes in the band-tail shape. These two series of samples suggest how the conduction current moves differently.

Increasing the methane gas flow rate results in a decrease in the ε2 peak intensity in the dielectric function, which suggests that a more disordered material is being made as more carbon species are included. Volume dependency of the normalized Hooge parameter implies that larger

87

numbers of paths in carrier conduction reduce noise. Thus, amorphous silicon is considered to have only a short range of order, and carbon incorporation reduces the order further. Therefore, the normalized Hooge parameter for the carbon alloy had a relatively slow increase in comparison

to the hydrogenated amorphous silicon.

A very small amount of carbon incorporation was detected by the optical bandgap

change. Resistivity increased exponentially as the optical bandgap increased, considering that the

number of carriers is an exponential function of the difference in conduction band edge to the

Fermi energy level. The reduction of the number of carriers in the tail state, which can be seen

from the optical bandgap, can lower the extent of the increase of TCR more effectively than the

unalloyed hydrogenated amorphous silicon at a given resistivity level.

Relatively, the TCRs in a-SiC:H are lower than those in the a-Si:H since the intrinsic

carrier concentrations and mobilities in a-SiC:H films are not the same as those of a-Si:H films. If

the mobility is lower than the those of a-Si:H films [50], the TCR-resistivity relation will shift

toward the higher resistivity. Even though the a-SiC:H films have lower TCRs, 1/f noise property

improves with incorporation of carbon species. The volume dependency of the noise in the Hooge

equation implies that larger numbers of paths in carrier conduction reduces the noise. Likewise,

the introduction of carbon species during the deposition increases the degree of disorder even

more than the silicon only amorphous material, and as a result, the number of the electrical

conduction paths would be increased. As a result, the normalized Hooge parameter from carbon

alloy has a relatively slow increase, when compared with hydrogenated amorphous silicon, as

shown in Figure 4-14.

88

Figure 4-14. a-Si:H with a doping gas ratio of D = [PH3]/[SiH4] = 0.006, 0.013, 0.019 and a- SiC:H with D = 0.019, and the methane gas ratio of Z = [CH4]/{[SiH4] + [CH4]} = 0, 0.014, 0.020 was compared by the electrical properties (resistivity, TCR, and normalized Hooge parameter were compared with each other)

High hydrogen dilution in silicon carbide (SiC:H)

With a constant ratio of carbon-species introduction, Z = [CH4]/{[SiH4] + [CH4]} = 0.20,

hydrogen dilution was processed as with the previous D = 0.019 series. See Figure 4-15.

Increasing hydrogen dilution lowers resistivity in SiC:H. RTSE has indicated that the nucleation

to crystalline coalescence was much faster than the deposition without methane, Z=0. In

amorphous phases, the carbon species in amorphous silicon films increases the resistivity and the

TCR, so that hydrogen dilution in SiC:H showed higher TCR at a given resistivity than those of

the Si:H. Also, most of the SiC:H film has similar noise level in the amorphous phase.

89

(a) (b)

Figure 4-15. The growth evolution diagram, resistivity, TCR, and normalized Hooge parameter for the n-type hydrogenated silicon (Si:H) as a function of a hydrogen dilution ratio of R = [H2]/[SiH4], with a doping gas ratio of D = [PH3]/[SiH4] = 0.019, and a methane gas ratio of Z = [CH4]/{[SiH4] + [CH4]} = 0.2

Interface noise evaluation

One of the possible mechanisms leading to noise is the interface, and there can be a big

difference depending on the interfaces. To compare the samples, the bottom chromium electrode

was initially developed. The first sample deposited was 500 Å of doped amorphous silicon without a capping layer, so the silicon layer was exposed to the air with a dangling bond. The second sample was deposited with the same condition, but it was followed by the in-situ silicon nitride deposition. Also, the third amorphous silicon deposition was followed by in-situ 100Å- 90

thick intrinsic amorphous silicon layers, and then the same silicon nitride layer was added.

Interestingly, this experiment indicated that the silicon nitride capping layer caused increases in resistance and noise. Considering the normalized Hooge parameter as a function of the hydrogen dilution ratio ranged 10-19 cm3 and 10-20 cm3, the interface noise from the silicon nitride and amorphous doped silicon layer was not insignificant. As a result, the measurement of amorphous silicon noise must be evaluated without the capping layer; otherwise, the bulk noise would be buried under interface noise. Fortunately, the silicon nitride on the bottom of the sample and the in-situ amorphous doped layer with a top electrode does not create significant noise.

91

Figure 4-16. Capped and uncapped cross-section (top) and normalized Hooge parameter comparison between uncapped and capped with silicon nitride or i-layer-buffered capped samples (right)

Interface and bulk materials both contribute to measured noise. Figure 4-16 shows how noise can be affected by the interface between amorphous silicon and silicon nitride layers.

92

PECVD silicon nitride on top of a-Si:H yields an interface with significant noise, while under layers of silicon nitride or thermal oxide do not. The interface charge effect induced from the deposition was reported depending on the Si-H bond and N-H bond density ratio [51], and the deposition substrate seems to have dependency on it. The interface charge from the substrate/film interface depletes charge near the interface region, and as a result, generation and recombination or trapping and de-trapping mechanism in the depletion region would cause 1/f noise increment.

All the experimental data were from films deposited on thermal oxide substrates without top capping layers, and they exhibited sufficiently low normalized Hooge parameters on microcrystalline silicon ~10-23 cm3. The noise level of the silicon-silicon nitride interface was

-19 3 ~10 cm . If the samples consist of SiNx-Si:H-SiNx sandwich structures as in a typical a-Si:H device structure, i.e. microbolometer, the noise measurement will not properly be characterized.

Thus, we concluded that 1/f noise measurement for the uncapped sample was not disturbed by the interface region.

Sputtered Germanium

The hydrogenated germanium film or the compositional compound with other materials is one of the well-known materials in semiconductor processing field, so it can be accommodated easily into integrated circuits. Microbolometers whose sensing material is made from amorphous silicon have constant current readout circuitry, which is applied for the resistivity range up to ~3 kΩ-cm. Figure 4-17 shows that germanium films as well as other materials typically have higher

TCRs at the higher resistivity. Also, VOx has higher TCR at 0.1 Ω-cm range, and Si/Ge has

higher TCR at the 100 to 1,000 Ω-cm resistivity range. Even in the same material system, Si/Ge

has a different TCR at almost similar 500 Ω-cm depending on the deposition condition in the

graph. This evidence proves that TCR is not exactly proportional to the resistivity, and TCR is not 93

an intrinsic property from the material. Thus, one thing to be focused on in this study is to correlate deposition conditions and electrical property changes, and to analyze how it happens in relation to bandstructure for tailoring higher TCR at a given resistivity.

The Hall mobility of pure crystal germanium was reported to exceed 3,900 cm2/V-s,

which is twice that of pure silicon, but at the amorphous state, it decreases to 0.01 cm2/V-s due to

its disordered scattering; it also has the order of 1017 to 1018 cm-3 carrier concentrations [52]. In our experiment, an a-Ge sputtered film only in Argon ambient has a resistivity value of 416 Ω-cm, of which the carrier concentration, ~ 1018 cm-3, can be estimated from the relation, σ = qμn =

(1.6×10-19) (0.01) (1.5×1018). At least two or three order higher intrinsic carrier concentration of a-Ge films has advantageous in 1/f noise than that of a-Si:H. The experimental value of TCR from the germanium film was -1.8 %/K at 416 Ω-cm, at which a-Si:H films has ~ -3.0 %/K. The

normalized Hooge parameter of the germanium film was measured 5×10-21 cm3, at which the

normalized Hooge parameter of a-Si:H film had 3×10-20 cm3. Thus, germanium has advantages in that it offers much lower noise characteristics.

Figure 4-17. Resistivity - TCR relation in various materials: there is a general correlation, high resistivity – high TCR, but exceptions exist depending on the process condition.

94

Sample preparation

Several germanium films were deposited in a DC co-sputtering system since a DC sputtering technique has the advantages of simplicity and the possibility of separating material radicals - Ge, H2, N2, Boron [53]. The films were sputtered onto the 1000 Å-thick thermal oxide

coated on a silicon wafer in the argon/hydrogen/nitrogen ambient, or made by co-sputtering boron

and germanium. For each sputtering, the chamber was evacuated to a few 10-7 Torr, and after two

or three minutes of pre-sputtering to clean the surface of the oxidized target, the main sputtering

process was conducted. In some cases, the substrate was heated intentionally up to 130 °C by a

tungsten wire at the back of the substrate holder. The germanium target was obtained from Pure

tech inc., (germanium, 2.00” × 0.125”, 99.999 % pure) and the co-sputtering part was metallic

boron (obtained from Cerac Inc. boron metal, 2.014” × 0.147”, 99.5 % pure). The total process

pressure was 5 mTorr, and the power supplies of the two magnetron target guns were

independently controlled from 10 W to 80 W.

After sputtering, the thickness and optical properties were measured by ex situ

spectroscopic ellipsometry in the visible range by RC2 (Woolam, 0.75 eV to 5.2 eV) and the

infrared range by IR-Vase (Woolam, 0.04 eV to 0.75 eV). RC2 spectra were used to extract the a-

Ge film thickness and approximate the band gap obtained by fitting the complex dielectric

function spectra using a Tauc-Lorentz oscillator. IR-Vase spectra were used to extract absorption

features from atomic bonding in the films with a Gaussian fitting. For electrical characterization,

the film was photo-lithographically patterned and etched by hydro-peroxide (H2O2) solution, and

then the sputtered titanium contacts were lifted off on top of the patterns. From the lithography, a

transmission line method (TLM) pattern was formed for measurement of resistivity and TCR,

while resistors with three different volumes were made for volume normalization of the 1/f noise

95

measurement. The TCR was typically measured from 22 °C to 55 °C and extracted from linear regression in log(resistance) versus temperature plot.

The sample was put into the 16-pin packages and wire-bonded, after which measurement was conducted in the electromagnetic shielding box. The noise measurement system consisted of a low-noise current amplifier (SR 570), a dual filter (Rockland model 452), and a data acquisition board. It was especially important for the low-noise current amplifier and sample packages, which were ahead of the amplification, to be covered with a shielded box to block all other environmental noise. In addition, the shielded box and the coaxial cables were grounded separately from the signal lines. A common measurement gain is 5 nA/V, and the data acquisition board has an input limit in capturing the amplified voltages. Thus, a filter was installed to prevent the saturation of signal collection. The data acquisition board was a 16-bit NI-6036E, and the signal sampling rate was 2 kHz, which was double of the Nyquist frequency. Power spectral density (PSD) was determined from the data by LabVIEW for 30 seconds of data collection, and an average of at least 20 measurements was used. Finally, the data were analyzed using the normalized Hooge parameter with its slope, which was approximately, -1, in the log-log scale graph, meaning 1/f noise.

Hydrogen mixing

When the argon ambient is mixed with hydrogen, the hydrogenated process occurs in deposition. Like silicon, the hydrogenated or microcrystalline germanium could be achieved at high hydrogen partial pressure, i.e., mixture of hydrogen and argon at 10:1 ratio, and at elevated substrate temperature 50 - 350 °C [54]. However, the entire experimental regime was under amorphous phase as SE observes. Within the regime, the higher hydrogen ratio, the higher resistivity and the higher TCR shows. The increase in TCR was deemed to be suitable for this 96

microbolometer. However, compared with an only-argon sputtered film, the hydrogenated films have very high resistivities and at least two orders of magnitude higher values in normalized

Hooge parameter. Bahn once reported that at a hydrogen to argon flow ratio of 16 : l and a

substrate temperature of 250 °C, he achieved an electrical resistivity of 104 Ω-cm (the

corresponding activation energy of 0.36 eV or equivalently TCR of -5 %/K) [55]. The data could

be roughly extrapolated from the experimental data, but the resistivity is out of the typical

operating regime of readout circuitry.

Two films from high hydrogen dilution and no hydrogen were compared in terms of the

dielectric functions in the Figure 4-18. The comparison shows that the optical bandgap shifted

and that the band-tail was passivated, as in the hydrogenation process in a-Si:H. The optical

measurement corresponds to the electrical measurement, and the increase in the resistivity value.

Figure 4-18. Resistivity, TCR and normalized Hooge parameter as a function of hydrogen mixing ratio with argon (The substrate was at room temperature, the total pressure was 5mTorr, and DC power was 80W on a 2-inch diameter target (left), and the dielectric function of the argon only sputtered film with the maximum hydrogenation is also shown (right)).

97

Boron co-sputtering

To reduce such a high resistivity, researchers have tried co-sputtering boron with germanium under an argon ambient. Researchers deposited the mixed films by placing several pieces of the sputtering target on one target gun, so that increasing the sputtering area ratio of the target makes a higher mixing ratio of the component [56]. Others doped a-Si Ge:H with diborane

2 4 (B2H6) from a PECVD technique to achieve 10 Ω-cm from undoped 1.6×10 Ω-cm [57]. In our

experiment, two equivalent target guns were symmetrically placed from the substrate holder at

equal distances, and as the power on the boron side was increased while maintaining a fixed

power of 80W on the germanium target, the different compositional ratio of a-Ge:B films were

achieved.

Figure 4-19 shows that increasing the boron side power ratio with respect to the

germanium side, the resistivity decreased exponentially as expected. However, TCR also was

decreased with decreasing resistivity. If resistivity-TCR was compared with other material

systems in Figure 4-17, TCR of the a-Ge:B film was not as high as that of the VOx at the same resistivity range. Thus, germanium might not be a good solution for the microbolometer goal.

Visible range spectroscopic ellipsometry indicated that the optical bandgap decreased as the boron content ratio increased, which supports the resistivity decreases.

98

Figure 4-19. Resistivity, TCR, and normalized Hooge parameter as a function of power ratio during co-sputtering (Both substrates were kept at room temperature; the total pressure was 5 mTorr with argon, and the germanium-side DC power was 80 W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film with the maximum ratio of boron co-sputtered film.)

Hydrogen mixing with substrate heating

As compared with hydrogenated films deposited at room temperature, the hydrogenated films deposited at 130 °C had a lower resistivity region around a mixing ratio [H2]/[Ar] of 0.4. In

the region, the resistivity decreased, while the TCR value remained high. The high TCR in lower

resistivity is appropriate for a microbolometer, but this abrupt change in the resistivity

phenomenon is not so practical due to the small process window. The reduction in resistivity may

be attributed to the decrease in insufficient surface reaction [58]. Note that the normalized Hooge

parameters of all the hydrogenated films deposited at the elevated substrate temperature were at

least one order of magnitude lower than those deposited at room temperature. Also, the complex

99

dielectric function, ε2 from spectroscopic ellipsometry shows an increase in the optical bandgap, but a steeper slope, compared with the films hydrogenated at room temperature.

Figure 4-20. Resistivity, TCR and normalized Hooge parameter as a function of hydrogen mixing in argon ambient (The substrates were heated up with a tungsten wire; the total pressure was 5 mTorr with argon, and the germanium-side DC power was 80W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film at room temperature with the minimum resistivity films from the heated series.)

Nitrogen mixing

Germanium films deposited in the argon mixed with nitrogen ambient had small variations in resistivity values; the TCRs were moderate to high among the mixing conditions; and the normalized Hooge parameters were the same as with the argon-only ambient sputtered film, which was the lowest among the mixing conditions. Spectroscopic ellipsometry suggested denser material properties.

100

The TCR and equivalent activation energy can be thought of as a function of the band-tail

shape in the band structure, so that different slopes of the tail state densities influence how many

carriers proportionally occupy these localized states with respect to the extended states in the

conduction or valence band as temperature increases. A nitrogen-doped germanium film has

been reported to have an activation energy of 0.23 eV by photoluminescence measurements, with

a corresponding TCR of -3.1 %/K [59], which are in agreement with our TCR measurements.

Figure 4-21. Resistivity, TCR, and normalized Hooge parameter as a function of nitrogen mixing ratio with argon (The substrate was kept room temperature; the total pressure was 5 mTorr, and DC power was 80 W on a 2-inch diameter target (left). Shown on the right are the dielectric functions of the argon-only sputtered film at room temperature with the minimum resistivity film.)

-1 In Figure 4-22, the films sputtered with H2 shows the Ge-H wagging mode at 580 cm ,

-1 the Ge-H stretching mode at 1880 cm while films without H2, i.e., Ar ambient or Ar + N2 ambient, shows no Ge-H stretching mode around 1900 to 2000 cm-1 [53, 60, 61]. This suggests

that the H2 flow makes hydrogenation. Boron co-sputtered films show decreasing slope, called 101

Drude features, in accordance with conductive film. In the case of mixing with nitrogen, the Ge-N stretching mode at 700 cm-1 [60, 62] shows quite a big peak, which is reported as nitridation [62].

Figure 4-22. Infrared spectroscopic ellipsometry (IRSE) analyzed data from sputtered samples in several conditions.

PECVD and Sputtered Germanium

When evaluating a material, sputtering in a high vacuum provides a good reference point.

The germanium films that were sputtered at various conditions had wide ranges of resistivity,

TCR, and normalized Hooge parameters. The undoped PECVD germanium provided values that were quite comparable to the values that were achieved by sputtering.

102

Figure 4-23. Resistivity, TCR and normalized Hooge parameter at different conditions (The sputtered films are comparable to PECVD germanium films.)

TCAD simulation for bandstructure

The band-structure of a-Si:H has been modeled and reported in several ways [47, 63-

65].The band-structure dependency of the TCR can be simulated by calculating the Fermi-Dirac

distribution function as a function of temperature. By using commercial software, Sentaurus, a

simplified model (as shown in Figure 4-24(a)) was constructed with conduction / valence band-

tail and gap states to examine the temperature dependence or electrical conduction. Default

parameters were described in Appendix A. A band-tail trap density distribution was described as,

 EE  NNexp T0  (4-13) tail T0 E  Tsig 

where NT0 is a band-tail trap concentration, ETsig is a characteristic value of slope, and ET0 is a conduction band or extended states position. Similarly, the gap-states were described as,

103

 EE 2  NNexp G0  (4-14) GG0 2E2  Gsig  where NG0 is a gap-states trap concentration, EGsig is a characteristic value of slope, and EG0 is a

trap Gaussian distribution position.

Since it was assumed to be an n-type, and the hole mobility is negligibly smaller than the

electron mobility[66], most of the simulation was focused on the conduction band shape. In

addition, the electrical conduction in the bandgap, (that is, hopping conduction) was small enough

to be neglected for simplified calculation. For each band-structure, the phosphorous doping

concentration varies from 1×1016 cm-3 to 1×1019 cm-3, and the temperature varies from 300 K to

370 K.

Temperature affects the Fermi-Dirac distribution function, and the overlap area of the

density of states and the distribution function in the extended states determines the free carrier

density and the resistivity. An example was plotted in Figure 4-24(b): resistivity drops as a

function of temperature. In simulation, the Fermi-level position is determined from the trap

distribution, using trapping and de-trapping in iteration to find equilibrium for each energy level.

As a result, the TCR was extracted from a linear regression of natural log of resistivity values

versus 300 K to 370 K.

104

(a) (b)

Figure 4-24. Sentaurus bandstructure simulation: resistivity is changing as a function of temperature by Fermi-Dirac distribution, and carriers in the trap distribution to up to the extended state and contributes to the electrical conduction.

Shallower slope results in higher resistivity since the trap density area holds a large

number of carriers. However, it causes a higher TCR at a given resistivity, as shown in Figure 4-

25(a). When changing the slope, ETsig, is equal to 0.05, the simulation data coincide with the experimental data. Also, as the slope increased, the TCR-resistivity slope was saturated at some levels. Moreover, the band-tail concentration affects the TCR-resistivity relation but not as much as the slope parameter. While increasing the concentration and keeping the slope at 0.05, the TCR is increasing but it does not seem to reach the experimental data points. Thus, concentration is not a major factor of TCR-resistivity relation.

105

Figure 4-25. Sentaurus bandstructure simulation: resistivity and TCR change as a function of the doping concentration depending on the band-tail distribution.

Deep traps or gap-states were simulated as changing EG0 or NG0 in Equation (4-14), and they do not affect the TCR-resistivity at all. When the trap density is at an excessive level, the

Fermi level was pinned at first. And in increasing the doping concentration, the resistivity started to drop. However, the traps were right above or underneath the Fermi level position, so there was no dependency of temperature.

Bandstructure simulation claims that TCR is mainly affected by band-tail distribution depending on the Fermi-Dirac distribution. The Fermi-Dirac distribution is changing as a function of temperature. However, unlike a-Si:H, the conductivity of c-Si changes only slowly with temperature. It can be derived that the Fermi-level approaches the intrinsic level with increasing temperature. Most of the carriers in the amorphous silicon are trapped between the mobility gaps, 106

and it is unlikely they contribute to the electrical conduction. Instead, only the small portion of the carriers at the end of the Fermi-Dirac distribution contributes to the electrical conduction, and the amount of the free carriers is directly connected with the Fermi-level position. However, the

Fermi-level position movement as a function of temperature is related to trap distribution, and the traps in a-Si:H can slow down the Fermi-level movement. Three similar resistivity points at different tail-band slopes were selected, and the Fermi-level position was plotted as a function of temperature, as shown in Figure 4-26(a). Since the Fermi-level position for a given temperature determines an amount of free carriers, the weakly-pinned Fermi-level position from the shallow band-tail distribution can provide a high TCR.

As for the three similar resistivity points, the simulation can calculate the trapped charge distribution as a function of energy, as illustrated in Figure 4-26(b): the empty traps as well as the occupied traps are plotted by the known Fermi-Dirac distribution or the occupancy function. At room temperature, 300 K, the trapped carriers statistically keep de-trapping and trapping within the kT ~ 0.0259 eV region, and also the free carriers in the extended states are trapped and released below the thermal voltage region. When comparing the three cases, the most shallow band-tail slope has the most empty traps within the thermal voltage region. Subsequently, the possibility of trapping and de-trapping near the bandgap will increase, and 1/f noise will be expected to be high.

107

(a) (b) Figure 4-26. Sentaurus bandstructure simulation: at the same resistivity and different band-tail slope (0.03, 0.04, 0.05 eV), (a) Fermi level shifts as a function of temperature (Fermi-level started to be pinned at a higher trap distribution), (b) trap distribution and trapped charge distribution at 300 K (The empty traps (= trap distribution – filled traps) below the bandgap increases as the band-tail has shallower slope)

Low temperature n-type a-Si:H

A resistivity from PSU n-type a-Si:H film was measured in low temperature at L3

communications, and modeled with a fitting parameter, band-tail slope, as shown in the Figure 4-

27.

Band-tail

slope 0.02 0.04 0.08 EE N exp 0 0  ES

Figure 4-27. Sentaurus bandstructure simulation was compared with experimental data: (1) slope 0.03 eV, doping concentration 1×1016 cm-3, 326 Ω-cm and -1.38 %/K at 300 K, (2) slope 0.04 eV, doping concentration 5.75×1016 cm-3, 325 Ω-cm and -2.52 %/K at 300 K, and (3) slope 0.05 eV, doping concentration 2.2×1017 cm-3, 324 Ω-cm and -2.94 %/K at 300 K 108

A trap concentration can be another fitting parameter. Depending on the band-tail slope,

0.03, 0.04, 0.05 eV, and trap concentration, Figure 4-28 shows how the fitting goes.

Figure 4-28. Sentaurus bandstructure simulation was compared with experimental data: at several band-tail slopes, 0.03, 0.04, 0.05 eV, a trap concentration was varied to fit to the experimental data.

Detectivity

In a microbolometer, the operating frequency range belongs to the 1/f noise regime, and

the noise can cause disturbances that affect the signal and distort the information. Thus, it is

necessary to have a lower 1/f noise material for a clearer signal.

Responsivity is the voltage generated per unit of incident power, and it is defined as:

RIb Rv  1/2 22 (4-15) Gth1 th

where is the fraction of incident radiation absorbed; is TCR; Ib is the bias current; R is

electrical resistance; Gth is the thermal conductance of the suspended structure; ω is the angular modulation frequency of the incident radiation; and τth is the thermal response time of the

bolometer.

109

Detectivity is the ratio of generated voltage to the noise voltage for a given area, and it is

defined as:

R Af D*  vd (4-16) vn

where Ad is the area of the detector; f is the electrical bandwidth; vn isa noise voltage observed

in the bandwidth of the frequency.

An electrical component can be evaluated in terms of a signal to noise ratio. Likewise,

the detectivity can be expressed as a ratio of TCR to 1/f noise. For example, if the normalized

Hooge parameter was measured at 10-19 cm3, the pixel dimension 17 µm × 17 µm × 500 Å has a ~

83 pA noise current from 1 µA current at 1 Hz, and higher noise at the smaller pixel size. If the

TCR is considered as -3 %/K, ~30 nA from 1 µA will be the total budget for the image. Thus, the pixel can be digitized with 30 nA / 83 pA = ~ 360 spectral resolutions, or defined by detectivity.

From the equation (4-15) and the equation (4-16), the detectivity can be described,

*  RI  D b (4-17) vvVnn/

As a reminder, the Hooge equation is,

S S  V I H (4-18) VI22nVf where the normalized Hooge parameter is αH/n. Thus, the detectivity at a given volume can be expressed as the TCR and normalized Hooge parameter or signal to noise ratio,

*   Dor (4-19) 2 / n SII /  H

Detectivity from several materials was plotted in the Figure 4-26. Trends show that the

higher resistivity range of a material has lower detectivity.

110

Figure 4-29. Detectivity was plotted as a function of resistivity, and resistivity-TCR-normalized Hooge parameter relations.

Also, the noise equivalent temperature difference (NETD) is a measure of the smallest

temperature difference detectable by a bolometer, and it is defined by

4F2v NETD  n (4-20) τo ARd (P/T) λ1λ2 where F = 1/(2sinθ); θ is the angle that the marginal ray from the optics makes with the axis of

the optics at the focal point of the image; vn is the total noise voltage over the electrical

bandwidth of the array readout; τo is the transmittance of the optics; Ad is the area of the pixel;

and (ΔP/ ΔT)λ1-λ2 is the change with respect to temperature of the power per unit area emitted by a blackbody at temperature T measured within the spectral bandwidth between λ1 and λ2.

111

Vertical structure: through film resistor

TCAD Simulation shows that the high TCR (> 5 %/K) can be achieved at higher resistivity. In case of conventional planar structure, electrical resistance has been measured from

~ 1 sq. However, if constructed in a vertical structure, higher TCR can be achieved for a given resistance range. Thus, even though a material is in high resistivity, the existing readout circuitry can cover this electrical resistance range, and the pixel can achieve higher sensitivity in temperature. To evaluate the vertical structure of the films, a mask set was designed for measuring the vertical TCR and 1/f noise was built, as the shown in the Figure 4-30.

10 µm x 10 µm 10 µm x 30 µm

30 µm x 30 µm

70 µm x 70 µm

Figure 4-30. Vertical through film resistor test structures: a matrix form from 10 µm × 10 µm to 150 µm × 150 µm via sizes.

112

Chapter 5

a-Si:H Solar Cell imbedded ZnO circuit

Background

The conversion of sunlight into electricity using a photovoltaic device was discovered in

1839 by Becquerel, who studied solids in an electrolyte solution [67]. Many years later, by the early 1890s, selenium was widely used in photographic exposure as a first solid-state material.

[68]. In 1954, it was reported that a single crystal silicon cell has 6 % of conversion efficiency

[68]. In 2009, it was reported that in terms of market share, mono c-Si has 35 %; poly Si, 48 %;

CdTe, 13 %; and the rest was ribbon Si, a-Si, and CIS/CIGS [69]. However, the largest part of the

market, c-Si and poly Si, was not applicable to thin film technology, which uses a low

temperature process.

The conversion efficiency of different solar cells can be determined in an air mass

condition, since they can respond differently at different wavelength distributions. The sun’s

radiation power is referred to as the solar constant to air mass zero (AM0) radiation outside of

earth’s atmosphere, and measurements taken at high altitudes have yielded the currently accepted

average value of 1.353 kW/m2. Within the atmosphere, the ratio of the actual path length to the ground is defined as m (or air mass number), m = 1 / cosθ, and we use the value AMm. The AM1 spectrum is for an angle of θ = 0 and the incident power is 0.925 kW/m2. Also, the spectral

radiation of AM1.5 with a total power density of 0.844 kW/m2 has been adopted as a standard.

Figure 5-1 plotted the irradiance data measured by NREL. At 5 a.m., the sun started to

illuminate the surface of earth with a long wavelength; at 11 a.m., the peak went to its maximum;

and at 7 p.m. it fell to a negligible value. 113

11am 787 W/m2 @11am

5am 7pm

Figure 5-1. Irradiance from the sun (left), and the irradiance at 480 nm wavelength as a function of time (right): http://www.nrel.gov/midc/srrl_bms/, SRRL PGS-100 Direct Normal (5 min scans at 0.7nm), May 5th, 2011

p-i-n / n-i-p a-Si:Hcell structure

a-Si:H has a very high absorption coefficient due to the random nature of the atomic order. Unlike p-n junctions in a crystalline silicon, the p-n junctions in amorphous silicon have generic defect states. Thus, the amorphous silicon solar cell and sensor have a p-i-n structure with a comparatively thick and less defective undoped layer as a body and very thin layers of p- and n- type amorphous silicon on both sides. Photovoltaic cells with the p-i-n structure collect a generated electron-hole pair mainly from the undoped body without external bias, while the photo-sensor uses a strong reverse bias to collect a generated electron-hole pair much faster.

There are two general types of hydrogenated amorphous silicon solar cell structures: the superstrate p-i-n structure and the substrate n-i-p structure. In terms of the structure, the incoming light penetration from ITO – p-type – intrinsic – n-type – back reflector metal is the same.

However, the difference in the efficiency of the two types of cell is attributed to residual gas contamination during deposition or to the sub-layer dependency of the PECVD process.

Since the cell in my study was aiming at connecting with any circuit, e.g., CMOS or TFT, the interests are limited to the n-i-p cell with a metal substrate and a transparent top electrode –

ITO, as shown in Figure 5-2. For the n-i-p cell, both n- and p- type thicknesses must be as thin as 114

possible without complete depletion in the junction; generally, the doped layers have a higher recombination rate and higher absorption coefficient. Thus, the initial test structure was on the RF magnetron sputtered titanium substrate, 200 Å-thick n-type a-Si:H with R = 50, 3000~5000 Å- thick intrinsic a-Si:H with R=10, 200~300 Å-thick p-type a-Si:H with R = 150, 1000 Å-thick indium tin oxide (ITO) structure was fabricated. When the ITO was sufficiently transparent and conductive (< 50 Ω-cm), the top grid metal was not needed for small features such as a 2 mm-by-

1 mm square pattern since the voltage drop was negligible. Several sizes of patterns were designed in a photolithographic mask for the n-i-p test structure, and the n-i-p stack was dry etched in 50 sccm of CF4 flow and 5 sccm of O2 flow in a reactive ion etcher (RIE) with a power

of 100 W and a pressure of 30 mTorr.

n-i-p p-i-n Simplifed light (substrate) (superstrate) light test structure Mo ITO Cr ITO p n p i i i a-Si:H a-Si:H a-Si:H n p n Cr ITO Ti light substrate Glass substrate

Figure 5-2. Three photolithography mask layers and cross-section of the cell structure

Transparent Conductive Oxide (TCO): Indium Tin Oxide (ITO)

One of the important layers in a solar cell is the top or bottom transparent conductive

oxide on the top and bottom of the cell, to absorb sunlight and deliver a photo-electron current

generated from the junction with the smallest resistivity. ITO is known as an n-type

semiconductor due to the oxygen vacancies with the electron carriers from the Tin dopant. Thus,

it is reported that resistivity drops at with the initial increase of the oxygen content in ITO film,

115

owing to its population of oxygen vacancy. However, resistivity increases when there is a high oxygen content due to the impurity scattering [70]. If the film has high oxygen content, a number of carriers are depleted so that the film becomes transparent. However, if the oxygen content exceeds a certain amount, the increase of light scattering results in the decrease of optical transmission [71]. Thus, the optimum number of oxygen content is required for the best optical transmission (> 90 %) and the lowest resistivity (~ 10-4 Ω-cm).

In the Edward sputter system, at a low 10-6 Torr residual gas pressure, the ITO target

(Kurt J. Lesker, Indium oxide (In2O3)/Tin oxide (SnO2) 90 %/10 % by weight, 4”× 0.125”,

99.99 %) was RF magnetron sputtered with an argon and oxygen ambient. To accurately control

the small amount of oxygen required, oxygen was mixed with argon 8 % by partial pressure.

Once the samples were sputtered on 1.1 mm thick borosilicate glass and the thermally grown

SiO2 1000Å-thick silicon wafer at the same time, both of which had been cleaned with piranha,

the sheet resistance was measured with a 4-point probe, and the thickness was measured by M-

2000 spectroscopic ellipsometry. A spectrometer (Milton Roy Spectronic 601) was used to

conduct the optical transmission test. By varying the substrate temperature, the process pressure,

the argon-to-oxygen ratio, and the RF power, the best process conditions in terms of the smallest

resistivity and the highest optical transmission were chosen at the lot ID #7 and #8.

Sheet Substrate Process 8 % O RF Growth Lot ID Ar 2 Time Thickness Resistanc Resistivity Temperature Pressure in Ar Power Rate e [°C] [mTorr] [sccm] [sccm] [W] [Min] Å [Ohm/sq] [A/s] [Ω-cm] Edward ITO 1 RT 2 10 2 100 5 644 340 2.2 2.19E-03 Edward ITO 2 150 2 10 2 100 7 941 97.7 2.2 9.19E-04 Edward ITO 3 150 3 15 2 100 7 1125 80.4 2.7 9.05E-04 Edward ITO 4 150 3 20 2 100 7 1171 70.2 2.8 8.22E-04 Edward ITO 5 150 3 20 2 100 7 1130 75.5 2.7 8.53E-04 Edward ITO 6 150 3 15 2 200 5 1473 45.5 4.9 6.78E-04 Edward ITO 7 150 3 15 2 50 12 1006 41.2 1.4 4.23E-04 Edward ITO 8 175 3 15 2 100 7 987 46.2 2.4 4.54E-04

116

145 Ω/sq. 135 Ω/sq.

Figure 5-3. ITO was evaluated under several process conditions: substrate temperature, process pressure, Ar-to-O2 mixing ratio, and RF power. The best conditions in terms of optical transmission and conductivity were achieved at ITO #7, #8.

The results of the actual transmission test in general represent the quality of transparency,

but considering multiple reflections between the substrate and the film, the actual parameters, n

and k, from the ITO film must be extracted for verification. This can be accomplished by

positioning the RC2 spectroscopic ellipsometer in the transmission mode instead of reflection

mode, measuring a bare borosilicate and an ITO-deposited borosilicate, and then parameterization

using its corresponding model fitting, as shown in Figure 5-4.

1.1 mm-thick Borosilicate

ITO on the Borosilicate

Figure 5-4. ITO optical transmission evaluation using RC2 spectroscopic ellipsometry and extracting n and k from the ITO films

117

Calibration for illumination set-up using a c-Si reference cell

To evaluate the fabricated solar cell, it was necessary to build up the measurement system.

A halogen lamp was installed on a height-adjustable arm, and the probe pad was placed below, as shown in Figure 5-5. The probe pad was connected to the HP 4156 parametric analyzer to measure I-V characteristics. Considering Gauss law, theoretically, illumination intensity from the halogen lamp decreases in a quadratic function if there is no absorption and directionality between them. A more realistic measurement was conducted using a reference c-Si solar cell, which has no performance degradation.

Figure 5-5. Halogen lamp illumination setup and calibration cell for air mass 1 (AM 1) condition

Using the c-Si solar cell as a reference, I-V characteristics were measured at every 2 inches from the 12 inch to 26 inch distance, as shown in Figure 5-6. The cell was calibrated to the

2 open-circuit voltage, Voc = 0.572V, short circuit current, Isc = 50.54mA at AM 1 or 100 mW/cm .

From the measurement of the reference cell, the AM 1 condition was determined to be a 14-inch distance from the halogen lamp.

118

12 inch distance

26 inch distance

Figure 5-6. I-V characteristics of the reference c-Si cell was measured as a function of halogen lamp distance: 14-inch illumination distance from the halogen lamp is equivalent to AM 1 condition

Solar cell characterization

Hydrogenated amorphous silicon solar cells were fabricated under different process conditions. Since Chamber A and Chamber B have a different shape of shower head and the doping gas, phosphine (PH3), may contaminate the chamber after using it, the sample was moved to the other chamber between the deposition of the n-layer and i-layer, as detailed in Figure 5-7.

Among the several patterns, 1 mm-by-2 mm square patterns were measured at the AM 1 condition, and SA #6 and SA #8 had the highest efficiencies, both of these had thinner p-layers.

SA1: Ti-sub / n 200Å (A) / i 4000Å (B) / p 200Å (B) SA2: Ti-sub / n 350Å (A) / i 5600Å (B) / p 250Å (B) SA3: Cr-sub / n 250Å (A) / i 3200Å (B) / p 200Å (B) SA4: Ti-sub / n 250Å (A) / i 4000Å (B) / p 150Å (B) SA5: Ti-sub / n 300Å (A) / i 4700Å (B) / p 350Å (B)

SA6: Ti-sub / n 300Å (B) / i 4000Å (B) / p 150Å (B) (Voc=0.72 Isc=0.142mA FF = 0.41 eff = 2.10%)

SA8: Ti-sub / n 300Å (B) / i 4000Å (A) / p 150Å (A) (Voc=0.74 Isc=0.127mA FF = 0.52 eff = 2.43%)

Figure 5-7. I-V characteristics at AM 1 condition from several cells

119

SA#8 was plotted as a function of power to calculate efficiency and fill factor in Figure

5-8. The voltage at the maximum power was marked, and fill factor was determined by

VI Fill factor (FF)  mm (5-1) VIoc sc where Vm , Im are the voltage and current at the maximum power, respectively. In addition, the

efficiency was calculated by

VI Efficiency  mm (5-2) 100mW / cm2 ( AM 1)

Figure 5-8. Unscaled I-V characteristics at AM 1 condition at 1 mm-by-2 mm from SA#8 cell (left), and the corresponding power generation (right): Voc = 0.74 V, Isc = 0.127 mA, voltage at maximum power = 0.585 V, current at maximum power = 83.1 µA, fill factor = 0.52, efficiency = 2.43 %

Dark I-V is another measure of performance of the diode. In Figure 5-9, as the voltage increases from 0 to 0.2 V, a generation-recombination current dominates. In this regime, the depletion region current in the n-i-p diode is proportional to the depletion length.

W qnWi Jgen qU dx (5-3) 0  e where U is the recombination rate, τe is the effective lifetime before recombination, and ni is intrinsic carrier concentration. It is thus expected that

120

1/2 J~gen VVbi   (5-4)

where Vbi is the built-in potential of junction. From 0.2 to 0.6 V, the ideal diffusion current dominates.

qV J~expF  (5-5) nkT

Finally, the exponentially increasing current will be suppressed by series resistance in the region between 0.6 V to 1 V.

A shunt current degrades the performance of the photovoltaic cell. To verify shunt current existence, the five shapes of diodes were measured, as shown in Figure 5-9. Shunt current may flow through the bulk or etched edges. Since the current densities of all diodes are the same, the different lengths of edges proved to flow a negligibly small current. In addition, the top ITO was proved to be sufficiently conductive not to lose the generated current.

ID 1 & 2 ID 3 & 4

2 4 3 1 5

Figure 5-9. Unscaled and scaled dark I-V characteristics at several sized patterns: ID #1: 800 µm× 800 µm = 640,000 µm2, ID #2: 2,000 µm× 320 µm = 640,000 µm2, ID #3: 2,000 µm×125 µm = 250,000 µm2, ID #4: 500 µm×500 µm = 250,000 µm2, ID #5: 200 µm×200 µm = 40,000 µm2

One of the diode test points was the ideal factor. Ideal factor can tell how much of the diffusion current is being subject to the current flow, instead of the drift flow. The current density in the diode is described as: 121

qV JJ 0 exp (5-6) nkT

From the equation, the ideal factor can be written as:

1 kT dJ n   (5-7) qdV

From the differential of the graph as plotted in Figure 5-10, a minimum point of 1.1 was detected.

Figure 5-10. Unscaled I-V characteristics at AM 1 condition at 1 mm-by-2 mm from SA8 cell (left), and the corresponding power generation: Voc = 0.74 V, Isc = 0.127 mA, voltage at maximum power = 0.585 V, current at maximum power = 83.1 µA, fill factor = 0.52, efficiency = 2.43 %

Because of the p-type layer deficiency, we investigated palladium instead of p-type layer.

During the double sweep, hysteresis was not observed, and the previous p-type layer was proved to have defects. Thus, as long as the depletion allows, p-type layer are required to be as thin as possible.

122

n ~ 1.05 ~57Ω/sq. n ~ 1.1 Pd (~5nm) i n Ti sub

Figure 5-11. Unscaled I-V characteristics at AM 1 condition and dark at 1 mm-by-2 mm from Pd- i-n-Ti cell, and the corresponding power generation. For SA7, Voc = 0.50 V, Isc = 0.052 mA, fill factor = 0.58, efficiency = 0.75 %, and for SA10, Voc = 0.50 V, Isc = 0.045 mA, , fill factor = 0.60, efficiency = 0.68 %

TCAD solar cell simulation

TCAD supports various models and environments for 2-D and 3-D structure modeling. It can simulate a solar cell performance from the inputs of optical parameters and illumination conditions to the I-V characteristics.The a-Si:H electrical properties, particularly trap distributions, were initially adopted from the Randy Koval’s dissertation, and they are attached to Appendix A.

p-layer (20nm) Anode pi n i-layer (400nm) Cathode (phosphorous) n-layer (30nm) Grid Titanium (200nm) (2~50Å) 200Å 4000Å 300Å

Figure 5-12. 2D simulation for a n-i-p solar cell: the grid was sized small enough to catch the depletion region, and doping concentrations and thicknesses were chosen as conventional numbers.

123

Optical responses for each layer material; refractive index, n; and extinction coefficient, k; were extracted from spectroscopic ellipsometry as shown in Figure 5-13, and we used these

responses to put the parameters into the simulation.

40 Ω/sq. 1000Å 0.0004 Ω-cm

8500 Ω/sq. 700Å 0.06 Ω-cm

Figure 5-13. Optical property characterizations for each layer from spectroscopic ellipsometry (RC2)

Using these optical parameters from spectroscopic ellipsometry and the AM1 incoming

light intensity from NREL data, illuminated I-V characteristics can be simulated, as shown in

Figure 5-14. The I-V characteristics were calculated without the trap density distribution in the

amorphous silicon to compare the structural difference alone. The Transfer matrix method (TMM)

was used for optical simulation: as the light propagated from the left side to the right, the

propagating waves and reflected waves were formulated in a matrix form at each grid point. The

thin amorphous silicon layer alone cannot exist ideally, but the reason that the layer itself and the

amorphous silicon and titanium reflector have similar output is because the reflection from the

amorphous silicon to air (refractive index = 1) and from the amorphous silicon to titanium is

124

similar. In addition, ITO helps transmission to increase, as an anti-reflection layer, since the refractive index is between the air and amorphous silicon.

ITO 1000Å

p 200Å i ZnO 4000Å n 700Å 300Å Ti 2000Å

Figure 5-14. Optical property characterizations for each layer from spectroscopic ellipsometry (RC2)

In reality, c-Si has no trap distributions, but all the a-Si:H layers, i.e., n-, i-, and p-layers, have their trap distributions. Simulation has merit in testing each condition that cannot be made, and in determining which condition would be more effective in the performance. From the ideal or no trap distribution, each layer with trap distribution was calculated how much it affects I-V characteristics, as shown in Figure 5-15. The trap distribution of each layer was used from

Appendix A. In the plot, the i-layer trap shows more significant current drop in solar cell performance than the p- or n- layer does, and this would be the main reason that a-Si:H is using a thick i-layer between the two doped layer.

125

Figure 5-15. According to the Sentaurus simulation, the trap distributions in each layer affect to the I-V characteristics

In all the a-Si:H layers with the trap distributions, the conduction band-tail and its trap concentration at the i-layer was varied to see the performance dependency. In variations of the n- layer and the p-layer, I-V characteristics were barely changed, just as in the previous simulation.

Figure 5-16. Trap distributions in n-, i-, p-layer correlated with I-V characteristics according to the Senataurus simulation

126

ZnO TFT circuit fabrication

Mask design for ZnO thin film transistor

An amorphous silicon n-i-p solar cell can be imbedded into a bottom gate structure, so in

my study one of the high performance materials, ZnO was chosen and fabricated. To exhibit a

circuit operation powered by a solar cell, a 7-stage ring oscillator circuit was designed, and we

estimated how much current was needed using the following thin film transistor masks, as shown

in Figure 5-17. This mask includes four layers: (1) bottom gate, (2) active, (3) via, and (4)

source/drain.

TLM TFTs

Capacitors Hall measurement Inverters

Corbino Noise Ring oscilators measurement Process monitoring crossovers

Figure 5-17. Three masks for the thin film transistor (left), and vertical cross-section (right)

127

ZnO/Al2O3 transistors, diodes, and optical properties

On top of the bottom chromium gate, Al2O3 and ZnO layers were in situ deposited with

plasma enhanced atomic layer deposition (PEALD). In the ZnO PEALD process, first, the

excessive metal organic precursor, diethyl zinc (DEZ), flowed into the chamber and soaked into

the surface. Liquid DEZ was vaporized and delivered to the process chamber through a bubbler

with a carrier gas of argon, and the argon pressure was 15 psi when it pressurized the DEZ at the

bubbler. Second, the precursor was purged by a weak oxidant, nitrous oxide (N2O), and formed a monolayer on the surface. Third, the RF plasma power density of 0.16 W/cm2 under the pressure of 700 mTorr helps oxidize the precursor. Finally, the weak oxidant purged the rest of the by- product. This cycle was repeated 60 times to deposit the ZnO layer-by-layer to the 10 nm thickness.

As for the Al2O3 PEALD deposition, tri-methyl-aluminum (TMA) was used for a metal

organic precursor and carbon dioxide (CO2) was used as previously described. The TMA also

delivered with a carrier gas of argon. A 300 cycles of deposition process was conducted at a RF

power density of 0.16 W/cm2, and the pressure was 700 mTorr to achieve a thickness of 32 nm.

Bottom gate top contact thin films transistors were fabricated on a glass substrate with 32

nm Al2O3 and 10 nm ZnO. Source and drain contacts were lifted up with DC sputtered

molybdenum, and right before the sputtering, oxygen plasma with a RF power of 100 W under

the pressure of 100 mTorr was treated on the contact area for three minutes to make ZnO more

conductive. Transistor characteristics for an unpassivated PEALD ZnO TFT with W = 200 μm , L

= 5 μm were measured, as shown in Figure 5-18. The characteristics show a threshold voltage of -

1 V, a sub-threshold slope of ~ 200 mV/dec, and a current on/off ratio of ~108.

128

Figure 5-18. Id-Vg characteristics in linear region (left) and Id-Vd (right)

Several types of diode were characterized, as shown in Figure 5-19. Since the ZnO is

known as n-type, a small sized diode would replace the PMOS as a CMOS component.

W/L = 10/30 20/30 40/30 80/30 Diode

Vd

GND

Figure 5-19. I-V characteristics from several sizes of diode

The ZnO and Al2O3 layer were evaluated by visible and infrared range spectroscopic ellipsometry. Figure 5-20 shows that Al2O3 has dielectric characteristics of a large bandgap, and

almost no absorption features over the entire range. The no absorption features are suitable for the

top cover of the solar cell. ZnO has a wide bandgap material of 3.4 eV optical bandgap.

129

Figure 5-20. 310 Å-thick Al2O3 and 164 Å-thick ZnO was measured at the visible spectroscopic ellipsometry (RC2): a Tauc-Lorentz model was used for both layers, A = 305.02, Γ = 8.476 eV, E0 = 8.079 eV, and Eg = 6.497 eV for Al2O3and A = 80.83, Γ = 6.710 eV, E0 = 2.738 eV, and Eg = 3.437 eV for ZnO.

The aluminum oxide (Al2O3) layer and the zinc oxide (ZnO) layer were deposited on the silicon wafer coated with 1000 Å-thick thermally grown oxide. Those were measured with the infrared spectroscopic ellipsometry, and several absorption features were found, as shown in

Figure 5-21. As for the aluminum oxide layer, the O-Al-O bending mode and Al-O stretching mode are known to have absorption peaks at 640 and 710 cm-1, respectively. Also, the Al=O mode is known to peak at 1345 cm-1 [72, 73].As for the zinc oxide layer, the Zn-O-Zn stretching mode is known to have a peak of 464 cm-1 [74, 75].

Figure 5-21. Al2O3 and ZnO were measured at the infrared spectroscopic ellipsometry (IR-Vase)

130

ZnO circuits: inverters

Several beta ratios of ZnO inverters were fabricated, and input voltages and output

voltages were plotted in Figure 5-22. Among them, at the beta ratio value of 10, the output

voltage is close to 5 V when the input voltage is 5 V. Thus, the current flow at the beta ratio of 10

was measured, and 42 µA of current flow was determined when the inverter was fully turned on.

In the case of a ring oscillator, half of the inverter is in the turn-on state, and the rest of

them remain in the turn-off state. Thus, the estimated current consumption is, 1/2 (half turn-on) ×

8 (worst case in a 7-stage ring oscillator) × 42 µA-- that is 168 µA. Assuming that 10 mA/cm2 is produced from the solar cell, then an area of 1.68 mm2 is needed for operation. Thus, the ring

oscillator design will be connected to the 15 series connections of the solar cell, which can

produce ~10 V outputs with a current of 168 µA, whose area is sized to 1.68 mm2.

Figure 5-22. Input / output voltages from several beta ratios of inverters were compared (left), and inverter transfer function, input and output voltage and current were plotted at beta = 10 (left): at beta = 10 the voltage crosses the half of the Vdd (10 V), 5 V, and the maximum current consumption of one inverter at Vdd (10 V) is 42 µA.

131

Solar cell imbedded structure / fabrication

It might be considered that an a-Si:H solar cell can be placed on top of any circuits using a via contact after fabricating all other circuits. The typical process for an unpassivated ZnO transistor takes four photolithographic mask steps, and at least an additional three metal patterns are required for the top and bottom of an a-Si:H solar cell--even more patterns are required for their connections. Thus, nine or ten mask layers are required to fabricate the combined cell in total, and that is not all that efficient. However, more efficient ways exist to develop a merged cell. For a series connection of an a-Si:H solar cell to have enough voltage to turn on the transistor, bottom metal, top metal, dielectric layer, and vias are required. Bottom metals from an a-Si:H solar cell can be shared with bottom gate metals for the thin film transistor, and the top metal lines for the solar cell are shared with source and drain contact metals in transistors. Also, the dielectric layer and vias are shared with the thin film transistor. Thus, the fabrication procedure can be extremely simplified, and the entire design has only two additional mask layers to the bottom gate transistor process, which can be time and cost effective.

VDD light

a-Si:H n-i-p solar cell Mo ITO GND p Bottom gate TFT i a-Si:H Mon ZnO Mo Ti Mo Al2O3 Cr Cr substrate

132

Figure 5-23. Cross-sectional view of a-Si:H solar cell imbedded into the ZnO transistor fabrication (top), and six-layered mask for the autonomous-powered circuits (bottom)

Real-time spectroscopic ellipsometry (RTSE) for a-Si:H solar cell

In the fabrication process, a n-i-p a-Si:H stack is deposited directly onto the blank

Titanium - Chromium substrate, which does not have any pattern yet. Thus, it is easier to monitor the material deposition by RTSE. The ~ 4000Å-thick i-layer deposition at the hydrogen dilution of R = [H2]/[SiH4] = 10, was analyzed with a Tauc-Lorentz oscillator model and parameterized.

Figure 5-24. During the 4000 Å-thick i-layer deposition, RTSE monitors the deposition process and extracts parameters with a Tauc-Lorentz oscillator model: A = 209.58, En = 3.24 eV, Γ = 2.90 eV, Eg= 1.61 eV. The model was well fitted to the experimental data (left); the bulk thicknesses and the roughness are plotted (right) 133

Conclusion

A thin film solar cell, n-i-p stacks of a-Si:H layers, can easily be merged into a ZnO thin film transistor fabrication process. Typical four mask process of ZnO transistor fabrication can share the bottom gate, via and top source/drain metal with solar cell circuits so that the process reduces great amount of process steps. Thus only two additional mask steps are required to combine solar cell stacks and any thin film circuits. The 7-stage ZnO ring oscillator powered by

15 series connection of a-Si:H solar cell was demonstrated as Figure 5-25.

a-Si:H Ring solar cell Oscillator

Figure 5-25. 7-stage ZnO ring oscillator circuit with n-i-p a-Si:H stacks (left) and oscilloscope result (right)

134

Chapter 6

Conclusions and Future Work

Conclusions

In this thesis, three thin film devices have been described in detail;(1) microcrystalline silicon strain sensor arrays selected and isolated using a-Si:H thin film transistors on flexible substrates, (2) high TCR microbolometer sensing materials from a-Si:H and a-Ge:H, and (3) a-

Si:H solar cell-powered ZnO circuits. The primary findings are summarized here.

First, as an example of flexible electronics, a strain sensor arrays were fabricated on polyimide substrates with a-Si:H thin film transistors (TFTs) used as switch to select or isolate each strain sensor pixel. Using a Wheatstone bridge circuit and n+ microcrystalline silicon film legs, strain sensors with a gage factor of ~20 were obtained. This high gage factor provides better sensing capability than, for example, commercial Vishay strain sensors. At 900 microstrain (µε), a voltage output of 0.1 V was achieved using a bias voltage of 5 V. To characterize fabricated strain sensor arrays, readout circuitry and a LabVIEW / Matlab program were developed to allow demonstration of real-time operation. 4-by-4 sensor arrays were 100 % functional when tested with respect to nine strain conditions, including both tensile and compressive strain. 32-by-32 arrays were also fabricated and tested. While not 100 % functional, these arrays demonstrated that a large number of sensor elements can be controlled by active thin film electronics (a-Si:H

TFTs in this example). Sensor operation from -65 °C to 160 °C was also demonstrated.

Second, high temperature coefficient of resistance (TCR) materials were investigated for microbolometer applications. The relation of three main electrical properties of interest to microbolometer devices were identified – resistivity, temperature coefficient of temperature

(TCR), and normalized Hooge parameter. Thin films from phosphine-doped PECVD Si:H, SiC:H

135

and sputtered germanium were characterized by both their electrical properties and optical properties. The use of higher hydrogen dilutionsduring the growth PECVD Si:H can induce inhomogeneous film growth through crystallite nucleation, so real time spectroscopic ellipsometry (RTSE) was installed in an effort to correlate the electrical properties with the relative order present in the material (amorphous or crystalline).

To better understand of TCR in a-Si:H, the bandstructure of a-Si:H was modeled with commercial software, TCAD Sentaurus. Amorphous silicon generically has large trap distributions (band-tail, gap states), and high temperature coefficient of resistance is shown to be intimately related to the trap distributions. For typical a-Si:H resistivity used in microbolometers most of the carriers are in traps and only a small percentage (0.1% to 10% depending on the trap density) of carriers in extended states. Simple exponential band tail distributions were found to be adequate to describe TCR from 200 K to 500 K, For material without traps (for example, single crystal silicon) or with small trap concentration, electrostatic equilibrium requires that the Fermi- level position move significantly as the temperature changes, to keep the untrapped carrier concentration constant, at least in the extrinsic conductivity temperature range. With large band- tail trap concentration, the Fermi-level movement slows and TCR increases because the relatively stable Fermi level position results in large extended state carrier concentration changes as the

Fermi distribution function changes with temperature. To illustrate the strengths and limitation of this approach, experimental results were fit to simulations over a temperature range of 150 K to

500 K.

Third, autonomous powered circuits have market potential in mobile and portable electronics. For example, a sensor in a remote place can get its power by coupling small photovoltaic cells to the thin film transistor circuits of the sensor. In a simple demonstration, 15 series connected n-i-p a-Si:H solar cells was integrated with a standard ZnO TFT process and used to supply power to operate 7-stage ZnO ring oscillator circuits. The process used requires 136

only two additional photolithography mask steps compared to the ZnO TFT only pocess. The two metal layers and one dielectric layer or via for the n-i-p cell series connections were shared with the TFT process. When the circuits are illuminated, the ring oscillator operated at 28 kHz.

Future work

Simulations using trap distributions provide useful information for temperature dependence of a-Si:H, particularly when coupled to experimental measurements. Alternatively, by measuring temperature dependency, we can measure the trap distributions at the amorphous material. Suppose that the bottom gate type of thin film transistors (TFTs) have an amorphous phase at the bottom of the active area and that the upper area is covered with some fraction of crystalline layer, then it would be hard to measure the trap distributions at theactual mixed-phase channel alone by conventional measurement. Variable temperature measurement and simulation fitting would be the solution for the measurement.

In Chapter 5, compared with a small circuit, the huge area of a photovoltaic cell can be observed. The n-type load and drive transistor circuit consumes current constantly at on-state, while the CMOS requires current only when it switches states. Thus, the area of photovoltaic cell can be greatly reduced by CMOS development in thin film transistor technology, such as hybrid circuit from n-type ZnO and p-type organic TFT [76].

With increasing concerns regarding green house effects and global warmingdriving an interest in photovoltaics, several cost effective deposition methods have been being developed for the solar cell layers: electrochemical deposition, brush plating, chemical bath deposition, and chemical spray pyrolysis [77]. Also, the absorbing layers, such as CdTe, CIGS, require rare or toxic elements, while ZnO and SnS, are being developed since Zn and Sn are inexpensive, abundant and nontoxic elements [78]. In addition, structural variations in junctions, like SnS 137

nano-flakes [79], would suggest a large generation area from the shape of the junction. Thus, the characterization and development of each case is worthwhile to be investigated.

In conclusion, there is great promise for a-Si:H thin film device technology to contribute widely – in flexible, transparent, and photovoltaic electronics, and it has started to be combined to exhibit these synergistic effects.

138

Appendix

A. n+ microcrystalline strain sensor array process procedures

Step # Process Recipe 0.0 0 Preparing Polyimide keep the polymide until usage 1 Piranha Cleaning >1:00 2 Polyimide O2 plasma 2:00 backside Cleaning (increasing the silicon to polyimide adhesion) 3 Silicone lamination 10 min vacuum dwell / 2min pressure dwell 4Upilex-S lamination 10 min vacuum dwell / 2min pressure dwell 5 Hot oven annealing keep the sample until usage 6Polyimide surface freshening [RIE] O2 plasma, -200A, 2:00 7 Base nitride deposition NH3(40), SiH4(8), 500 mTorr, 2500 A, 10:00 RF: 12W ( = 14 - 2 ), DC self bias: -30 V 8 Cr deposition [Edward sputter] Ar 72.3 sccm, 300 V, 0.33 A, 2000 A, 10:00 [Small DC sputter] Ar 25 sccm, 435 V, 435 mA, 2000 A, 25:00 1.0 9 Cr lithography Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 10 Cr etch 2 ~ 3 min / 15 sec overetch 11 Photoresist removal Spray and Soak Acetone in 1 min / Spray IPA 12 Photoresist residue removal O2 plasma, 3:00 13 Thorough rinse > 5:00 dip 14 Measure Cr properties 15 Tri-Layer Deposition NH3(40), SiH4(8), 500 mTorr, 3000 A, 12:00 RF: 12W ( = 14 - 2 ), DC self bias: -30 V 16 SiH4(12), 500 mTorr, 500 A, 13:00 RF: 6 W ( = 6 - 0 , DC self bias: -10 V 17 NH3(40), SiH4(8), 500 mTorr, 3000 A, 12:00 RF: 12W ( = 14 - 2 ), DC self bias: -30 V 2.0 18 Active lithography Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 19 Top SiN etching 200 ml D.I + 40 ml (=5 : 1) BOE, 50 sec (until de-wet)(Several bubbles show up) * SiN: Hydrophilic, a-Si: Hydrophobic 20 a-Si etch KOH ( 225 g + 2 liter D.I), 3:00 (A reflection layer shows up & will disappear at the last point and it looks slightly transparent) Don't need Acetone

139

3.0 21 Via lithography Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 22 SiN via etching 200ml D.I + 40ml ( = 5 : 1 ) BOE, 50 sec (until Alignment mark de-wet) 23 Photoresist strip Spray and Soak Acetone in 1min / Spray IPA 24 Photoresist residue removal O2 plasma, 3:00 25 Measure tri-layer thicknesses 26 Oxidized a-Si removal 200 ml D.I + 20 ml ( = 10 : 1 ) BOE, < 5 sec Thorough rinse 20 sec 27 n+ microcrystalline silicon H2(200), PH3(0.5), SiH4(0.6), 1 Torr, 500 A, 18:20 deposition RF: 100 W ( = 104 - 4 ), DC bias: -100 V (Wait 30 min cooling under vacuum before taking the sample out of chamber) 28 Oxidized n+ uC-Si 400 ml D.I + 20 ml ( = 20 : 1 ) BOE, < 5 sec removal Thorough rinse 20 sec 29 thin Mo deposition [Edward sputter] 300 V, 0.33 A, 1000 A, 5:00 [small DC sputter] 273 V, 495 mA, 1000 A, 14:00 4.0 30 Mo contact litho Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 31 Mo wet etch Nitric:Phosphoric:D.I 2:3:6, 1:00 32 Photoresist removal Acetone /IPA 5.0 33 n+ litho Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 34 n+ dry etch O2 (26 sccm), CHClF2 (26 sccm), 30 mTorr, 100 W, 5:00 35 Photoresist strip Spray and Soak Acetone in 1min / Spray IPA 36 Resist residue removal O2 plasma, 5:00 37 thick Mo deposition [Edward sputter] 300V, 0.33A, 3000A, 15:00 [small DC sputter] 273V, 495mA, 3000A, 42:00 6.0 38 Mo litho Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 39 Mo wet etch Nitric:Phosphoric:D.I = 2:3:6, 2:00 40 Photoresist removal Spray and Soak Acetone in 1min / Spray IPA 41 Photoresist residue removal O2 plasma, 5:00 7.0 42 Passivation Layer SiH4(15 sccm) and N2(100 sccm), Deposition Atomizer power(150 W), Main RF power (600 W) 300 mTorr, 5000 A, 5000 sec

140

B. Sample fabrication procedure for microbolometer

Step # Process Recipe 0.0 0 Preparing substrate Piranha cleaning > 1:00 1 Deposition films Sputter or PECVD films (Si:H, SiC:H, Ge:H) 1.0 2 Si:H lithography Softbake: 90 C / 90 sec / Exposure: 60 sec Develop: 1 min / Hardbake: 110 C / 5 min 3 Si:H etch RIE, CF4 (50 sccm) + O2 (5 sccm), 30 mTorr, 2:30 4 Photoresist removal Spray and Soak Acetone in 1 min / Spray IPA 5 Photoresist residue removal O2 plasma, 3:00 2.0 6 Double lithography PMMA softbake 160 C / 10 min AZ1813 Softbake: 90 C / 90 sec Ex posure : 60 se c Develop: 1 min 7 O2 plasma RIE 7 min to remove intermixed layer of PMMA and PR 8 UV exposture & develop 400 sec UV exposure & 20 sec develop by toluene spray * twice of this procedure 9 O2 plasma RIE 1 min to remove scum 10 Cr Deposition 200 nm thick metal sputtering 11 Photoresist removal Spray and Soak Acetone until the metal flake removal 12 O2 plasma RIE 2 min to remove PR scum

C. Trap distribution in a-Si:H solar cell

Contacts Front contact Back contact Ec-Ef at x=0, L (eV) PHIBO, 1.45 eV PHIBL, 0.19 eV Surface recombination speed, electron SNO, 1x107 cm/s SNL, 1x107 cm/s Surface recombination speed, hole SPO, 1x107 cm/s SPL, 1x107 cm/s Reflection coefficient RF, 0 RB, 0

141

Gap-state parameters p i n Doner-like Gaussian state density (cm-3), NDG 1x1018 1x1018 1x1016 5x1015 3x1018 3x1018 Gaussian peak energy (eV), EDONG 0.95 0.61 0.56 0.96 0.50 0.95 Standard deviation (eV), WDSDG 0.08 0.05 0.05 0.07 0.09 0.12 Capture cross-section for electron (cm2), GSIG/ND 1x10-15 1x10-15 1x10-15 1x10-15 1x10-15 1x10-15 Capture cross-section for hole (cm2), GSIG/PD 1x10-16 1x10-16 1x10-18 1x10-16 1x10-16 1x10-16 Acceptor-like Gaussian state density (cm-3), NAG 1x1018 1x1016 5x1015 3x1018 Gaussian peak energy (eV), EAONG 0.71 0.69 0.90 0.60 Standard deviation (eV), WASDG 0.07 0.04 0.08 0.09 Capture cross-section for electron (cm2), GSIG/NA 1x10-18 1x10-18 1x10-16 1x10-18 2 -14 -14 -14 -15 Capture cross-section for hole (cm ), GSIG/PA 2x10 1x10 1x10 1x10

142

References

1. Chittick, R.C., J.H. Alexander, and H.F. Sterling, The preparation and properties of amorphous silicon. Journal of the Electrochemical Society, 1969. 116(1): p. 77-81. 2. Spear, W.E. and P.G. Le Comber, Substitutional doping of amorphous silicon. Solid State Communications, 1975. 17(9): p. 1193-1196. 3. Carlson, D.E. and C.R. Wronski, Amorphous silicon solar cell. APPLIED PHYSICS LETTERS, 1976. 28(11): p. 671-3. 4. Tissot, J.L., et al. LETI/LIR's amorphous silicon uncooled microbolometer development. in Infrared Detectors and Focal Plane Arrays V, 14-17 April 1998. 1998. USA: SPIE-Int. Soc. Opt. Eng. 5. Brady, J., et al. Advances in amorphous silicon uncooled IR systems. in Infrared Technology and Applications XXV, 5-9 April 1999. 1999. USA: SPIE-Int. Soc. Opt. Eng. 6. Syllaios, A.J., et al. Amorphous silicon microbolometer technology. in Amorphous and Heterogeneus Silicon Thin Films-2000, April 24, 2000 - April 28, 2000. 2000. San Francisco, CA, United states: Materials Research Society. 7. Schimert, T., et al. Amorphous silicon based large format uncooled FPA microbolometer technology. in Infrared Technology and Applications XXXIV, March 17, 2008 - March 20, 2008. 2008. Orlando, FL, United states: SPIE. 8. Moon, S., Novel infrared absorbing material coupled uncooled microbolometer. Proceedings of the Ieee Sensors 2004, Vols 1-3, 2004: p. 658-660. 9. Robertson, J., Deposition mechanism of hydrogenated amorphous silicon. Journal of Applied Physics, 2000. 87(5): p. 2608-2617. 10. Vanecek, M., J. Holoubek, and A. Shah, Optical study of microvoids, voids, and local inhomogeneities in amorphous silicon. APPLIED PHYSICS LETTERS, 1991. 59(18): p. 2237-9. 11. Wronski, C.R. Instabilities in a-Si-H solar cells: materials and device issues. in Conference Record of the Twenty First IEEE Photovoltaic Specialists Conference - 1990 (Cat. No.90CH2838-1), 21-25 May 1990. 1990. New York, NY, USA: IEEE. 12. Vansark, W.G.J.H.M., J. Bezemer, and W.F. Vanderweg, Role of ions in PECVD of amorphous silicon. Surface & Coatings Technology, 1995. 74-5(1-3): p. 63-66. 13. Isaac, C., S. Fathololoumi, and A. Nathan. Nanoscale channel and small area amorphous silicon vertical thin film transistor. in 12th Canadian Semiconductor Technology Conference, 2006. 2006. USA: AIP for American Vacuum Soc. 14. Drevillon, B. Spectroscopic ellipsometry in the infrared range. in 2nd International Conference on Spectroscopic Ellipsometry, 12-15 May 1997. 1998. Switzerland: Elsevier. 15. Chayani, M., et al., Variations in the physico-chemical properties of near-stoichiometric silica deposited from SiH4–N2O and SiH4–N2O–He radiofrequency discharges. Thin Solid Films, 2005. 471: p. 53-62. 16. J. Batey, E.T., J. Stasiak, and T. N. Nguyen, Plasma-Enhanced CVD of High quality insulating films. Applied Surface Science, 1989. 39: p. 1-15. 17. Brunet-Bruneau, A., et al., Microstructural characterization of ion assisted SiO2 thin films by visible and infrared ellipsometry. Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), 1998. 16(Copyright 1998, IEE): p. 2281-6. 18. Byungwhan Kim, S.H.K., Temperature effect on charge density of silicon nitride films deposited in SiH4–NH3–N2 plasma. Surface & Coating Technology, 2008. 202: p. 5539- 5542.

143

19. Reynes, B. and j.C. Bruyere, High-density silicon nitride thiii film in PECVD. Sensors and Actuators, 1992. A 32: p. 303-306. 20. Bibhu P. Swain, B.S.S., Nong M. Hwang, Investigation of local order of a-SiN:H films deposited by hot wire chemical vapour deposition (HWCVD). Applied Surface Science, 2008. 255: p. 2557-2560. 21. V. Em. Vamvakas, S.G., FTIR characterization of light emitting Si-rich nitride films prepared by low pressure chemical vapor deposition. Surface & Coating Technology, 2007. 201: p. 9359-9364. 22. Neerushana Jehanathan, Y.L., Byron Walmsley, John Dell, Martin Saunders, Effect of oxidation on the chemical bonding structure of PECVD SiNx thin films. Journal of Applied Physics, 2006. 100(123516): p. 1-7. 23. S. Ali, M.C., S. Sivoththaman, K. Zeaiter, Properties and characterization of low- temperature amorphous PECVD silicon nitride films for solar cell passivation. Journal of Material Science, 2005. 40: p. 1469-1473. 24. Ji, T., S. Jung, and V.K. Varadan, Field-controllable flexible strain sensors using pentacene semiconductors. IEEE Electron Device Letters, 2007. 28(Compendex): p. 1105-1107. 25. Jung, S. and T. Jackson. Organic semiconductor strain sensors. in 63rd Device Research Conference, DRC'05, June 20, 2005 - June 22, 2005. 2005. Santa Clara, CA, United states: Institute of Electrical and Electronics Engineers Inc. 26. Zhou, L., et al., Flexible substrate micro-crystalline silicon and gated amorphous silicon strain sensors. IEEE Transactions on Electron Devices, 2006. 53(Compendex): p. 380- 385. 27. Munzenrieder, N.S., K.H. Cherenack, and G. Troster, Testing of flexible InGaZnO based thin-film transistors under mechanical strain. European Physical Journal, Applied Physics, 2011. 55(2): p. 23904 (5 pp.). 28. Sazonov, A. and A. Nathan. 120C fabrication technology for a-Si:H thin film transistors on flexible polyimide substrates. in Proceedings of 9th Canadian Semiconductor Technology Conference, 10-13 Aug. 1999. 2000. USA: AIP for American Vacuum Soc. 29. Mohammad R. Esmaeili-Rad, F.L., Andrei Sazonov, Arokia Nathan, Stability of nanocrystalline silicon bottom-gate thin film transistors with silicon nitride gate dielectric. Journal of Applied Physics, 2007. 102(064512): p. 1-7. 30. Xu Yan, F.F., Guangli Yang, Yuelin Wang, Investigation of the extinction coefficient of PECVD hydrogenated amorphous silicon nitride films. J. Micromech. Microeng., 2008. 18(085001): p. 1-7. 31. Ying, Y., L. Zong-zi, and W. Xin-qiao, Study on the stress of silicon nitride thin films prepared by PECVD. Proc. of SPIE, 2004. 5774: p. 212-215. 32. Breen, T., et al. Applications of uncooled microbolometer sensors. in Infrared Detectors and Focal Plane Arrays V, 14-17 April 1998. 1998. USA: SPIE-Int. Soc. Opt. Eng. 33. Jakonis, D., C. Svensson, and C. Jansson, Readout architectures for uncooled IR detector arrays. Sensors and Actuators A (Physical), 2000. A84(3): p. 220-9. 34. Wood, R.A., Uncooled infrared imaging arrays and systems, semiconductors and semimetals. Academic Press, 1997. 47: p. 45. 35. Hooge, F.N., T.G.M. Kleinpenning, and L.K.J. Vandamme, Experimental studies on 1/f noise. Reports on Progress in Physics, 1981. 44(5): p. 479-532. 36. Tissot, J.L., IR detection with uncooled focal plane arrays. Opto-Electronics Review, 2004. 12(1): p. 105-109. 37. Mangalaraj, D., et al., Study of a pulsed laser deposited vanadium oxide based microbolometer array. Smart Materials & Structures, 2003. 12(2): p. 188-192. 144

38. Howard, P.E., et al., Progress in uncooled focal plane sensor technology at Boeing. Infrared Technology and Applications Xxvi, 2000. 4130: p. 168-174. 39. Taniguchi, M., M. Hirose, and Y. Osaka, Substitutional doping of chemically vapor- deposited amorphous silicon. Journal of Crystal Growth, 1978. 45: p. 126-131. 40. Podraza, N.J., et al., Analysis of controlled mixed-phase (amorphous+microcrystalline) silicon thin films by real time spectroscopic ellipsometry. Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), 2009. 27(6): p. 1255-9. 41. Collins, R.W., et al., Evolution of microstructure and phase in amorphous, protocrystalline, and microcrystalline silicon studied by real time spectroscopic ellipsometry. Solar Energy Materials & Solar Cells, 2003. 78: p. 143-180. 42. Zhihua Hu, X.L., Hongwei Diao, Guanglin Kong, Xiangbo Zeng, Yanyue Xu, Amorphous silicon carbide films prepared by H2 diluted silane–methane plasma. Journal of Crystal Growth, 2004. 264: p. 7-12. 43. Tabata, A., et al., Band gap control of hydrogenated amorphous silicon carbide films prepared by hot-wire chemical vapor deposition. Journal of Non-Crystalline Solids, 2004. 338-340: p. 521-524. 44. Maria Losurdo, M.G., Pio Capezzuto, Giovanni Bruno, Fabrizio Giorgis, Structural and optical investigation of plasma deposited silicon carbon alloys: Insights on Si-C bond configuration using spectroscopic ellipsometry. Journal of Applied Physics, 2005. 97(103504): p. 1-6. 45. E. Pascual, J.L.A., J.L. Fernamdez, E. Bertran, Spectral ellipsometric and compositional characterization of hydrogenated amorphous silicon carbide thin films. Diamond and Related Materials, 1995. 4: p. 702-705. 46. D. K. Basa, G.A., G. Ambrosone, U. Coscia, and A. Marino, Spectroscopic ellipsometry study of hydrogenated amorphous silicon carbon alloy films deposited by plasma enhanced chemical vapor deposition. Journal of Applied Physics, 2010. 107(023502): p. 1-6. 47. Thevaril, J.J. and S.K. O'Leary, The role that conduction band tail states play in determining the optical response of hydrogenated amorphous silicon. Solid State Communications, 2011. 151(9): p. 730-733. 48. O'Leary, S.K., An empirical density of states and joint density of states analysis of hydrogenated amorphous silicon: A review. Journal of Materials Science: Materials in Electronics, 2004. 15(Compendex): p. 401-410. 49. Franta, D., D. Necas, and L. Zajickova, Models of dielectric response in disordered solids. Optics Express, 2007. 15(Copyright 2009, The Institution of Engineering and Technology): p. 16230-44. 50. Schmidt, J.A., M. Hundhausen, and L. Ley. Transport properties of amorphous hydrogenated silicon-carbon alloys. in Amorphous and Microcrystalline Semiconductors - Science and Technology. Eighteenth International Conference, 23-27 Aug. 1999. 2000. Netherlands: Elsevier. 51. Kie Jin, P. and G.N. Parsons, Bulk and interface charge in low temperature silicon nitride for thin film transistors on plastic substrates. Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), 2004. 22(Copyright 2004, IEE): p. 2256- 60. 52. Clark, A.H., Electrical and Optical Properties of Amorphous Germanium. Physical review, 1967. 154(5): p. 750-757. 53. A. Fedala, R.C., M. Aoucher, T. Mohammed-Brahim, Structural, optical and electrical properties of hydrogenated amorphous silicon germanium (a-Si1-xGex) deposited by DC

145

magnetron sputtering at high rate. Material Science and Semiconductor Processing, 2006. 9: p. 690-693. 54. K.B. Sundaram, a.B.K.G., Controlled Doping of rf Sputtered Germanium Films. Applied Physics A, 1984. 34: p. 117-121. 55. Bhan, M.K., L.K. Malhotra, and S.C. Kashyap, Electrical and infrared studies of ion beam sputtered hydrogenated amorphous germanum (a-Ge:H) films. Thin Solid Films, 1988. 163: p. 343-348. 56. Emil V. Jelenkovic, K.Y.T., W. Y. Cheung, I. H. Wilson, S. P. Wong, M. C. Poon, Low temperature doping of poly-SiGe films with boron by co-sputtering. Thin Solid Films, 2000. 368: p. 55-60. 57. Kosarev, A., et al., IR sensors based on silicon-germanium-boron alloys deposited by plasma: fabrication and characterization. Journal of Non-Crystalline Solids, 2008. 354(Copyright 2008, The Institution of Engineering and Technology): p. 2561-4. 58. Masatoshi Sugita, Y.S., Yuki Tomita, Masao Isomura, Microcrystalline germanium thin films prepared by the reactive RF sputtering method. Journal of Non-Crystalline Solids, 2008. 354: p. 2113-2116. 59. Marcano, G., Defect luminescence of nitrogen-doped hydrogenated amorphous germanium thin films. Material Letters, 1999. 39: p. 240-243. 60. Honma, I., et al., Properties of hydrogenated amorphous germanium-nitrogen alloys prepared by reactive sputtering. Journal of Applied Physics, 1989. 65(Copyright 1989, IEE): p. 1074-82. 61. R. J. Soukup, N.J.I., G. Pribil, Z. Hubicka, Deposition of high quality amorphous silicon, germanium and silicon-germanium thin films by a hollow cathode reactive sputtering system. Surface & Coating Technology, 2004. 177-178: p. 676-681. 62. Jun Xu, K.C., and Duan Feng, Preparation of hydrogenated amorphous germanium nitrogen alloys by plasma enhanced chemical vapor deposition. Journal of Applied Physics, 1996. 80(8): p. 4703-4706. 63. Longeaud, C., G. Fournet, and R. Vanderhaghen, Determination of the density of states of the conduction-band tail in hydrogenated amorphous silicon. Physical Review B (Condensed Matter), 1988. 38(11): p. 7493-510. 64. Sherman, S., S. Wagner, and R.A. Gottscho, Correlation between the valence- and conduction-band-tail energies in hydrogenated amorphous silicon. APPLIED PHYSICS LETTERS, 1996. 69(21): p. 3242-4. 65. Rerbal, K., et al. Measurement of band tail widths in hydrogenated amorphous silicon. in Suppl. 1. 2002. Elsevier. 66. Ohno, K., K. Murayama, and A. Matsuda, Hopping transport of electrons and holes at localized band tail states in amorphous hydrogenated silicon and amorphous heavy- hydrogenated silicon. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 2005. 44(7 A): p. 4764-4769. 67. Goetzberger, A., J. Luther, and G. Willeke, Solar cells: past, present, future. Solar Energy Materials and Solar Cells, 2002. 74(1-4): p. 1-11. 68. Bailey, S.G., R. Raffaelle, and K. Emery, Space and terrestrial photovoltaics: synergy and diversity. Progress in Photovoltaics: Research and Applications, 2002. 10(6): p. 399- 406. 69. Wolden, C.A., et al., Photovoltaic manufacturing: Present status, future prospects, and research needs. Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films, 2011. 29(3).

146

70. Jow-Lay, H., et al., Reactive magnetron sputtering of indium tin oxide films on acrylics- electrical resistivity and optical properties. Journal of Materials Engineering and Performance, 2000. 9(Copyright 2000, IEE): p. 424-7. 71. Kachouane, A., et al., Preparation and characterisation of tin-doped indium oxide films. Materials Chemistry and Physics, 2001. 70(Compendex): p. 285-289. 72. Roy Chowdhuri, A. and C.G. Takoudis, Investigation of the aluminum oxide/Si (1 0 0) interface formed by chemical vapor deposition. Thin Solid Films, 2004. 446(1): p. 155-9. 73. Katamreddy, R., et al., ALD and characterization of aluminum oxide deposited on Si(100) using Tris(diethylamino) aluminum and water vapor. Journal of the Electrochemical Society, 2006. 153(10): p. 701-6. 74. Inamdar, A.I., et al., Surfactant-mediated growth of nanostructured zinc oxide thin films via electrodeposition and their photoelectrochemical performance. Nanotechnology, 2008. 19(32). 75. Wahab, R., et al., The role of pH variation on the growth of zinc oxide nanostructures. Applied Surface Science, 2009. 255(9): p. 4891-6. 76. Mourey, D.A., et al. High-speed, low-temperature integrated ZnO/organic CMOS circuits. in 66th DRC Device Research Conference Digest, DRC 2008, June 23, 2008 - June 25, 2008. 2008. Santa Barbara, CA, United states: Institute of Electrical and Electronics Engineers Inc. 77. Sajeesh, T.H., et al., Optimization of parameters of chemical spray pyrolysis technique to get n and p-type layers of SnS. Thin Solid Films, 2010. 518(15): p. 4370-4374. 78. Ichimura, M. and H. Takagi, Electrodeposited ZnO/SnS heterostructures for solar cell application. Japanese Journal of Applied Physics, 2008. 47(10): p. 7845-7. 79. Paul, G.S. and P. Agarwal. Structural and stability studies of SnS nano-flakes synthesized by solvothermal process for solar photovoltaic applications. in 14th International Workshop on the Physics of Semiconductor Devices, IWPSD, December 16, 2007 - December 20, 2007. 2007. Mumbai, India: Inst. of Elec. and Elec. Eng. Computer Society.

147

Vita

Hang-Beum Shin was born in Korea on Feb. 9, 1974. He received the B.S. in Physics from

Yonsei University in Seoul, Korea in 1996, and the M.S. in Electrical Engineering from University of

Southern California in 2005. Between them, he worked as a fabrication engineer at Samsung Electronics.

His research interests have primarily been low cost electronic device applications; thin film devices, especially an autonomous powered circuit with a-Si:H solar cell and ZnO TFTs for use large-area array applications.