The Pennsylvania State University

The Graduate School

College of Engineering

EXPLORING NOVEL MICROFABRICATION TECHNIQUES

FOR SENSOR APPLICATIONS

A Dissertation in

Electrical Engineering

by

Chenchen Zhang

 2017 Chenchen Zhang

Submitted in Partial Fulfillment of the Requirements for the Degree of

Doctor of Philosophy

December 2017

The dissertaion of Chenchen Zhang was reviewed and approved* by the following:

Srinivas Tadigadapa Professor of Electrical Engineering and Biomedical Engineering Dissertation Advisor Chair of Committee

Zhiwen Liu Professor of Electrical Engineering

Weihua Guan Assistant Professor of Electrical Engineering

Saptarshi Das Assistant Professor of Engineering Science and Mechanics

Kultegin Aydin Professor of Electrical Engineering Head of the Department of Electrical Engineering

*Signatures are on file in the Graduate School

iii ABSTRACT

This work presents the exploration of glass microfabrication techniques for fabricating novel chip-scale glass based transducers. Inexpensive and readily available, glass materials possess exceptional properties that include excellent electrical insulation, broad optical transparency, and biocompatibility. Glass substrates are highly in demand in Microelectromechanical systems

(MEMS) but their use is not as widespread due to the limited availability of microfabrication processes. The focus of this dissertation is to develop glass microfabrication processes and their applications for MEMS sensors development.

Plasma processes on three compositions of glass substrates are explored using a modified inductively couple plasma reactive ion etching (ICP-RIE) system for high etch-rate, high aspect ratio, smooth etching performance, and understanding the fundamental plasma glass etching mechanism. Using SF6 as the plasma source gas and NF3 and H2O gases introduced downstream near the surface of the wafer through a diffuser gas inlet, etch rates as high as 1.06 μm/min, 1.04

μm/min, and 0.45 μm/min with surface smoothness of ~2 Å, ~67 Å, ~4 Å are achieved for fused silica, borosilicate glass, and aluminosilicate respectively after 5 minutes etches. High aspect ratio etch of 5.2:1, 10:1 and 2:1 are obtained for fused silica, borosilicate glass, and aluminosilicate glass respectively. Glass etching mechanism is further understood by analyzing the etch rates and corresponding partial pressure of plasma species detected by in-situ residual gas analyzer (RGA) with various position of the diffuser gas inlet. Statistical analysis indicates etch rate is critically influenced by ion flux. Fluorine based radicals and molecular fragments influence both the etch rate and surface smoothness of fused silica whereas they primarily influence the surface smoothness for borosilicate glass. The large fraction of impurity atoms of Ca and Al in aluminosilicate glass form non-volatile fluorides on the etch surface and therefore the etch rate and surface smoothness of aluminosilicate glass is primarily influenced ion flux and very little by the

iv fluorine chemistry. We also examine the role of the layout of the metal mask layer on how it influences the charging of glass substrates during etching and therefore the etch rate.

In the second half of the thesis, chip scale glass blowing technique is explored for novel sensing and packaging applications. Arrays of on-chip spherical glass shells of hundreds of micrometers in diameter with ultra-smooth surfaces and sub-micrometer wall thicknesses have been fabricated and have been shown to sustain optical resonance modes with high Q-factors of greater than 50 million. The resonators exhibit temperature sensitivity of -1.8 GHz K-1 and can be configured as ultra-high sensitivity thermal sensors for a broad range of applications. By virtue of the geometry's strong light-matter interaction, the inner surface provides an excellent on-chip sensing platform that truly opens up the possibility for reproducible, chip scale, ultra-high sensitivity microfluidic sensor arrays. As a proof of concept we demonstrate the sensitivity of the resonance frequency as water is filled inside the microspherical shell and is allowed to evaporate.

By COMSOL modeling, the dependence of this interaction on glass shell thickness is elucidated and the experimental results of the sensitivity of two different shell thicknesses is explained.

In the last chapter, chip-scale blown, glass microbubbles are explored for encapsulation of ferrofluid atop a micromachined quartz resonator configured as a magnetometer. The concept of a ferrofluid based magnetometer has been previously reported where the viscoelastic response of a thin interfacial ferrofluid layer loaded atop a high frequency shear wave quartz resonator to applied magnetic field is monitored. The magnetic field can be sensitively quantified by the changes in the at-resonance admittance characteristics of the resonator. However, under open conditions, continuous evaporation of the ferrofluid compromises the long term performance of the magnetometer. In this work, we integrate glass hemispherical microbubbles, used as vessels of ferrofluid, on the resonator chip to seal and prevent the evaporation of the ferrofluid liquid and drying out. Using these improvements, a minimum detectable field of 600 nT at 0.5 Hz is achieved.

v Moreover, comparing with the unsealed ferrofluid device, the lifetime of the glass microbubble integrated chip packaged device improved significantly from only few hours to over fifty days and continuing.

vi

TABLE OF CONTENTS

List of Figures ...... viii

List of Tables ...... xiv

Acknowledgements ...... xv

Chapter 1 Introduction ...... 1

1.1 Application overview of glass materials ...... 1 1.2 Motivation of exploring glass micro-fabrication techniques ...... 9

Chapter 2 Inductive Coupled Plasma – Reactive Ion Etching (ICP-RIE) of Fused Silica Substrate ...... 14

2.1 Plasma Etching of Glass Materials: Background ...... 14 2.2 Sample Preparation ...... 17 2.3 Conventional ICP-RIE Glass Etching ...... 18 2.4 Modified ICP-RIE Chamber for Fused Silica Glass Etching ...... 22 2.4.1 Chamber Modification 1: Diffuser Ring System ...... 23 2.4.2 Chamber Modification 2: Diffuser Tube System ...... 34 2.5 High Aspect Ratio Etching of Fused Silica ...... 37 2.6 Skin Layer Formation ...... 38 2.7 High Aspect Ratio Etching of Fused Silica ...... 39 2.8 Summary ...... 40

Chapter 3 Inductive Coupled Plasma – Reactive Ion Etching (ICP-RIE) of Borosilicate and Aluminosilicate Substrates ...... 43

3.1 Introduction ...... 43 3.2 Experimental Setup ...... 45 3.2.1 Chamber Modification ...... 45 3.2.2 Sample Preparation ...... 46 3.3 Experimental Results & Discussion ...... 47 3.3.1 Conventional SF6 ICP Etch of Fused Silica and Borosilicate glass ...... 47 3.3.2 Modified ICP-RIE for Various Glass Composition Substrate: Diffuser- ring Set-up ...... 49 3.3.3 Modified ICP-RIE for Various Glass Composition Substrate: Diffuser- tube Set-up ...... 53 3.3.4 High Aspect Ratio Glass Etching with Diffuser Gas Inlet ...... 56 3.3.5 Loading Effect and Charging Effect ...... 63 3.4 Summary ...... 65

vii Chapter 4 Glass Micro-spherical Shell Based Whispering Gallery Mode (WGM) Resonator Sensing Platform ...... 67

4.1 Introduction of WGM optical resonance and background of WGM based resonator ...... 67 4.2 Motivation of proposing on-chip glass micro-spherical shell supported WGMs ...... 69 4.3 Fabrication process development roadmap ...... 71 4.4 COMSOL modelling of micro-spherical shell supported WGMs...... 77 4.5 Experimental Setup and WGM Resonances ...... 83 4.6 Thermal sensing: experimental results and modelling discussion ...... 87 4.7 Liquid core sensing: experimental results and modelling discussion ...... 90 4.8 Additional Preliminary Results ...... 93 4.8.1 Integrated Microfluidic Devices using Microspherical Shell Optical Resonators ...... 93 4.8.2 Resonance in serially coupled Optical Resonators ...... 94 4.9 Summary ...... 95

Chapter 5 Glass Microbubble Packaged Ferrofluids – Microfabricated Quartz Resonator Based Magentoviscous Magnetometer ...... 97

5.1 Introduction and motivation ...... 97 5.2 Device Fabrication and Experiment Set-up ...... 101 5.2.1 Quartz Resonator Chip ...... 101 5.2.2 Glass Microbubble Chip ...... 102 5.2.3 Ferrofluids Packaging ...... 103 5.2.4 Experiment Set-up ...... 104 5.3 Results and Discussion ...... 105 5.3.1 Characterization of quartz resonator ...... 105 5.3.2 Responds of magnetic field ...... 107 5.3.3 Lifetime of packaged device ...... 111 5.4 Summary ...... 112

Chapter 6 Summary and Future Work ...... 114

REFERENCE ...... 118

Appendix NF3 and H2O mass flow controller ...... 128

viii LIST OF FIGURES

Figure 1-1. Applications of glass in various fields. The center image shows the famous glass made construction: Louvre Pyramid. The top image shows the application of glass in solar cell substrate as transparent roof. The left image shows the large scale floor glass window. The right image shows the glass panel based conceptual automotive interior. The bottom three images show the applications of glass as glassware, glass lenses and glass fibers in scientific research. Courtesy: Images are from google images...... 2

Figure 1-2. Glass substrate overall market size in wspy in breakdown per technical functionalities within 6 years. Courtesy: Image is cited from Yole development website...... 3

Figure 1-3. 3-D cutaway drawing of a typical CMOS active sensor pixel. Courtesy: Image is from The Molecule Expressions program website...... 4

Figure 1-4. Glass wafer with TGVs structures. (b) Glass wafer with micro-holes structures. (c) Glass wafer with cap structures. (d) Illustration of wafer level packaging process with glass wafer. Courtesy: images are from Tecnisco, LTD website...... 5

Figure 1-5. Schematic of the crystal structure of crystalline quartz showing the a- and c- planes and axes. Taken from http://www.quartzpage.de/gen_struct.html...... 7

Figure 1-6. Sketch of a conventional ICP-RIE etcher showing gas inlet, ICP coils, RF substrate, magnetic pieces and substrate wafer...... 13

Figure 2-1. Schematic process flow for the preparation of glass substrates used in the etch tests. 15 nm of Cr and 150 nm of Au seed layer were deposited prior to plating 2 - 3 µm of nickel which acts as hard mask in these tests...... 18

Figure 2-2. (a) Experimentally obtained etch rate and rms roughness values for various SF6 flow rates. Since the pumping speed remains constant for these conditions, increasing flow rate corresponds to increasing pressure in the chamber. Error bars were obtained by measuring etch rate for several 100 µm features across a single 4” silica wafer Etch time = 20 min. (b) Residual gas analyzer data for 100 sccm SF6 flow clearly shows the presence of atomic fluorine as well large amounts of SFx + species. Inset shows the sum of the partial pressures of F and SF5 concentrations for various SF6 flow rates and the corresponding etch rates of fused silica...... 22

Figure 2-3. Schematic illustration of the modified ICP-RIE systems with ring-diffuser system connected to NF3 and H2O gas cylinders through the gas panel...... 24

Figure 2-4. (a) Optical photo showing the top view of the machined shower head fitted to the substrate holder and (b) Optical photo showing an oblique view of the shower head with zoomed-in image of the showerhead nozzles...... 25

ix Figure 2-5. Bar graph showing the composition of the plasma species for the various gas flow rates. The legend shows the etch rate and pressure in the chamber at which the etch was performed. For each species the bars correspond to etch rates from fastest to the slowest from left to right. Etch time in all cases was 15 min...... 27

Figure 2-6. SEM pictures of etched surface by (a) conventional SF6 etch process (left) and (b) ring diffuser system (right). The etches were performed at PSource = 2000W and PSubstrate = 400 W and etch time for both cases was 20 minutes...... 29

Figure 2-7. (a) Bar graph showing the chemical composition of the plasma as measured by the RGA with the etches with smoothest surfaces (red) to roughest (blue) listed from left to right. Clearly large HF and H2O peaks are seen in the smoothest etches – whereas the rough surface etches are dominated by large SF5 peaks and do not use H2O as the process gas. (b) AFM image of the smooth etched surface obtained with ring active etch corresponding to SF6:NF3:H2O :: 60:100:50. All etches were performed for 15 minutes...... 31

Figure 2-8. Bar graph showing the partial pressures of various molecular fragments for SF6 + H2O plasma. All etches shown here were performed at a constant pressure of 8.5 mTorr. The legend for the graph lists the various gas flow rates, the bias potential, the obtained etch rates and roughness values, and the percent increase in the ion flux in comparison to the SF6:NF3:H2O :: 60:0:140 etch. All etches were performed for 15 minutes...... 33

Figure 2-9. Aspect ratio dependent etch rates of fused silica features of varying widths. The data shown here is for 15 minute long etches using SF6:NF3:H2O::60:100:50, 8.5 mTorr pressure, 2000 W source power and 400 W substrate power and etch time of 15 minutes...... 34

Figure 2-10. Sketch of the diffuser tube modified ICP-RIE; the inset picture show the optical image of diffuser tube...... 35

Figure 2-11. Obtained etch rates with diffuse tube on fused silica substrates...... 36

Figure 2-12. SEM photograph of a 20 µm wide feature shows a very vertical wall with sidewall angles of 88.7°. The bottoms of the trenches are flat and do not show any trenching features indicating the chemical nature of the etch. Although the image shows a slight bottle like shape, this is likely due to the angled facet of the image arising during the cleavage of the sample for SEM. Etch time was 150 min...... 38

Figure 2-13. (a) Sidewall layer formation can seen in the SEM photograph where ~100 nm thick nickel fluoride/oxide layer is formed on the sidewalls. Inset shows a broken fragment of the formed sidewall film (b) After stripping the layer in nickel etchant, a smooth sidewall is obtained. The formation of passivation layer on the sidewalls is able to provide inhibitor driven anisotropy...... 39

Figure 3-1. Experimentally obtained etch rate and rms roughness values on fused silica and borosilicate glass for various SF6 flow rates. Since the pumping speed of the

x pumping system remains constant for these conditions, increasing flow rate corresponds to increasing pressure in the chamber...... 49

Figure 3-2. 4D plot of the etch rate of (a) fused silica; (b)borosilicate glass; (c) aluminosilicate glass as a function of the flow rates of SF6 (from source) and NF3 and H2O for diffuser-ring configuration. Color of the circles indicates the etch rate...... 51

Figure 3-3. Experimentally measured partial pressure of plasma species in diffuser-ring modified etch system and conventional ICP etch system respectively by an in-situ Residual Gas Analyzer (RGA). Identical total pressure was regulated in the RGA system during mass spectrum acquisition in the two cases...... 53

Figure 3-4. 4D plot of the etch rate of (a) borosilicate glass; (b) aluminosilicate glass as a function of the flow rates of SF6 (from source) and NF3 and H2O for 10 cm height diffuser-tube. Color of the circles indicates the etch rate...... 54

Figure 3-5. Bar graph of partial pressure percentage of radical species of interest obtained with 10 cm height diffuser-tube gas inlet and diffuser-ring gas inlet with identical etch recipe. The etches were processed for 5 minutes...... 55

Figure 3-6. Bar graph showing the percentages of the various molecular fragments as measured by the RGA in SF6:NF3::60:20 sccm borosilicate glass etching with different diffuser tube heights. The magnitude of NFx peak is the sum of magnitudes of NF, NF2 and NF3 peaks. The magnitude of SFx peak is a sum of magnitudes of SF, SF2, SF3, SF4 and SF5 peaks. The magnitude of SiFx peak is a sum of magnitudes of SiF, SiF2 and SiF3 peaks. All etches were performed for 5 minutes...... 56

Figure 3-7. SEM photograph of a borosilicate glass etched using diffuser-ring modification. The etch was performed under the following conditions: ICP Power = 2000 W, Substrate Power = 400 W, Gas Flow Conditions: SF6:NF3:H2O :: 20:20:25, Etch Time = 150 mins. The obtained Etch Rate = 0.67 μm/min (50 μm feature) and the highest aspect ratio is 9.3:1...... 58

Figure 3-8. SEM Photograph of the cross-sectional profile of borosilicate glass etch using 10 cm height diffuser-tube. The etch was performed under the following conditions: ICP Power = 2500 W, Substrate Power = 400 W, Gas Flow Conditions: SF6:NF3 :: 60:20, Etch Time = 210 mins. The obtained Etch Rate = 1.06 μm/min (50 μm feature, after 5 minutes) and the highest aspect ratio is 10.6:1...... 59

Figure 3-9. SEM photographs of the cross-sectional profiles of the etch features in aluminosilicate glass using the 10 cm height diffuser-tube modification. The etch was performed under the following conditions: ICP Power = 2000 W, Substrate Power = 450 W, Gas Flow Conditions: SF6:NF3 :: 60:20, Etch Time = 210 mins. The obtained Etch Rate = 0.45 μm/min (50 μm feature, after 5 minutes), aspect ratio: 1.8 : 1...... 63

Figure 3-10. Images of three kinds of patterns used for evaluating the loading and charging effects. Each pattern consists of different percentages of the overall etched areas. (a) Etched area ~50%, (b) Etched area ~11%, and (c) Etched area ~10%.

xi Additionally, pattern shown in (b) consists of nickel pattern (light brow in color) that is electrically isolated within each patterned squared and does not connect to the edges of the wafer where the mechanical clamp makes an electrical contact to the nickel mask layer...... 64

Figure 4-1. Chip-scale glass microspherical shells blown on silicon substrate. Inset shows a near perfect glass microspherical shell with a sphericity of 0.996...... 70

Figure 4-2. (a) Silicon wafer is patterned and plasma etched to a depth of 250 µm to define circular pits (b) Borosilicate glass wafer is optionally patterned and plasma etched to define heG µm deep circular features (c) The two wafers are aligned and anodically bonded. (d) Borosilicate wafer is thinned down to a thickness of t µm in hydrofluoric acid. (e) Glass microbubble is blown at 775 °C in a vacuum oven maintained at a pressure of 100 Torr...... 72

Figure 4-3. SEM image of sidewall thickness measurements at the equatorial plane of glass microspherical (a) #4 and (b) #7...... 76

Figure 4-4. (a) 3D view of simulated WGM resonance modes confined in spherical shell. (b) The geometry definition of the computational domains. The arc spherical shell domain is in diameter of 600 µm and thickness of 4 µm, defining with borosilicate glass properties. The rest domains in the rectangular zone is defined with air properties...... 79

Figure 4-5. Comsol FEM simulation of whispering gallery resonance modes in borosilicate micro-spherical shell. The WGM resonance is modeled in a spherical shell with diameter of 600 µm. The thickness of the glass shell is 4 µm in (a) – (d). The center wavelength of the couple incident laser is 760 nm. The azimuthal number is calculated as 3638. The scale bar presents the physical dimension of the cross section of the spherical shell near equatorial plane. The color bar illustrates the electric field intensity of the resonate mode. (a) n=1, m=l, p=1 (TE mode), (b) n=1, 2 m=l, p=1/nr (TM mode), (c) n=1, m – l = 1, p=1 (TE mode), (d) n=2, m=l, p=1 (TE mode)...... 82

Figure 4-6. (a) Schematic illustration of the experimental set-up for the measurement for the WGM resonance in glass bubbles. (b) Optical image showing the light confined to the equatorial plane of microspherical shell #9 upon evanescent coupling of the light through the tapered fiber...... 83

Figure 4-7. Transmission spectrum of the optical resonance in (a) microspherical shell #6 and (b) microspherical shell #5 within 15 GHz frequency span...... 87

Figure 4-8. (a) Experimentally measured temperature induced resonance frequency shift of ~107 Q-factor resonance mode in the transmission spectrum of microspherical shell #7. (b) COMSOL simulation was used to fit the experimentally measured frequency shift by parametrically tuning the effective value of TCE of the microspherical shell. Good fit was found for an effective TCE value of 2.19 × 10-6 K-1 for the microspherical shell #7. (c) Measured temperature induced resonance frequency shift within a finer temperature change for microspherical shell #8 and #9. .. 89

xii Figure 4-9. Water filled microspherical shell #10. The silicon substrate is wet etched in TMAH by 250 µm to open the bottom access for filling liquid. The liquid is filled by immersing the microbubble in the water and pumping the air in a vacuum chamber...... 91

Figure 4-10. (a) Transmission spectrum of resonant modes obtained from microspherical shell #10 with wall thickness of 4.7 µm. A blue-shift of the resonant modes was observed as the water-filled microspherical shell core dries out. Inset image shows 0.51 GHz frequency shift observed in a 2.5×106 Q-factor mode. (b) COMSOL simulated frequency shifts between water-core and air-core microspherical shells with diameters of 600 µm as a function of the shell thicknesses ranging from 300 nm to 10 µm. Experimental data for two microspherical shells of thicknesses 4.7 μm and 6.4 μm is also shown. (c)-(d) FEM solved fundamental TE mode showing the spatial distribution of the electric field intensity in 0.6 µm shell thickness with water and air core respectively. (e)-(f) Electric field intensity is plotted in logarithmic scale for water and air cores in 0.6 µm thick shell and clearly exhibits penetration of electric field into water core in (c). (g)-(h) FEM solved fundamental TE mode in a 8 µm thick microspherical shell with water and air core respectively. (i)-(j) Electric field intensity plotted in logarithmic scale for the two cores for the 8 µm thick microspherical shell. The simulations clearly show that the TE mode electric field interacts strongly with the fluid in the core of thinner walled microspherical shells than for thicker shell walls and explains the larger frequency shift obtained for thinner walled shells...... 92

Figure 4-11. Transmission spectrum of resonant modes obtained from the fully silicon substrate removed glass microbubble in the diameter of 750 µm and initial thickness about 4 µm. The quality factors of the obtained modes reduce due to the glass microbubble surface roughening in the KOH releasing process...... 94

Figure 4-12. Transmission spectrum of single microbubble coupling and double microbubbles coupling ...... 95

Figure 5-1. (a) Schematically illustration of magnetic particles in ferrofluids. The diameter of the particle is about 10 nm and the length of the surfactant is about 2 nm. (b) Magnetoviscous effect: the viscosity of the ferrofluids increases as increase of magnetic field...... 98

Figure 5-2. Schematic illustration of the ferrofluid – quartz resonator based magnetoviscos magnetometer...... 100

Figure 5-3. (a) – (e) : Schemaic illustration of the design and fabrication of ferrofluid- μQCR magnetometer. (a) 100 μm thick AT-cut quartz substrate, (b) optimized ICP- RIE etched 90-95 μm quartz resonating region with deposition and patterning of 15/150 nm thick Cr/Au backside electrode, (c) Deposition and patterning of 15/150 nm thick Cr/Au front side common electrode, (d) Deposition and patterning of 500 nm thick Metglas magnetic flux concentrator. (e) optical image of the fabricated μQCR...... 102

Figure 5-4. (a) Anodic bonded borosilicate glass wafer and etched silicon wafer. (b) Glass microbubble formed with thermal annealing process. (c) Schematic illustration

xiii of the expanded glass microbubble chip. (d) Optical image of microbubble package chip after drilling holes on the top of microbubbles. (e) Optical image of microbubble package chip after removing silicon substrate...... 103

Figure 5-5. (a) Schematic illustration of packaged device. The dimension mismatch of glass microbubble chip and quartz resonator chip provides the access of wire- bonding between top-electrode to the ceramic package. (b) Image of glass microbubble packaged ferrofluid- μQCR device...... 104

Figure 5-6. (a) Low noise current source is used to drive Helmholtz coils for modulating magnetic field in the magnetic shield box. Device Under Test (DUT) is connected with network analyzer. (b) Image in the shield box: glass microbubble packaged ferrofluid- μQCR is placed on a stage at the center of Helmholtz coils and connected with network analyzer through SMA connector...... 105

Figure 5-7. Characterization of quartz resonance during the packaging process...... 106

Figure 5-8. Obtained high Q-factor resonator after loading ferrofluids in the glass microbubble...... 108

Figure 5-9. (a) Real-time susceptance responds to modulated magnetic field. Modulation frequency :0.5 Hz; modulation field: 33.6 µT. The scan time is 20 seconds. (b) FFT is applied to the measured susceptance responds in time spectrum. FFT peak-signal at the modulation frequency of the magnetic field is tracked to quantify the susceptance responds. (c) Amptitudes of FFT peak-signals at the modulation frequency are plotted as a function of intensity of modulation magnetic field...... 109

Figure 5-10. Susceptance responds to various modulation frequency as a function amptitude of magnetic field...... 110

Figure 5-11. Comparison of susceptance responds of the devices with and without Metglas® flux concentrator as a function amptitude of magnetic field...... 111

Figure 5-12. Frequency shift of Device 1 and Device 3 under external magnetic field as a function of time...... 112

xiv LIST OF TABLES

Table 1-1. Bond dissociation energy of oxides in glass materials...... 10

Table 1-2. Capability, advantages, and disadvantages in various types of etching ...... 10

Table 2-1. Summary of the reported glass etching results from literature...... 15

Table 2-2. Summary of the process parameter space available on the AMS 100 ICP-RIE ..... 19

Table 2-3. Summary of the process parameter space available on the modified ICP-RIE system being optimized for silica etching...... 25

Table 2-4. Comparison between SF6/NF3/H2O based etching and single SF6 plasma etching at 400 W substrate power...... 28

Table 2-5. Etch rates and roughness obtained with different lengths tube on fused silica. Wafers were etched for 5 mins. Etch rates and surface roughness values were presented as averages of five 100 μm wide feature data which were acquired by profilometer...... 36

Table 2-6. Mask Dependent Etching Performance 2500W/400W Power ...... 40

Table 3-1. Comparison between SF6/NF3/H2O based etching and single SF6 plasma etching at 400 W substrate power...... 51

Table 3-2. Role of relative ion flux and various fluorine radicals and molecules on the etch rate and surface roughness of the glass substrates etched in this work. The table lists the value of Pearson correlation coefficients and the P-values for these parameters based upon 41 independent etches performed in this work...... 61

Table 3-3. Summary of optimized glass etch rates and surface smoothness ...... 66

Table 4-1. Summary of presented configurations of WGM resonators in literature ...... 68

Table 4-2. Calculated and experimentally measured values of the glass microspherical shell dimensions for the given glass blowing conditions. For devices where glass wafer is not etched prior to bonding, r0G and heG are not applicable...... 73

Table 4-3. Appearance order of second order radial TE mode (n=2, m=l, p=1) with different shell thickness. Diameter of the modeled spherical shell is 600 μm ...... 81

Table 4-4. Optical characteristics of blown microbubbles...... 84

Table 5-1. Resonance characteristics of three fabricated quartz resonators...... 107

Table 5-1. Summary of obtained sensitivity from three devices...... 111

xv ACKNOWLEDGEMENTS

This dissertation documents the experience and results of research and development in

Penn State. At the end of the five-year memorable journey, I would like to express my sincere acknowledge to everyone who is along with me.

Firstly, I would like to express my deepest gratitude to my research adviser, Dr. Srinivas

Tadigadapa, for his inspiration, instruction and support to me in the research projects. Without your guidance, I could not gain the professional knowledge and achieve those objectives in the research.

But above all, I think I could benefit for my whole life from learning from your personality of the way of working with students, colleagues and other people. I really enjoyed working with you in our research group in the last five years.

Secondly, I am indebted to Dr. Zhiwen Liu for your professional and insightful suggestions to our glass microbubble WGM resonator project. The discussions raised in our group meetings indeed inspired me and equipped me to tackle the tough questions in the project. Without your help,

I could never easily and quickly access to a new professional field on my own.

Thirdly, I would like to thank Dr. Weihua Guan and Dr. Saptarshi Das for your professional suggestions and support towards the successful completion of my study in Penn State.

I would like to thank my colleagues in our research group who are always generous to help me when I have questions in the research.

Thanks to all of my friends in my heart who had had to leave or still being trapped in the lovely small town.

To my parents and wife.

And the time in State College.

Chapter 1

Introduction

1.1 Application overview of glass materials

As one of the oldest artificial materials, glass is believed to have been accidently produced by Phoenicia merchants in the region of Syria around 5000 BC. The merchants landed and then rested cooking pots on blocks of nitrate placed by fire. The intense heat from the fire melted the nitrate blocks and eventually mixed with the sand of the beach to form opaque beads of glass.

Around 3000 BC, glass was used to graze on pots and vases. The discovery of the new decoration may have been coincidental with overheating calciferous sand which when combined with sodium materials in the kiln formed a colored glaze on the ceramics. The new art quickly spread along the coast of the Mediterranean. Fragments of glass vases have also been independently found in the region of ancient Mesopotamia, Greece, China, and North Tyrol dating back to 1500 BC. The first

100 years of AD saw rapid developments in techniques making it possible to realize a great diversity of hollow glass structures. By 11th century Venice became the center of glass industry, where glass craftsmen perfected art of glassblowing techniques to form sheet glass and glass was used as a commercial industry material on doors and windows. By 18th century, glass was being extensively used for scientific experiments, in lenses and mirrors in telescopes, microscopes and other optical devices, and chemistry glassware which began an intense period of research and study of glass in all its various compositions and morphological .

Glassware is now ubiquitously with food and cooking in the kitchen due to its non-toxic properties; in scientific research in the laboratory due to its corrosion resistance and low reactivity.

Glass windows are extensively used in the design and construction of high-efficiency and

2 environmentally friendly buildings as well as in the automotive industry. Glass is also an integral and important element of photovoltaic solar panels. Glass is the material for display and touch screens in electronic devices such as televisions, computers, cell phones, tablets and so on. With the requirement of providing better interface functions, the electronic device market is exploring new generation of display glasses with the capabilities of displaying bright high resolution images on extremely large displays, while simultaneously being mechanically robust, as well as being flexible for curved display applications. Figure 1-1 shows some examples of the use of glass in various fields.

Figure 1-1. Applications of glass in various fields. The center image shows the famous glass made construction: Louvre Pyramid. The top image shows the application of glass in solar cell substrate as transparent roof. The left image shows the large scale floor glass window. The right image shows the glass panel based conceptual automotive interior. The bottom three images show the applications of glass as glassware, glass lenses and glass fibers in scientific research. Courtesy: Images are from google images. In addition to being inexpensive, readily available, high optical transparency, excellent electrical insulation, high electrical breakdown resistance, good mechanical properties and

3 biocompatibility making it the material of choice in everyday applications, glass in the form of silicon dioxide has played a pivotal role in evolution of microelectronics industry [1] and is still continuing to play a critical role in modern microelectronic devices. Until recently, silicon oxide has been the gate material that controls the charges in the channel of MOSFET transistor which triggered the era of modern integral circuits. Silicon oxide served as gate material in MOSFET transistors for about 60 years since MOSFET the initial manufacturing of these devices began in early 1960’s [2]. Although it is now being gradually replaced by high-k material due to the exacerbated gate leakage issues in nanoscale transistor, glass material is beginning to play an increasingly dominant role in the MEMS devices and microscale transducers. Glass substrate market is projected to grow at a compound annual growth rate of 23% over the next five years.

Related revenues are expected to exceed $594 million by 2022. Figure 1-2 shows overall market size of glass substrates in wafer shipped per year (wspy) over the next five years and broken down according to technical functionalities.

Figure 1-2. Glass substrate overall market size in wspy in breakdown per technical functionalities within 6 years. Courtesy: Image is cited from Yole development website.

4 An important category of the market with a high projected rate of growth, as shown in

Figure 1-2, is the CMOS image sensor (CIS). This includes the realization of reliable glass on-chip micro-lens, since micro-lenses are indispensable units of every image pixel in CIS. Figure 1-3 shows a cutaway drawing of a typical CMOS active sensor pixel [3]. Another area where glass plays a pivotal role is in microelectronic chip packaging. Patterned and structured glass realized through glass etching techniques along with wafer bonding processes are used for realizing devices with through glass vias (TGV) [4]–[6], and for glass encapsulation [7], [8]. Figure 1-4 (a) – (c) show patterned and structured glass wafers used for manufacturing glass based devices and products [9]. Figure 1-4 (d) shows an example of the structured glass products applied in the electronic device packaging [10]. Due to the matched thermal expansion coefficient of several formulations of glass with that of silicon, glass has been proposed to be used in the new generation of fan-out wafer level package (FOWLP) packaging process [11] with an expected market size of

30% of the projected glass substrates market size, shown in Figure 1-2, by 2022.

Figure 1-3. 3-D cutaway drawing of a typical CMOS active sensor pixel. Courtesy: Image is from The Molecule Expressions program website.

5

Figure 1-4. Glass wafer with TGVs structures. (b) Glass wafer with micro-holes structures. (c) Glass wafer with cap structures. (d) Illustration of wafer level packaging process with glass wafer. Courtesy: images are from Tecnisco, LTD website. From Figure 1-2, it can also be seen that microfluidic applications occupy the largest portion of the current and projected glass market. Even though there are other polymer based candidates vying for microfluidic devices market, glass material possesses unique properties such as high optical transparency over a wide wavelength spectrum and low thermal expansion characteristics that are highly desirable in opto-fluidic and sensing applications. In the field of memory circuits, silicon nitride and silicon oxide as layered structure are typically used in 3D flash cell where silicon oxide serves as a small unit of capacitor to charge and discharge [12]. Thus, exploration of silicon oxide based microfabrication techniques is critical for future innovations in the memory circuit market.

The word glass represents amorphous materials, and actually represents various compositions of materials as long as they consist of silicon oxide or alkane oxide in amorphous phase. Thus glass substrates can be categorized based on various composition and crystallization states. In this dissertation, silicon dioxide substrates will be categorized and discussed as following:

Ι. Single crystalline silicon oxide also known as quartz demonstrates excellent piezoelectric property and is widely used as resonators. ΙΙ. Amorphous silica substrates which include (i) fused silica, (ii) borosilicate glass and (iii) aluminosilicate glass. Fused silica and borosilicate glass

6 substrates have found extensive use and applications in microelectro-mechanical systems (MEMS), micro-optical devices, and microfluidic lab-on-a-chip devices, whereas, aluminosilicate glasses are being increasingly used in display applications.

Fused silica consists of only silicon oxide in amorphous form. The purity of fused silica provides good transmission characteristics in the ultraviolet is thus used as an excellent material for making fibers and lenses [13]. The low thermal expansion coefficient also makes fused silica a useful material for precision mirror substrates [14]. The combination of high transmission coefficient in ultraviolet and low thermal expansion coefficient also makes fused silica the material of choice for mask substrates and reticles in photolithography applications where the laser wavelength is larger than 157 nm [15]. The high melting temperature of fused silica allows for use as enclosure material in high intensity discharge lamps and as tube inserts in furnaces used in semiconductor industry [16]. Patterning, metallization, and etching of fused silica is used to construct high-precision microwave circuits and narrowband filters [17].

Quartz also only consists of silicon oxide but in crystalline in structure as opposed to the amorphous nature of fused silica. Quartz belongs to the trigonal crystal system. The ideal crystal shape is a six-sided prism terminating with six-sided pyramids on the top and bottom faces of the prism as shown in Figure 1-5. Single crystal piezoelectric quartz is an anisotropic material and depending on the cut angle, quartz crystals show different inherent properties. For certain cuts such as the Y-cut or the AT-cut quartz that is sandwiched between electrodes, quartz resonates in thickness shear mode (TSM). In these crystal cuts, application of a sinusoidal electrical field with the electrodes sets-up the shear wave through the thickness of the quartz, so that the device exhibits a resonance behavior when the thickness of the quartz slab is half the wavelength of the shear acoustic wave. As a result, the resonance frequency is inverse proportional to the thickness of quartz and is extremely sensitive to the loadings on the quartz resonator. Loadings on the

7 resonator surface can be pure elastic loads (solids), pure viscous loads (liquids), viscoelastic loads

(polymer) or a combination of any of these [18].

Figure 1-5. Schematic of the crystal structure of crystalline quartz showing the a- and c- planes and axes. Taken from http://www.quartzpage.de/gen_struct.html.

Borosilicate glass is another amorphous type of glass which consists of 80% SiO2, 13%

® B2O3, 4% Na2O and 3% Al2O3 and Na2O. It is known by the trade names of Borofloat glass (Schott

Glass Inc.) or Pyrex® glass (Corning Inc.). Compared to the melting temperature of fused silica of

1600 °C, the addition of dopants in the form of boric-oxide and alkaline-oxide lower the melting point of borosilicate glass to around 850 °C. Therefore, borosilicate is easier melt and reflow for applications requiring such properties. Borosilicate glass is widely used in laboratory in the virtue of its chemical inertness and thermal stability, optical clarity, and low cost. Borosilicate is not only used as laboratory glassware but has also been used in various MEMS application by integration on silicon substrates through direct silicon-glass anodic bonding process. The resistance of a 500

µm thick borosilicate glass reduces from 2 MΩ to 5 kΩ as glass temperature is increased from 20

°C to 400 °C. With a negative voltage applied on the glass substrate of a glass-silicon stack, the

8 mobile positive alkaline ions in borosilicate move away from the glass-silicon interface creating a depletion region at the glass-silicon interface with a very large electric field. The negatively charged volume oxygen ions drift to the bond interface and react with silicon atoms to from SiO2. The anodic wafer bonding process is commonly used in electronics, microfluidics, and MEMS packaging applications [19], [20].

Aluminosilicate glass is another group of amorphous glasses that contain less SiO2 and more alkaline-oxide and aluminum oxide dopants. Generally, alkaline earth boro-aluminosilicate based glasses are more robust than fused silica and borosilicate glass [21]. The glass is strengthened by ion exchange during the manufacturing process. Here, the glass is immersed in a molten alkaline potassium salt bath at a temperature of approximately 400 °C, wherein smaller sodium ions in the glass are replaced by the larger potassium ions from the salt bath. The larger ions occupy more volume and thereby create a surface layer of high residual compressive stress at the surface, giving the glass surface increased strength [21]. Therefore, being more resistive to mechanical tension, aluminosilicate glass is widely used as display glass in electronic products. As an example of

® aluminosilicate glasses, Eagle glass consists of 55% SiO2, 21% CaO, 10% Al2O3, 7% B2O3, and

® 1% Na2O. As the first barium-free glass launched by Corning in 2006 for TFT and LCD market,

Eagle® glass enables panel manufacturers to innovate for thinner and lighter display panels. In

2016, Corning Inc. demonstrated a 0.25 mm-thick, 300 mm×1500 mm size Eagle glass substrate for curved LCD display. Meanwhile, by adjusting the compositions of oxidants in the glass, aluminosilicate can be used for dedicated functionalities. For example, Eagle® glass is designed for

TFT and LCD market and is being developed as thin flexible substrate suitable for large area curved display panels. Lotus™ is another customized glass by Corning® for LCD and OLED display applications and provides low total pitch variation and high display resolution.

9 1.2 Motivation of exploring glass micro-fabrication techniques

As one of the most promising applications, glass substrate based wafer level packaging for memory and logic integrated circuits has been proposed for over a decade. Traditionally, silicon substrate is used for through-substrate vias (TSVs) in 3D IC integration. However, it is challenging to use silicon through-substrate vias in RF wideband filter applications due to the low dielectric constant which induces significant energy loss in such devices. In contrast, glass is an excellent dielectric material and a perfect solution for overcoming this drawback of silicon in high-frequency

RF devices. In addition to the highly desirable dielectric property, glass substrates also exhibit excellent mechanical properties ideally desired in package applications. A glass substrates with hermetically sealed TGVs can be fully airtight and provide long-term robust enclosures for MEMS devices. Fine-pitched TGVs allow reliable conduction of electrical signals and power to and from the enclosed MEMS device. Fully hermetic 3D wafer level chip size packing can be realized by placing a glass wafer directly on top of a silicon MEMS wafer. With the feasibility of manufacturing glass substrates very large areas at low-cost, glass is truly becoming a promising material in MEMS packaging field.

The potential of applications of glass in so many fields is the primary motivation to develop robust and reliable microfabrication techniques specifically applicable for glass substrates. As one of the most important microfabrication processes, the topic of developing effective etching process suitable for high aspect ratio etching of glass substrates has been investigated for more than three decades using various approaches. Etching glass is especially challenging because: i) glass is a very hard and brittle material that can easily chip, crack and break under mechanical forces. So the traditional machining technique such as computer numerical control (CNC) usually results in very low process yield and is not feasible for the standard manufacturing with glass. ii) the silicon to oxide, alumina to oxide, and calcium to oxide bonds found in the various oxides such as SiO2,

10

Al2O3, and CaO comprising glass substrates are extremely strong. Table 1-1 lists the bond dissociation energy of the oxides [22]. These bonds make the glass a very difficult material to etch, especially for high speed, high aspect ratio, high selectivity, and deep etches. Table 1-2 demonstrates available glass etching types. Table 1-2 compares the various glass machining techniques and lists their pros and cons.

Table 1-1. Bond dissociation energy of oxides in glass materials. Si-Si Si-O Al-O Ca-O

Dissociation 310 799.6±13.4 501±10.6 383.3±5.0 energy (kJ/mol)

Table 1-2. Capability, advantages, and disadvantages in various types of etching Capability Advantages Disadvantages

Minimum feature size : 50  Quickly create through  Low etching selectivity hole  Low aspect ratio etch µm  Clean etched initial top profile surface  Tapered sidewall

 

Sandblasting Aspect ratio: 2-3:1 Anisotropic process Large feature size  Excellent for etch large  Very rough etch surface Through-hole taper:12-15° areas of materials  Not directly compatible  Be able to etch different with other clean-room Depth uniformity: < 25µm materials such as semiconductor process borosilicate, fused silica, silicon and even sapphire and silicon carbide

 No hearing effects and no micro-cracking

11

Minimum feature size : 50 –  Easy to create pattern  Very slow process: only from a CAD drawing one hole is processed at 100 µm  No mask and tool wear a time  Programmed automatic  Large features Aspect ratio: 10 - 20:1 process  Creates subsurface  Low sidewall taper angle micro-cracks

Laser machining Laser Through-hole taper: 3-5°  Can be used for large  Heating creation result piece in damage at initial top Position tolerance: motion surface of the etching feature control equipment  Creation of a lip due to the melting process dependent makes it impossible to bond the processed wafer unless lapped and repolished.  Uneven etching across the work-piece  Hard to align to existing features on the wafer  Large capital investment

Minimum feature size: 200  Can create high aspect  Slow process ratio sidewalls  Large features µm  Small taper angle  Large capital investment

Ultrasonic Ultrasonic

machining Aspect ratio: 25:1  Tool needs redressing for every 25 -50 pieces Through-hole taper: 3-5° to avoid feature degradation Depth uniformity: 50 µm

Minimum feature size: 1 µm  Fast process  Isotropic process  Very small feature  Undercutting features Aspect ratio: 1:1  Good at etching thin film  Low aspect ratio

Wet etch Wet  Batch process for  Etchant can easily peel multiple samples off photoresist mask

12

Minimum feature size: 0.1  0.5µm/min etch rate  Unclear plasma glass  Nanometer level etching etching mechanism µm smoothness  Etch rate is still low for  Highly anisotropic deep etch like TGVs Aspect ratio: 10:1 process and high glass to  Needs to develop mask selectivity selectively plasma etch  Good repeatability and for alkaline-oxide stale process materials in glass  Compatible with various glass composition  Compatible with Plasma Deep Reactive Ion Etch Ion Reactive Deep Plasma semiconductor Conventional Inductively Coupled Coupled Inductively Conventional microfabrication environment

Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) is a well-established process technology in the semiconductor industry. It is able to achieve small feature sizes, high aspect ratio, high selectivity, and high speed for deep silicon etch. In the conventional ICP-RIE system, the process gases are introduced from the top side of the ICP source. The ICP source is made of one or several turns of inductor coils and the coils are wound around a dielectric vessel. The substrate with a capacitor couples with the ICP and forms a complex resonant LC-network. The ICP and substrate are driven independently by separate radio frequency power sources. The independent RF frequency can be 380 kHz (low-frequency), 2MHz (mid-frequency), or 13.56 MHz (high- frequency). Feeding radio frequency power to the coil, a high-frequency oscillating magnetic field is generated inside the chamber and results in a corresponding oscillating electric field. The electric field is able to excite the process gases into ions and radicals and drive the ions and radicals to a high plasma density of ~1018 - 1020 m-3 within the process chamber. The high density plasma is then driven by the coupled substrate RF power to etch the substrate materials. Figure 1-6 schematically illustrates a sketch of a conventional ICP-RIE etcher. The high density plasma in the ICP-RIE system provides two components in terms of etching mechanism. Process gases of large molecules that are ionized by ICP RF power and driven downwards by the built-in electric field of the equivalent LC network. Due to the large molecular mass of these ions and molecular fragments and

13 the large built-in electric field, the ions are energized to large momentum, thus physically bombarding the substrate materials and transferring their physical energy to the substrate atoms.

Simultaneously, the halogen radicals and ions such as F- and Cl- are also able to chemically react with the thermalized and physically activated surface atoms of the substrate to form volatile products as chemical etching component.

Figure 1-6. Sketch of a conventional ICP-RIE etcher showing gas inlet, ICP coils, RF substrate, magnetic pieces and substrate wafer. However, even though the ICP-RIE is well established for silicon substrate, the mechanism of ICP-RIE for glass materials is not clear. The conventional ICP-RIE method is hardly able to deliver the performances for the new requirements of glass etching. In this dissertation, the author will discuss a modified ICP-RIE glass etching system suitable for various composition glass substrates.

14

Chapter 2

Inductive Coupled Plasma – Reactive Ion Etching (ICP-RIE) of Fused Silica Substrate

2.1 Plasma Etching of Glass Materials: Background

Silica substrates in both amorphous glass compositions as well as crystalline forms are increasingly being used in the construction and chip-scale packaging of various microelectromechanical systems (MEMS) [19], [23], [24], micro-optical devices [25], and microfluidic lab-on-a-chip devices [26]–[28]. In addition to being inexpensive and readily available, silica substrates exhibit several desirable properties including optical transparency, excellent electrical insulation, high electrical breakdown resistance, good mechanical properties, and biocompatibility. Glass wafers can be readily bonded to silicon wafers [29], can be blown into microbubbles [30], [31], and integrated as piezoelectric resonators when used in single crystal forms [24], [32].

Most of the efforts in silicon dioxide (glass) etching, have been primarily directed towards realizing features for microelectronics applications such as interconnect vias [33], waveguides [34], phase shift masks [35], etc. Hence, process optimization has traditionally aimed at increasing the selectivity of silicon dioxide over silicon substrate [36], reducing gate oxide damage [37], decreasing sidewall roughness [38], and increasing sidewall angle of the etched features [39]. With the advent of microelectromechanical systems (MEMS) and microsystems in the last two decades, the focus has shifted to high aspect ratio etching of silicon dioxide. Many of these applications require greater than 100 µm of silicon dioxide (glass) etching while maintaining the surface finish, with rms surface roughness of less than 1 nm [40], [41]. Hence, these applications impose

15 additional new requirements on glass etching processes such as high etch rate, high selectivity to masking material, high anisotropy, low surface roughness for mirror polish, uniformity of etch across the wafer and within a pattern [42], etc. Several reports on etching of silica substrates and borosilicate glass using reactive ion processes have been published using fluorine based chemistries. In particular, using inductively coupled plasma (ICP) sources, high aspect ratio and high surface smoothness etching of glass wafers using SF6 and Ar/Xe gases with etch rates approaching 1 µm/min have been achieved [43]–[45]. In this context the processes developed thus far rely upon ion bombardment to increase the etch rate while fluorine based gases are used to provide the reactive component for etching [44]. It has been shown that the use of heavier Xe helps reduce the re-deposition and more effectively removes any non-volatile residues resulting in smoother surfaces with an average surface roughness of ~2 nm [43]. Table 1 summarizes the reported glass etching results in terms of etch rate, surface roughness, mask material, selectivity, etched depth and aspect ratio since 1999. The reported etch rates on silica and borosilicate substrates are in the range from 0.5 μm/min to 0.9 μm/min with nanometer level etched surface roughness and were mostly conducted by using SF6+Ar or C4F8+Ar gas combinations. Without the introduction of Argon gas, the etch rate and etched surface roughness of glass substrates has been found to increase with increasing flow rate of SF6 or C4F8 [46]–[50]. Keeping the total gas flow rate constant and increasing the percentage of Argon gas in the SF6+Ar or C4F8+Ar gas combination, the etched surface smoothness is found to improve but the etch rate is decreased [46],

[47], [50]. We observed similar trends in etch rate and etched surface roughness using conventional

SF6 + Ar or C4F8 + Ar gas combinations prior to the implementation the modifications to the etch chamber, to be described next.

Table 2-1. Summary of the reported glass etching results from literature.

16

Etched Etch rate Roughness Mask Aspect Reference Selectivity Depth Glass type (µm/min) (nm) Material ratio (µm)

Abe et al 0.5 2 Nickel 30 20 0.05 Quartz [49], 1999

Li et al 0.6 4 Nickel 20 100 10 Borosilicate

[48], 2000 0.5 Nickel Fused silica

Chen et al 0.55 Nickel Fused silica [51], 2001

Ichiki et al Chromiu 0.8 23 32 2 Borosilicate [44], 2003 m

Park et al 0.75 Nickel 27 2 Borosilicate [46], 2005

Akashi et al 0.55 Silicon 6.6 430 0.43 Borosilicate [52], 2006

Jung et al 0.65 Nickel 20 2 Borosilicate [47], 2006

Goyal et al 0.54 2 Nickel 33 1.65 Borosilicate [45], 2006

Kolari 0.6 Silicon 3.9 330 1.6 Borosilicate [53], 2007

Queste et 0.74 Nickel 27 13 2.9 Quartz al [20], 0.9 30 Nickel 18 120 6 Borosilicate 2010

Zhang et al 1 0.5 Nickel 16 102 5.2 Fused silica [54], 2014

17

Ahamed et 0.35 38 70 7 Fused silica

al [55], Nickel 70 0.45 62 80 8 Borosilicate 2015

Instead of photoresist, hard masks such as nickel and chromium are commonly used in glass , especially for deep etches. It results from the fact that glass is typically etched in low chamber pressure conditions so that the plasma constituents are physically more energetic than those in the high pressure etching conditions. The energetic plasma easily heats up the glass substrates which in fluorine environment results in an enhanced attack of the soft mask such as photoresist and polymers. Secondly, nickel and chromium are selected as hard mask materials for glass etching out of other candidates like vanadium, tungsten, molybdenum, and magnesium because of the non-volatile nickel and chromium fluorides they form during fluorine based plasma etchings. Lastly, nickel can be easily deposited in the laboratory as low-stress thick films (up to 30

µm thick) via electroplating. Chromium is not only fluorine resistant but also excellent adhesive material to glass substrate so that it is able to be easily patterned with lift-off process with films up to 1 µm in thickness and critical dimensions of ≤1 µm.

2.2 Sample Preparation

500 μm thick, double polished 4 inch Fused silica (~99.9 % SiO2 and 0.1 % H2O), wafers were investigated in this work. Wafers were cleaned in Nanostrip® for 30 mins and then deposited with 15 nm chromium and 150 nm gold seed metal layers using e-beam evaporator. Wafers were patterned using Shipley™ 1827 photoresist and developed in 25% Microposit™ 351 developer to form 3.5 μm thick photoresist patterns. 2 - 3 μm nickel was electroplated as hard mask using 1 ms on and 3 ms off current pulses of 40 mA current to create features of widths ranging from 5 μm to

18 200 μm. SPR 220-7 photoresist was also used to coat 10 μm photoresist for when plating thicker nickel mask features required for deep etches. Thereafter, the photoresist and the underlying Cr/Au seed layers were removed from the regions without nickel plating using photoresist striper and

Cr/Au wet etchants respectively in order to obtain clear glass regions to be etched. The process flow for wafer preparation is schematically shown in Figure 2-1.

Figure 2-1. Schematic process flow for the preparation of glass substrates used in the etch tests. 15 nm of Cr and 150 nm of Au seed layer were deposited prior to plating 2 - 3 µm of nickel which acts as hard mask in these tests.

2.3 Conventional ICP-RIE Glass Etching

In our work, an Alcatel AMS 100 ICP-RIE etcher which is schematically illustrated in

Figure1-2 was first examined for glass etch performance using conventional SF6-only plasma etching methods and thereafter using the modified etch chamber with diffuser ring and diffuser tube configurations and NF3 based etch chemistry. In order to investigate the effects of diffuser ring and diffuser tube configurations, it is necessary to benchmark the etch rate and etched surface roughness by the conventional ICP-RIE approach which feeds SF6 gas through top inlet of the chamber. The introduced gas is immediately ionized by the 13.56 MHz radio frequency ICP coils into plasma and the the plasma is driven energetically downwards to the substrate through the use

19 of an RF bias power applied to the substrate. The available etching variables are listed in Table 2-

2.

Table 2-2. Summary of the process parameter space available on the AMS 100 ICP-RIE Process Parameters Range

SF6 0 – 200 sccm

ICP Source Gases Ar 0 – 100 sccm

O2 0 – 100 sccm

Source Power 0 – 3000 W

Substrate Power 0 – 600 W

Pressure 0 – 75 mTorr

Physical Parameters Temperature of 0 – 30 ⁰C

substrate holder

Distance of substrate 120 – 200 mm holder form ICP

In benchmarking experiments using conventional SF6 only plasma etching for glass, fused silica and borosilicate wafers were etched for 20 minutes at a source power of 2000 W and substrate power of 400W. The backside He wafer cooling temperature was set at 20 °C and the wafer position with respect to the ICP source was set at 120 mm. Etch rate was measured by measuring the depth of 100 µm feature using a Tencor P-16 profilometer and the surface roughness was measured using scan size of 500 µm, scan speed of 20 µm/min, sampling rate of 200 Hz, applied force of 2 mg, vertical scanning range of 64 µm. he etch rate and etched surface roughness of fused silica and borosilicate glass are plotted as a function of SF6 flow rate and pressure in Figure 2-2. Glass etching mechanism can be expressed with the equation [56]:

푛퐹푣̅̅̅퐹̅ 푟 = 푗 Φ + [(휖 ∗ Θ) + (휖 (1 − Θ)] (2.1) 푆푖푂2 + 푠 4 퐹(푆푖푂2 ) 퐹(푆푖푂2)

20

where 푗+ is the positive ion flux; Φ푠 is the average sputtering efficiency; 푛퐹 is the fluorine

푛퐹푣̅̅̅퐹̅ concentration; is the fluorine atom impingement rate; 휖 ∗ and 휖 are the reaction 4 퐹(푆푖푂2 ) 퐹(푆푖푂2) probabilities and are defined as the number of SiO2 molecules leaving the surface per incident fluorine atom with surface sensitized and un-sensitized material respectively; and Θ is the fraction of the surface has been sensitized by ion bombardment which exhibits enhanced reactivity with fluorine atoms. The expression indicates that the etch rate is contributed by two parts: the left side of the plus sign in (2.1) describes physical bombarding component to etch glass; the right side of the plus sign in (2.1) accounts for the chemical component of glass etching due to the flux of fluorine atoms.

In the conventional etch characteristics of the ICP-RIE chamber using SF6 gas introduced from the top gas inlet of the ICP source. In these experiments, fused silica wafers were etched for

20 minutes at a source power of 2000 W and substrate power of 400W. The backside He wafer cooling temperature was set at 20 °C and the wafer position with respect to the ICP source was set at 120 mm. The etch rate of fused silica is plotted as a function of SF6 flow rate in Figure 2-2(a).

Initially as the flow rate is increased, both the etch rate and the etched surface roughness increase, reaching a maximum etch rate of ~0.80 µm/min and a corresponding surface roughness of Ra~ 40 nm at a pressure of 4.5 mTorr corresponding to SF6 flow rate of 100 sccm. The error bars were obtained by measuring the etch rate for several 100 µm features across a single wafer. For higher than 100 sccm SF6 flow rates, both the etch rate and the surface roughness decrease. Previous work has shown two possible mechanisms can be proposed for the anisotropic reactive ion etching of

SiO2: (i) damage caused by impinging energetic ions followed by a subsequent reaction of the this damaged silica layer by fluorine radicals or (ii) sputter desorption (clearance) by impinging ions of fluorinated silica surface in fluorine rich plasma [56]. While neither mechanism has been clearly unambiguously proven, based on SF6 etching of silica it is now believed that the positively charged

21 impinging ions essentially orchestrate the breakage of the Si-O bonds thereby freeing oxygen atoms and the silicon atoms then react with atomic fluorine to form volatile SiF4 and oxyfluoride products

[57], [58]. Thus, SF6 based etch process is dominated by the copious production of atomic fluorine

+ from electron impact processes as well as by the large SFx ion concentrations. The overall etch rate is considered to be a result of the surface reactions induced in the damaged silica layer by the physical bombardment by the large SFx ions. In fact this is clearly corroborated with the RGA data where a roughly linear relationship between the etch rate and the percentage of atomic fluorine and

+ SF5 ion concentrations can be found for the various SF6 flow rates as shown in Fig. 2-2(b) Inset.

However, the smoothness of the etch does not directly correlate to the measured SF6+F partial pressures but instead is found to be best under the conditions of low chamber pressures corresponding to high ion bombardment energies and also at the higher pressure regions corresponding to higher flux of impinging etchant species.

22

a

b 6.3 0.8 100 sccm 125 sccm SF5 5.4 0.75 0.7 150 sccm

0.65 200 sccm

m/min)

4.5  60 sccm 0.6

0.55 3.6 0.5

Etch Rate (

(Arb Units) 0.45 7 20 sccm 2.7 0.4 40 42 44 46 48 50 52 54 56 58 60 Partial Pressure of F and SF5 (%) 1.8 SF3

0.9 SF4 Intensity x 10 F SF OF SF H O HF O2 F2 2 2 0 2

-0.9 0 20 40 60 80 100 120 140 Atomic Mass (amu)

Figure 2-2. (a) Experimentally obtained etch rate and rms roughness values for various SF6 flow rates. Since the pumping speed remains constant for these conditions, increasing flow rate corresponds to increasing pressure in the chamber. Error bars were obtained by measuring etch rate for several 100 µm features across a single 4” silica wafer Etch time = 20 min. (b) Residual gas analyzer data for 100 sccm SF6 flow clearly shows the presence of atomic fluorine as well large + amounts of SFx species. Inset shows the sum of the partial pressures of F and SF5 concentrations for various SF6 flow rates and the corresponding etch rates of fused silica.

2.4 Modified ICP-RIE Chamber for Fused Silica Glass Etching

In this work, the commercial Alcatel AMS 100 ICP-RIE etch tool was modified. In addition to conventionally feeding the etch gases through the ICP source, NF3 and H2O vapor etch gases

23 were locally introduced in the vicinity of the wafer using two modifications: (i) Diffuser Ring and

(ii) Diffuser Tube which will be described in detail next.

2.4.1 Chamber Modification 1: Diffuser Ring System

Alcatel AMS 100 ICP-RIE etch tool was modified in this work. In addition to conventionally feeding the etch gases through the ICP source, NF3 and H2O vapor are introduced in the vicinity of the wafer using a stainless steel gas diffuser ring which is attached to the stainless steel plate of the mechanical clamping plate of the etcher. Figure 2-3 shows schematically the modifications made to the etch chamber (indicated within the dashed box) and Fig. 2-4(a) and (b) show the optical pictures of the gas diffuser showerhead attached to the substrate holder in the chamber and a zoomed view of the nozzle holes. The diameter of the diffuser ring is 9.6 cm and 1 mm diameter nozzles are placed along the inner side of the diffuser ring with 1 cm spacing between them. H2O vapor is generated by heating a sealed stainless steel container of water which is 15 cm high and placed on a hot plate which is maintained at 50 °C. The flow rate of H2O vapor is

® ® controlled using MKS Type 1150A mass flow controller. NF3 gas is controlled by a separate Unit

1620 mass flow controller but shares the same inlet tube with H2O vapor into the showerhead fixture after the mass flow controller. An in-situ residual gas analyzer (RGA) Extorr® XT300 consisting of a quadrupole mass spectrometer complete with a built-in Pirani and ion gauge is connected to the reactor chamber in order to analyze the plasma and etch reaction species. The

RGA is capable of detecting molecular species of up to 300 amu. Stylus profilometer (Tencor® P-

16) and atomic force microscope (AFM) (PSIA® XE100) was used to characterize the etch topography and smoothness of the etched features. Auger spectroscopy was used to analyze the atomic and molecular species on the surface and sidewalls of the etched glass regions. Table 2-3 lists the ranges of power and gas flow rates of individual gases on the modified etch system. The

24 plasma dc self-bias voltage for this system has been measured and reported to be less than ~ 14 V under typical operating conditions used here [59]. Although the plasma can be obtained up to a pressure of ~75 mTorr, the glass etch rates, irrespective of gas chemistry used, were found to monotonically decrease above a pressure of ~12 mTorr reaching an etch rate of 0.05 µm/min for pressures above 45 mTorr. Therefore, all the optimization results presented here are in the range of

0 – 12 mTorr.

RF Power Supply RF Matching Network Gas Inlet

ICP Source

Antenna

Magnetic Diffusion Chamber NF Gas Diffuser Ring Pieces Circling 3 the Chamber NF +H O NF Gas3 Panel2 Gas3 Panel Wafer

Substrate Holder &

Mechanical Clamp

Gas Cylinder Gas

3 Water Vapor Water Vacuum Sealed Gas NF Feedthrough RF Substrate Bias

Figure 2-3. Schematic illustration of the modified ICP-RIE systems with ring-diffuser system connected to NF3 and H2O gas cylinders through the gas panel.

25

a

b

Figure 2-4. (a) Optical photo showing the top view of the machined shower head fitted to the substrate holder and (b) Optical photo showing an oblique view of the shower head with zoomed- in image of the showerhead nozzles. Table 2-3. Summary of the process parameter space available on the modified ICP-RIE system being optimized for silica etching. Process Range Parameters

SF6 0 – 200 sccm

ICP Source Gases Ar 0 – 100 sccm

O2 0 – 100 sccm

NF3 0 – 250 sccm Ring Diffuser Gases H2O 0 – 300 sccm

Source Power 0 – 3000 W

Physical Parameters Substrate Power 0 – 600 W

Pressure 0 – 75 mTorr

26 2.4.1.1 Fused Silica Etching Characteristics with Ring Diffuser System

In this section, SF6 gas is used as the ICP source gas and enters the chamber from the top gas inlet and the plasma is ignited using ICP RF coil, simultaneously NF3 and H2O vapor are introduced into the chamber through the diffuser ring. Diffuser ring is proposed to locally introduce

NF3 gas and H2O vapor in the vicinity of the wafer. Once the source and substrate RF power are turned on, the top source power is able to ionize SF6 molecules while, the substrate bias power drives the positive ions from the plasma towards the NF3 and H2O gas cloud near the glass wafer and dissociates the highly unstable NF3 gas into NFX radicals. Figure 2-5 shows the bar graph of the partial pressures of the various gas species of interest in the plasma obtained by in-situ RGA measurements. The legend in Figure 2-5 provides the corresponding etch rate for various gas flow rate combinations. Wafers were processed at 2000 W source power and 400 W substrate power.

Each wafer was etched for 15 mins. For each gas species the bars shown correspond from the highest to the lowest etch rates from left to right. The pressure in the chamber in these etches varied from 7 mTorr - 12 mTorr. From Figure 2-5 several observations can be made:

(i) In general, faster etch rates (#1-3) are dominated by large partial pressures of fluorine and

SFx radicals/ions – although the relative roles of each is unclear.

(ii) Fast etching can also be achieved in processes which show high concentration of SFx ions

only as can be seen in sample #5 SF6:NF3:H2O :: 200:0:0 etch represented by light olive

green bar in Fig. 5(b). However, as we will show next, etches dominated by large SF5

content result in higher roughness.

HF seems to play a less important role in glass etch rate than atomic fluorine and SFx ions/radicals as high HF and H2O concentrations correspond to the slowest etch rates obtained samples #7-10 (blue colored bars). These observations relating to negligible effect of HF on the etching of glass was also concluded by Yamakawa et al [60]. However, they attribute the high etch

27 rate observed in their work in NF3 + H2O atmospheric pressure microwave plasma to the formation

− of ionized HF2 - which is known to vigorously react with SiO2. They propose that the interaction of ionized HF molecules with the water molecules on hydrated glass surface result in the formation

− of ionized HF2 which then dissolves the SiO2. Furthermore, this etch rate is further enhanced by the low-energy ion bombardment which results in the extraordinarily high etch rates reported in their work. However, it must be emphasized that in our work, the pressures in the chamber are about six orders of magnitude smaller than an atmospheric plasma used in their work and in spite of high water flow rates used we do not expect much hydration of the surface. Thus, the etching process in an ICP-RIE system is dominated by the positively charged ions and fluorine radicals available in the chamber. Finally, for all our etches the mass spectrometer showed either no peak or negligible peak corresponding to HF2 species indicating either their absence or a rapid decomposition within the etch chamber.

SF NF H O Etch Rate Pressure Etch # (sccm6 ) (sccm3 ) (sccm2 ) (m/min) (mTorr) 1 60 100 50 0.83 8.5 2 40 100 50 0.80 8.0 3 5.7100 100 50 0.74 9.0 5.7 4 40 100 100 0.72 9.5 5 5.1200 0 0 0.70 8.5 5.1 6 20 60 100 0.69 7.0 7 4.560 20 100 0.61 8.0 4.5 8 20 60 200 0.53 11.5 9 60 20 200 0.48 12.0 10 3.920 20 200 0.36 9.5 3.9 3.3 3.3

2.7 2.7

2.1 2.1

1.5 1.5

0.9 0.9

0.3 0.3

-0.3 -0.3

Partial Pressure (mTorr) a F F NF NF SF SF SF HF H O 2 2 5 4 3 2

Figure 2-5. Bar graph showing the composition of the plasma species for the various gas flow rates. The legend shows the etch rate and pressure in the chamber at which the etch was performed. For each species the bars correspond to etch rates from fastest to the slowest from left to right. Etch time in all cases was 15 min. Table. 2-4 shows the etch rate of fused silica glass using the ring diffuser system is higher by 19% as compared to conventional etching under similar physical operating parameters. The

28 average etched surface roughness (Ra) was measured using precision scans of the region using the

Tencor® stylus profilometer capable of surface roughness resolution in the Angstrom range.

However, to ensure that the measurements were not artifacts of the measurement system, the most promising results were verified using atomic force microscope scans. Through these measurements, with scan rate of 3 Hz and set point of 20 nN at a area of 10 × 10 µm2, it was confirmed that the achieved surface roughness of the etched areas from the ring diffuser set-up was an order of magnitude better than conventional etch process.

Table 2-4. Comparison between SF6/NF3/H2O based etching and single SF6 plasma etching at 400 W substrate power.

1 2 2 2 3 4 5 6 Power SF6 NF3 H2O Pressure Voltage ER Roughness*

60 100 50 8.5 78 0.83 2.6 2000 200 0 0 8.5 83 0.70 99.9

60 100 50 8.5 79 0.95 8.3 2500 200 0 0 8.5 83 0.75 1143.7

Units: 1Watt , 2sccm, 3mTorr, 4Volts, 5ER: Etch Rate: µm/min, 6Å. *Roughness is measured using Tencor® Profilometer.

It is well known that higher source power corresponds to a higher plasma density and therefore should result in a higher etch rate. To improve the etch rate further the source power was increased from 2000 W to 2500 W while the substrate power was maintained at 400W. Clearly the etch rate increased and for some of the larger features we were able to achieve etch rates exceeding

1 µm/min. Table 2-4 shows that the etch rate of fused silica at 2500 W source power using the ring diffuser is higher by 27% as compared to conventional SF6 based etch and is higher by 14% as compared to an identical etch performed at 2000 W source power with ring diffuser. The reported value of 0.95 µm/min in Table II is the average etch rate across several features of different sizes

(2 – 1000 µm width). Furthermore, it can also be observed that increasing source power dramatically increased the etched surface roughness for both ring diffuser etch as well as for pure

29

SF6 based etch process. Comparisons of the SEM images of etched surface using SF6 based conventional etch and the ring diffuser process listed in Table 2-4 are shown in Figure 2-6. In both cases the wafers were etched for 20 mins. Nickel masks were stripped by Transene™ TFB nickel etchant. The bright edges around the squares arise from the undercutting of Au/Cr seed layers during the Au/Cr wet etching performed prior to the plasma etch. SEM images reveal that the ring diffuser etch results in a smooth surface with no surface roughening effects due to micromasking effects from the nickel hard mask erosion. a b

40 m 40 m

Figure 2-6. SEM pictures of etched surface by (a) conventional SF6 etch process (left) and (b) ring diffuser system (right). The etches were performed at PSource = 2000W and PSubstrate = 400 W and etch time for both cases was 20 minutes. Figure 2-7(a) shows the etch roughness data as a function of various gas flow rates and all etches were 15 minutes in duration. Using the ring diffuser gases it was possible to achieve an unprecedented roughness of 1.8 Å as measured using the Tencor® surface profilometer. Prior to this work, the commonly accepted technique for achieving smooth surfaces in glass etching was to use large ion bombardment as a way to achieve nm level smoothness [48]. The accepted theory being that bombardment by large ions like Xe result in the effective breakage of Si-O bonds leaving silicon behind to be attacked by atomic fluorine in the plasma. Micromasking effects arising from sputter deposition of nickel hard masks in the etched areas are also thought to be the main reason for the resulting roughness. The use of large ions such as Xe are thought to effectively resputter the

30 inert fluorides due to heavy ion bombardment and thus improve the surface roughness [48]. In reported literature, etches resulting in smooth surfaces are typically performed at low pressures where ion energies and ion mean free path are large and therefore correspond to regimes of large physical etching content and thus lend credibility to the sputtering theory [48]. The etches we report here have been performed at higher pressures roughly about 2 – 3 times larger than typical ICP glass etches [45], [48] and no noble gas was used. Only positively charged flux of SFx and NFx ion fragments acts as the physical sputtering source. Although no obviously clear trends of relationship between the chemical species present and etch smoothness are evident in Figure 2-7(a), the following observations can be readily made:

(i) Atomically smooth etches (Sample #1-3; red bars) all have a large content of HF and H2O

in the RGA spectrum. In addition strong SF5, F, and NF2 peaks are observed in these etches.

(ii) Roughest etch surfaces (sample #8-11; blue colored bars) are typically dominated by large

SFx species peak and small HF and H2O peaks.

This suggests that while HF may not directly play a role in etching of fused silica since the etch rates of these processes are fairly low, however HF in the presence of H2O and SFx ion flux is able to induce surface nucleation and surface reactions leading to atomically smooth surfaces. An additional difference is that smooth etches are performed at higher pressures and are likely to be at lower ion energies and mean free paths lending credibility to a more chemically driven surface etch than a physically driven process. Finally, we found that the etched surface smoothness is a function of etch time and increased from Ra  0.3 nm for a 15 minute etch to ~2.8 nm for 150 minute etch and 100 µm feature, rising monotonically for SF6:NF3:H2O :: 60:100:50, PSource = 2000 W and

PSubstrate = 400W process recipe.

31

4.5 Etch SF6 NF3 H2O Roughness Pressure # (sccm) (sccm) (sccm) (Å) (mTorr) a 4.2 1 20 20 200 1.8 12 2 60 0 140 2.3 8.5 3.9 3 60 100 50 2.6 8.5 4 40 20 0 4.3 3.5 3.6 5 20 60 50 16.6 5.5 6 20 0 25 18.5 2.0 3.3 7 20 100 80 45 8.0 8 200 0 0 99 8.5 3.0 9 20 100 0 242 7.0 10 100 0 0 389 5.0 2.7 11 100 20 0 500 6.5 2.4 2.1 1.8 1.5 1.2 0.9

Partial Pressure (mTorr) 0.6 0.3 0.0 -0.3 F F2 NF2 NF SF5 SF4 SF3 HF H2O b

Figure 2-7. (a) Bar graph showing the chemical composition of the plasma as measured by the RGA with the etches with smoothest surfaces (red) to roughest (blue) listed from left to right. Clearly large HF and H2O peaks are seen in the smoothest etches – whereas the rough surface etches are dominated by large SF5 peaks and do not use H2O as the process gas. (b) AFM image of the smooth etched surface obtained with ring active etch corresponding to SF6:NF3:H2O :: 60:100:50. All etches were performed for 15 minutes.

2.4.1.2 Effect of NF3

In order to further evaluate the effect of NF3 on silica etching, various etches with only

H2O introduced from the diffuser ring were compared with one where both NF3 and H2O are

32 simultaneously introduced through the ring. For all etches SF6 was introduced through the top gas inlet of the ICP source and the total pressure was maintained at 8.5 mTorr by adjusting the total amount of gas flow rate to 200 sccm. Wafers were processed at 2000 W source power and 400 W substrate power for 15 mins. Figure 2-8 summarizes the results and some of the results from Table

2-4 are recalled for easier comparison. For etches #1 – #3 as the SF6 flow rate in relation to H2O increases, a corresponding increase in etch rate is observed and can be readily explained by the monotonic increase in the SFx concentrations. Furthermore, as the SF6 flow rate increases, a decrease in the bias voltage is observed which corresponds to an increase in the positive ion bombardment of the substrate wafer and explains the increasing etch rate. However, it is important to note that the level of atomic fluorine is nearly constant for all these etches and does not seem to affect the etch rate as clearly as SFx concentrations. On the other hand, comparing samples #3 and

#5, shows that for similar fluorine containing gas flow rates and identical H2O vapor flow rates,

NF3 plays a critical role and results in a dramatic increase in the etch rate by 15% and also reduces the roughness of the etched surface. Since the substrate power in all these etches was held constant at 400 W, any decrease in the substrate potential reflects an increase in ion current. In order to avoid over interpretation of the data, we estimated the relative increase in the ion current density bombarding the substrate with respect to the etch with largest substrate voltage (sample #1). The legend in Figure 2-8, shows a clear correspondence between the ion flux rate and etch rate – where for a relative ion flux rate increase from 8.25% to 16.67% the etch rate increases from 0.72 to 0.83

µm/min (Sample #3 and #5). Furthermore, for sample #5 nearly three times larger concentration of

F atoms is also observed as #3 and #4. Additionally, in the case of sample #5, the presence of NFx molecular fragments could also play a critical role in the overall etching of the silica substrates and could explain the observed high etch rate.

33

Etch SF6 NF3 H2O Voltage Etch Rate Roughness Relative Ion Flux # (sccm) (V) (m/min) (Å) (%) 1 60 0 140 93 0.32 8.0 0 2 100 0 100 91 0.46 9.5 1.94 3 150 0 50 85 0.72 10.1 8.25 60 4 200 0 0 83 0.70 99.0 10.53 5 60 100 50 78 0.83 2.6 16.67 51 50 46

40 37

30 25

20 20 20

13 Partial Pressure (%) 11 10 8 7 7 6 5 4 5 5 4 3 3 2 2 2 2 2 2 2 2 1 0 0 0 0 0 0 0 0 0 1 0 F NF2 NF SF5 SF4 SF3 HF H2O

Figure 2-8. Bar graph showing the partial pressures of various molecular fragments for SF6 + H2O plasma. All etches shown here were performed at a constant pressure of 8.5 mTorr. The legend for the graph lists the various gas flow rates, the bias potential, the obtained etch rates and roughness values, and the percent increase in the ion flux in comparison to the SF6:NF3:H2O :: 60:0:140 etch. All etches were performed for 15 minutes.

2.4.1.3 Aspect Ratio Dependent Etching

Next we examine the etch rates of features of different trench widths performed at 2000 W and 400 W source and substrate powers respectively. Figure. 2-9 shows the result of aspect ratio dependent etching performed using SF6:NF3:H2O::60:100:50 etch for 15 minutes. Feature sizes on the mask ranged from 2 µm to 1000 µm. Results show that the highest etching rate of fused silica using ring diffuser system was obtained on 100 µm wide features. This can be understood by the fact that in the narrow features the supply of elemental fluorine is limited by diffusion time constant

(diffusion limited) whereas in wider features the etching is limited by surface reactant depletion due to rapid consumption of elemental fluorine (loading effect).

34

1

0.83 0.8 0.76 0.79 0.79 0.78 0.8 0.72

m/min) 0.6

0.4

0.2

Etch Rate ( Rate Etch

0 2 20 40 100 250 500 1000 Feature Size (lateral) (m)

Figure 2-9. Aspect ratio dependent etch rates of fused silica features of varying widths. The data shown here is for 15 minute long etches using SF6:NF3:H2O::60:100:50, 8.5 mTorr pressure, 2000 W source power and 400 W substrate power and etch time of 15 minutes.

2.4.2 Chamber Modification 2: Diffuser Tube System

The diffuser ring modified ICP-RIE glass etching system realizes the tuning between physical bombarding etching component and chemical reacting etching component in the glass etching mechanism. The achieved fast etch rate and unprecedented smooth etch suggest the improving chemical etching component in the modified etcher. The RGA data indicates atomic fluorine, fluorine molecule, and NFx radicals are critical species for fast glass etch. However, diffuser ring which is adapted on the substrate holder above the wafer may not necessarily be the optimum position for ionizing richest NFx and Fx radicals. Therefore, the second modification of the ICP-RIE chamber is raised up as a diffuser tube configuration that a 10 cm stainless steel tube is adapted on the substrate to introduce NF3 and H2O gases. The modification is sketched in Figure

2-10. The inset image shows the diffuser tube in 10 cm height above the substrate holder.

35

Figure 2-10. Sketch of the diffuser tube modified ICP-RIE; the inset picture show the optical image of diffuser tube.

2.4.2.1 Glass Etching Characteristics with Diffuser tube System

In this section, etching characteristics with diffuser tube modification are explored on fused silica. SF6 gas is still introduced from ICP source and NF3/H2O gas mixture are flow from the additional inlet through the diffuser tube into the chamber. The substrate stage is 120 mm away from the ICP source. The NF3/H2O gas outlet point of the diffuser tube is bent to locate in the center

10 cm above the substrate. Figure 2-12 illustrates the obtained etch rates with different

SF6/NF3/H2O combinations on fused silica substrates. Using this modified system, etch rates as high as 1.06 μm/min, 1.04 μm/min, 0.45 μm/min and 0.45 μm/min with surface smoothness of ~2

Å for fused silica are achieved respectively after 5 minutes etch. The etch rates are within ± 0.01

μm/min variation based on the average of 5 data points.

36

Figure 2-11. Obtained etch rates with diffuse tube on fused silica substrates. In addition, etch characteristics are also investigated with different tube lengths. Table 2-5 demonstrates the etch rate and roughness of fused silica, borosilicate glass and aluminosilicate glass in different diffuser tube lengths. In the diffuser tube configuration modification, 1.06 μm/min etch rates are achieved for etching fused silica, borosilicate glass and aluminosilicate glass respectively.

Etch rates with diffuser tube configuration is 12% higher compared with the optimum etch rates obtained with diffuser ring configuration and 32.5% higher compared with the conventional SF6 based glass etching.

Table 2-5. Etch rates and roughness obtained with different lengths tube on fused silica. Wafers were etched for 5 mins. Etch rates and surface roughness values were presented as averages of five 100 μm wide feature data which were acquired by profilometer. Etch rate (μm/min) [Roughness (Å)] Recipe: Tube Distance between substrate and ICP Glass type SF6/NF3/H2O Length (cm) source (mm) (sccm) 105 120

7 1.05 [3.3] 0.97 [2.1] Fused Silica 60/100/50 10 1.06 [1.7] 1 [1.9] 12 1.04 [2.3] 1 [2.1]

37 Next we examine the etch rates of features of different trench widths performed at 2000 W and 400 W source and substrate powers respectively. Figure. 2-9 shows the result of aspect ratio dependent etching performed using SF6:NF3:H2O::60:100:50 etch for 15 minutes. Feature sizes on the mask ranged from 2 µm to 1000 µm. Results show that the highest etching rate of fused silica using ring diffuser system was obtained on 100 µm wide features. This can be understood by the fact that in the narrow features the supply of elemental fluorine is limited by diffusion time constant

(diffusion limited) whereas in wider features the etching is limited by surface reactant depletion due to rapid consumption of elemental fluorine (loading effect).

2.5 High Aspect Ratio Etching of Fused Silica

Figure. 2-12 shows SEM cross section image of over 100 µm deep etched trench using process conditions for sample #5 in Figure. 2-9 and a continuous single etch time of 150 minutes.

The effective etch rate dropped from a value of 0.76 µm/min to ~0.68 µm/min for the 20 µm feature.

The nominal decrease in the etch rate can be attributed to the reduced supply of etch species into the deeper etch feature. Vertical sidewalls with 88.7° can be seen and an aspect ratio of greater than

1:5 was easily obtained. These images are in contrast with highly physically dominated etching processes where deep trenching features are observed along the edges of the silica walls along with tapered profiles.

In addition to aspect ratio dependent etching, we studied the loading effect by using two different masks with open areas of 20% and 50%. For the ring-based etch recipes used here, we obtained nearly same etch rates for same sized features for the two masks although the uniformity across the wafer was somewhat changed in the two cases.

38

19.8 m

102.3 m

Figure 2-12. SEM photograph of a 20 µm wide feature shows a very vertical wall with sidewall angles of 88.7°. The bottoms of the trenches are flat and do not show any trenching features indicating the chemical nature of the etch. Although the image shows a slight bottle like shape, this is likely due to the angled facet of the image arising during the cleavage of the sample for SEM. Etch time was 150 min.

2.6 Skin Layer Formation

Another interesting observation in all etches was the formation of ~100 nm thick layer on the side walls of the etched trenches. We found that this layer could be readily stripped in

TranseneTM nickel TFB etchant but was completely inert in TranseneTM nickel Type 1 etchant. The

SEM images of the sidewall before and after nickel striping after identical etching using the ring diffuser etch are shown in Figure. 2-13(a) and (b) respectively. Auger spectroscopic analysis of the etch sidewalls and bottom surfaces was used to analyze the elemental composition of these regions.

Fluorine, oxygen and nickel peaks were observed in the Auger spectrum of the sidewalls indicating formation of nickel fluoride or nickel oxide on these surfaces. On the other hand, only silicon and oxygen peaks were observed at the bottom of etched trenches. This suggests that on the sidewall where ion bombardment is minimal, a layer of inert nickel fluoride and/or nickel oxide forms due to the re-deposition of the hard mask material whereas on the bottom of the trenches the large flux

39 of ion bombardment prevents any such inert layer formation. This is in contrast to our understanding thus far that SF6 based etches as opposed to fluorocarbon gases do not form any inhibitor layers [61]. After stripping of the sidewall film, extremely smooth surface was observed.

The serrated edge at the top of the sidewall is due to nearly running out mask in this particular sample.

Figure 2-13. (a) Sidewall layer formation can seen in the SEM photograph where ~100 nm thick nickel fluoride/oxide layer is formed on the sidewalls. Inset shows a broken fragment of the formed sidewall film (b) After stripping the layer in nickel etchant, a smooth sidewall is obtained. The formation of passivation layer on the sidewalls is able to provide inhibitor driven anisotropy.

2.7 High Aspect Ratio Etching of Fused Silica

In order to evaluate the effect of masking material on the etch rate, we prepared a wafer with a 1 µm thick chromium layer deposited via sputtering as the hard mask layer. Lift-off process was used to pattern the chromium mask. Sidewall formation was also observed in the case of chromium mask. Comparison of etching performance between nickel and chromium hard masks is shown in Table 2-6. In these tests, wafers were etched using SF6:NF3:H2O :: 60:100:50 etch recipe at 2500 W source power and 400 W substrate power for 15mins.

40 Table 2-6. Mask Dependent Etching Performance 2500W/400W Power Mask Pressure Voltage Etch Rate Roughness Selectivity (mTorr) (V) µm/min) (Å) Nickel 8.5 79 0.95 8.3 15.2

Chromium 8.5 79 0.69 26.4 13.6

2.8 Summary

In conclusion, we were able to successfully demonstrate high aspect ratio fused silica etching using SF6, NF3, and H2O based etching using a modified ring diffuser modification to the etch chamber. Using this system we were able to achieve a high etch rates of ~1 µm/min as well as high aspect ratio etches in fused silica with greater than 1:5 with extremely smooth sidewalls and flat bottom profiles with Ra ~5 Å.

Due the use of two large fluorine containing gas molecules the plasma gas breakdown analysis and reaction pathways are fairly complicated. For example, it is well known that SF6 based

ICP plasma processes are dominated by dissociative ionization processes which leads to the

+ + + formation of SF5 , SF4 , SF3 , ions and F through the following reactions [62]

   e  SF6  SF5  F  2e    e  SF6  SF4  F2  2e    e  SF6  SF3  F2  F  2e

These reactions are consistent with the dominant peaks obtained from the RGA data in this work.

+ + It is also well known that the relative ionization efficiency of SF3 is greater than that of SF4 and

+ can explain the consistently lower concentrations of SF4 found in the RGA data. The addition of

NF3 gas further adds to the elemental fluorine concentration since it is known that NF3 readily produces ground state fluorine by an electron impact dissociation process such as [63], [64]

41

  e  NF3  e  NF2  F which we have shown through RGA measurements is greatly enhanced in all etches containing

® NF3. Optical emission data from the plasma chamber was collected using an OceanOptics

HR4000CG miniature fiber optic spectrometer. Specifically, the amplitude of the peak corresponding to fluorine excitation at 703.7 nm for varying flow rates of SF6 was measured and did not show any clear correlation to the corresponding etch rates. This can be explained by the fact the emission of photon occurs due to the excited fluorine radical relaxing to ground state whereas the etch rate is dependent upon the concentration of ground state fluorine atoms. Thus, a direct correspondence between the two need not be necessarily observed.

An additional complication in the analysis of the etch process in using NF3 plasma arises from the fact that NF3 gas is known to result in a high density of negative ions which have been shown to react very vigorously in the decomposition of surface chemisorbed species [63]. The formation of ambipolar plasma and the negative biasing of the substrate with respect to the plasma by use of the 13.56 MHz substrate source does not allow for the full exploitation of the negative ion initiated surface reactions. This would be part of the future work.

In summary, the ring diffuser modification to the plasma chamber is able to engineer glass etches that are dominated by surface chemical reaction processes as opposed to purely physical driven processes. Although the roles of the individual molecular fragments in the etching were not uniquely identified, the presence of large elemental fluorine and SF5 peaks have correlated well with high etch rate processes. Unprecedented smoothness of few Å was obtained using these processes with corresponding etch rate for fused silica in excess of 1 µm/min. The findings of this work are in general consistent with the overall silica etching theories with additional chemical component enhancement achieved through the use of incomplete dissociation of NF3 gas and creation of NFx and F radicals. The absence of strong trenching effects at the bottom of etched features, near vertical sidewalls, and highly smooth surfaces indicate a chemically dominated

42 process where micromasking features formed by inert metal fluorides are isotropically undercut and removed. This is in contrast to ion-bombardment dominated processes where energetic ions are used to re-sputter the inert metal fluorides formed during the etch and result in an order of magnitude rougher surface morphology. Our work has also found a strong correlation between large HF concentration and smoothness although large concentrations of HF had no influence on the overall etch rate of fused silica at the pressures at which these etches were performed. In conclusion, the use of NF3 based ring diffuser introduces a new paradigm for etching where reactive species via partial ionization and dissociation of gas molecules can be realized for engineering surface chemisorption and subsequent reactions through ion bombardment for rapid and chemically dominated etching processes.

43

Chapter 3

Inductive Coupled Plasma – Reactive Ion Etching (ICP-RIE) of Borosilicate and Aluminosilicate Substrates

3.1 Introduction

Being inexpensive and readily available, silica substrates exhibit several desirable properties including optical transparency, excellent electrical insulation, high dielectric breakdown, good mechanical properties, and biocompatibility. Silica substrates are available in both crystalline as well as amorphous forms. Crystalline form of silicon dioxide is known of quartz crystal (~100%

SiO2) and is most commonly used as bulk acoustic wave resonator in frequency reference and control applications by virtue of its piezoelectric properties [24], [32], [65], [66]. Amorphous form of silicon dioxide with high chemical composition purity, known as fused silica or glass (99.9%

SiO2, 0.1% H2O) is widely used in optical devices such as micro-optical-electro-mechanical systems (MOEMS), optical waveguides and fibers, and microlens etc [67]–[69], due to its high optical transparency and relatively low thermal expansion coefficient. Amorphous borosilicate glass (80.6% SiO2, 12.6% B2O3, 4.2% Na2O, 2.2% Al2O3, and 0.1% CaO) commonly known by its trade names Pyrex ® or Borofloat® can be readily bonded to silicon wafers [29] due to its matched thermal expansion coefficient to silicon. It can be plastically deformed and shaped into 3D structures such as microspherical shell [30], [31], [70] and can be used in chip-scale packaging of various microelectromechanical systems (MEMS) [11], [19], [23], [71]–[73]. Another family of amorphous glass known as aluminosilicates (typically: 55% SiO2, 21.4% CaO, 10.4% Al2O3, 7%

® B2O3, 1% Na2O) known by the brand name Eagle glass, is a mechanically strengthened glass by ion exchange process during the manufacture, is extensively used in liquid crystal displays [74] and

44 solar cell panels [75].

As a critical microfabrication process, etching of glass materials have been investigated for more than thirty years. Initially, most of the efforts in silicon dioxide etching were directed towards realizing features for micro-optical and microelectronics applications such as waveguides [34], phase shift masks [35], etc. These glass etching processes therefore primarily focused on controlling the selectivity between silicon oxide and silicon [36], reducing gate oxide damage [37], and decreasing sidewall roughness [38]. With the advent of MEMS and microsystems in the last two decades, requirements of glass etching processes has focused on new etching performances such as high etch rate, high aspect ratio, low surface roughness, high selectivity to masking material, and etch uniformity across the wafer. However, the performance metrics of high etch rate and high aspect ratio micromachining processes for glass wafers have lagged significantly behind that of silicon. Furthermore, glass etching continues to be of research interest since the plasma induced physicochemical etching mechanisms during glass etching are still not very well understood. Glass etching mechanism can be expressed by the equation (2.1) [56]. The expression indicates that the etch rate is contributed by two parts: the left side of the plus sign in eq. (2.1) describes physical bombarding component to etch glass; the right side of the plus sign in eq. (2.1) accounts for the chemical component of glass etching due to the flux of fluorine atoms. In general, the etch rate of silica glass is dependent upon the number of silicon atoms bonded to four bridging oxygen atoms. In wet etching of silica it is well known that the replacement of the first oxygen by a fluorine ion is the slow and rate-determining reaction step whereas the subsequent reaction steps, to remove the SiF unit from the SiO2 network, are 18-20 times faster [76]

Inductively Coupled Plasma – Reactive Ion Etching (ICP - RIE) system provides excellent control over plasma density (controlled by ICP power) and energy of etchant ions (controlled by substrate power) and generates stable plasma under relatively low pressures (0.5 mTorr – 50 mTorr) which is necessary for removal of etching products. There have been several reports on glass

45 etching using various ICP-RIE processes since the late 1990’s. A summary of the reported glass etching results in the literature in terms of etch rate, surface roughness, mask materials, silica to mask selectivity etched depth and aspect ratio is shown in Table 2-1. The table demonstrates the spurts of research developments in the field leading to reported etch rates of 0.6 – 0.9 µm/min and surface smoothness in the range of a few nanometers.

High etch rate and high aspect ratio etch process for fused silica substrates is reported in

Chapter 2. The work utilized a diffuser ring gas inlet on the substrate holder in the ICP – RIE etcher that allowed for supplying NF3 and H2O gases in the vicinity of the glass wafers. In this Chapter we examine etching of doped glasses such as borosilicate and aluminosilicate glasses in fluorine plasma using this modification of the etch chamber as well as examine how the position of the diffuser relative to the plane of the substrate wafer influences the physico-chemical reaction environment as well as the charge build up on the glass substrates.

3.2 Experimental Setup

3.2.1 Chamber Modification

In this work an Alcatel AMS 100 ICP-RIE etch tool was modified. In addition to conventionally supplying the etch gases through the ICP source, NF3 and H2O vapor are introduced in the vicinity of the wafer using a stainless steel diffuser-ring gas inlet which is attached to the stainless steel plate of the mechanical clamping plate of the etcher. Figure 2-3 schematically illustrates the modifications made to the etch chamber. Figure 2-4 (a) (b) show the diffuser-ring showerhead attached to the substrate holder in the chamber and a zoomed view of the nozzle holes.

The diameter of the diffuser-ring is 9.6 cm and 1 mm diameter nozzles are placed along the inner side of the diffuser-ring with 1 cm spacing between them. Since the diffuser ring is attached to the

46 substrate holder, the distance between them is nominally assumed to be zero cm. In this work, we also examine the influence of the distance of the diffuser gas inlet with respect to the substrate surface on the physicochemical etching environment. To achieve varying distance at which etch gas is introduced with respect to the substrate surface, alternative diffuser-tubes of different heights were implemented. Figure 1(b) schematically shows the diffuser-tube modified ICP-RIE system, and the inset picture shows the optical image of the bent diffuser-tube 10 cm above the substrate holder. H2O vapor is generated by heating a sealed stainless steel container of DI water, 15 cm in height, and placed on a hot plate which is maintained at 50 °C. The flow rate of H2O vapor was controlled by MKS® GM50A mass flow controller in the range of 0 – 300 sccm. The flow rate of

® NF3 gas was controlled by a separate Unit 1620 mass flow controller in the range of 0 – 250 sccm, but shares the same inlet tube with H2O vapor into the diffuser fixture after the mass flow controller as shown in Figure. 2-3. An in-situ residual gas analyzer (RGA) ExTorr® XT300 consisting of a quadrupole mass spectrometer connected to the reactor chamber was used to analyze the downstream plasma and etch reaction species. The RGA is capable of detecting molecular species of up to 300 amu. Stylus profilometer (Tencor® P-16) was used to characterize the etched depths and surface smoothness. Auger spectroscopy was used to analyze the atomic and molecular species on the surface and sidewalls of the etched glass regions.

3.2.2 Sample Preparation

500 μm thick, double side polished 100 mm diameter fused silica, borosilicate glass

(Corning 7740®), and aluminosilicate glass (Eagle XG®) wafers were prepared in this work. Wafers were cleaned in Nanostrip® for 30 mins and then deposited with 15 nm chromium and 150 nm gold seed metal layers using e-beam evaporator. Wafers were patterned with feature widths ranging from

5 μm to 200 μm using Shipley™ 1827 photoresist and developed in 25% Microposit™ 351

47 developer to form 3.5 μm thick photoresist patterns. 2-3 μm nickel was electroplated as hard mask using a pulse generator at 40 mA current and a duty cycle of 25%. For deep etching tests, glass wafer were coated with SPR 220-7 photoresist to create up to 11 μm thick nickel mask features.

Thereafter, the photoresist and the underlying Cr/Au seed layers were removed using photoresist striper and Cr/Au wet etchants respectively in order to obtain clean glass regions to be etched. The process flow for wafer preparation is schematically illustrated in Figure 2-1.

3.3 Experimental Results & Discussion

3.3.1 Conventional SF6 ICP Etch of Fused Silica and Borosilicate glass

In order to investigate the effects of the gas diffuser on the etching of fused silica, borosilicate glass and aluminosilicate glass, it is necessary to understand the conventional etch characteristics of the ICP-RIE which introduces fluorine based gas combinations of sulfur hexafluoride/argon from the top gas inlet of the ICP source. In our experiments, fused silica and borosilicate glass were etched with SF6 introduced from top gas inlet of the ICP source for 20 minutes at a source power of 2000 W and substrate power of 400 W. Backside He wafer cooling temperature was set at 20 °C and the wafer position with respect to the ICP source was set to 120 mm. The etch rate and etched surface roughness are plotted as a function of SF6 flow rate in Figure

3-1. The reported etch rate and roughness values are the average of data points measured across

100 µm features and examined at five different locations on the wafer. The five features were measured with one at center of the wafer and four others in 3 cm apart from the center. Error bar for the etch rate is within ±0.02 µm/min. In Figure 3-1, as SF6 flow rate is increased, initially the etch rate and the etched surface roughness increase for both fused silica and borosilicate glass, reaching their maximum values, and thereafter decrease at the highest flow rates examined. Similar

48 trends in etch rate and roughness on glass substrates have been observed where only a fluorine based plasma has been employed [45], [46], [48], [49], [52]. In general, low pressures correspond to large mean free path and therefore higher ion energies and lower flux density whereas higher pressures lead to smaller mean free path, i.e. lower ion energies, and higher flux densities. The overall etch rate as described in eq. (2.1) is an interplay between the physical and chemical contributions. Etches performed at lower pressure are expected to be dominated by higher physical contribution. However, the overall gains are mitigated by the lower flux density of the bombarding ions. This can explain the lower etch rates obtained at low pressures. In contrast, at higher pressures the flux of ions and radicals are increased although the energy of the impinging ions is reduced.

This changes the etching mechanism from a physically dominated process to a chemical process.

However, for the chemical process to be effective, sensitization of the surface atoms via bombardment by energetic ions is critical. Since at higher pressures, ion energies are reduced, this results in a less than optimal chemical process thereby reducing the etch rates at the high flow rates.

To summarize, silica etching can be considered as a result of the surface reactions induced in the damaged silicon oxide layer formed by the physical bombardment by the large SFx ions. From

Figure. 3-1 it can also be seen that the highest etch rate of borosilicate glass occurs at a SF6 flow rate of 60 sccm corresponding to a chamber pressure of 3 mTorr whereas the maximum etch rate of fused silica occurs at a SF6 flow rate of 100 sccm corresponding to a chamber pressure of 4 mTorr . This indicates that the presence of impurity atoms such as boron, sodium and aluminum in borosilicate glass requires higher ion bombardment energies to initiate the sputtering of these elements from the etched surface since these elements do not form volatile fluorides that can be pumped away.

49

Figure 3-1. Experimentally obtained etch rate and rms roughness values on fused silica and borosilicate glass for various SF6 flow rates. Since the pumping speed of the pumping system remains constant for these conditions, increasing flow rate corresponds to increasing pressure in the chamber.

3.3.2 Modified ICP-RIE for Various Glass Composition Substrate: Diffuser-ring Set-up

In the modified ICP-RIE glass etching system, SF6 gas is introduced from the top of the

ICP source to create a high density plasma consisting of SFx ions by the ICP RF coils.

Simultaneously NF3 and H2O vapor are introduced into the chamber through the gas diffuser inlet

(diffuser-ring or diffuser-tube). In plasma conditions, NF3 is reported to be highly unstable gas and possesses smaller dissociation energy than other fluoride gases such as SF6 and CF4 [56], [77] and the NF3 plasma has been shown to exhibit substantial isotropic etching characteristics with large undercuts and high etch rates [56]. The ionized SF6 gas by the ICP source, diffuses towards the substrate where the electric field generated by the substrate RF bias power is able to drive the positive ions from the plasma towards the NF3 and H2O gas cloud and breaks down the unstable

NF3 gas into NFX radicals. Therefore, by introducing NF3 and H2O gas from the secondary diffuser gas inlet and adjusting the relative position of the diffuser to the glass substrate, a glass etching

50 system is realized which is capable of tuning between conventional physical bombardment dominated etching and/or NF3 and H2O gas induced chemical phase reaction dominated etching mechanism.

Wafers were processed under 2000W of ICP source power and 400W substrate power.

Each wafer was etched for 15mins. Figure 3-2 (a)-(c) are 4D-plots of the etch rate as a function of the various gas flow rates with the red colored circles indicating the highest etch rates obtained in fused silica, borosilicate glass and aluminosilicate glass wafers. The highest etch rate of

0.83μm/min was achieved for fused silica glass for SF6:NF3:H2O :: 60:100:50 combination whereas for borosilicate and aluminosilicate glasses the highest etch rate obtained was 0.68 μm/min and

0.32 μm/min respectively for SF6:NF3:H2O :: 20:20:25 using diffuser ring system. A comparison of the etches performed on borosilicate glass using the diffuser-ring gas inlet versus conventional single SF6 etching is presented in Table 3-1. In order to compare the two different etch approaches we adjusted the flow ranges of the various gases to result in identical chamber pressure. Plasma source power of 2000 W/2500 W and substrate power 400 W were used in both cases. Identical pressure and power settings in the two approaches help easier comparison since the plasma density, the molecular mean free paths and ion flux rates in the two cases should be comparable and any differences can be discussed in terms of achievable chemistry due to the introduction of NF3 and

H2O gases using the diffuser-ring. Table 3-1 shows the etch rate of borosilicate glass using the diffuser-ring at 2500 W ICP source power is higher by 15% as compared to conventional etching under similar physical operating parameters. The average etched surface roughness (Ra) was measured using precision scans of the region using the Tencor® stylus profilometer capable of surface roughness resolution in the Angstrom range. Through these measurements, it was confirmed that the achieved surface roughness of the etched areas from the diffuser-ring set-up was an order of magnitude better than the conventional etch process. The selectivity obtained using the diffuser-ring system is almost twice that obtained using the conventional SF6 based etch at 2500 W

51 clearly indicating reduced sputtering of the nickel mask and therefore the improved glass etching mechanism can be primarily attributed to a dominant chemical reaction component.

Figure 3-2. 4D plot of the etch rate of (a) fused silica; (b)borosilicate glass; (c) aluminosilicate glass as a function of the flow rates of SF6 (from source) and NF3 and H2O for diffuser-ring configuration. Color of the circles indicates the etch rate. Table 3-1. Comparison between SF6/NF3/H2O based etching and single SF6 plasma etching at 400 W substrate power.

52

1 2 2 2 3 4 5 6 Power Diffuser SF6 NF3 H2O Pressure Voltage ER Roughness* Selectivity

Ring

Yes 20 20 25 3 76 0.68 11 21 2000 Borosilicate No 60 0 0 3 77 0.59 164 NA glass Yes 20 20 25 3 74 0.72 3.9 27 2500 No 60 0 0 3 79 0.61 52 14

Units: 1Watt, 2sccm, 3mTorr, 4Volts, 5ER: Etch Rate: μm/min, 6Å. *Roughness is measured using Tencor®

Profilometer. Etching time was 20 minutes.

In the experiments, an in-situ residual gas analyzer (RGA) was used to monitor the plasma species produced during the etchings. Partial pressure of each observed plasma species was quantified and examined for understanding the effects of species of interest on etches. Figure 3-3 shows the partial pressure of detected plasma radicals in diffuser-ring set-up and conventional SF6 etch respectively and correspond to the etching conditions and results for 2500 W source power listed in Table 3-1. Total pressure in the RGA was regulated such that it was the same for the two cases. Clearly the diffuser-ring based etch has greatly enhanced concentrations of NO, NF, NF2, and NF2OH radicals. Furthermore, partial pressures of H2O, HF, N2/Si, F2, F, and N2O/SiO are also dramatically higher in the diffuser-ring etch whereas partial pressure of SFx radicals is suppressed in the diffuser-ring etch. Since the overall etch rate of the diffuser-ring etch is higher than the conventional etch, these results suggest the presence of the new radicals and enhanced fluorine concentrations improve the overall etch rate of glass substrate through improved chemical reactivity with the silicon oxide to result in fast and Angstrom level smoothness in the etched areas.

53

Figure 3-3. Experimentally measured partial pressure of plasma species in diffuser-ring modified etch system and conventional ICP etch system respectively by an in-situ Residual Gas Analyzer (RGA). Identical total pressure was regulated in the RGA system during mass spectrum acquisition in the two cases.

3.3.3 Modified ICP-RIE for Various Glass Composition Substrate: Diffuser-tube Set-up

The diffuser ring modification as explained in the previous section clearly enhances the chemical component of the overall etching process and has demonstrated unprecedented etched surface smoothness as well as enhanced etch rate. However, as designed, the diffuser-ring was clamped onto the substrate holder just above the wafer with no possibility of adjusting the space between the place where the cloud of etch gas is released and the substrate surface. It was realized that dispensing the etch gases right at the surface of the substrate wafer may not necessarily be the optimum position for maximizing ion bombardment and reactive radical production and interaction with the substrate for etching. Therefore, the diffuser-tube modification which is schematically shown in Figure 2-10 was designed where the height of the diffuser gas inlet is raised up in relation to the substrate surface. Tubes of three different heights of 7 cm, 10 cm, and 12 cm were made and the etch process for each of these was systematically investigated. In this section, etching characteristics with diffuser tube modification are explored on fused silica, borosilicate glass, and aluminosilicate glass.

SF6 gas was introduced as in the earlier experiments from the ICP source and NF3/H2O gas

54 mixture were introduced into the chamber through the diffuser-tube clamped to the substrate holder.

The substrate was set to 120 mm from the ICP source as in the earlier experiments. The NF3 and

H2O gas inlet point of the diffuser-tube was bent so as to locate it above the center of the wafer and three different tubes with heights of 7 cm, 10 cm, and 12 cm were used in this work. Figure 2-11 and Figure 3-4 (a)-(b) illustrate the obtained etch rates for the various SF6/NF3/H2O combinations on the three kinds of glass substrates using the 10 cm diffuser-tube. Using this modified system, etch rates as high as 1.06 μm/min, 1.04 μm/min, and 0.45 μm/min with respective surface smoothness of ~2 Å, ~67 Å, and ~4 Å for fused silica, borosilicate glass, and aluminosilicate were achieved after 5 minute etches. The etch rates are within ± 0.01 μm/min variation based on the average of 5 data points.

Figure 3-4. 4D plot of the etch rate of (a) borosilicate glass; (b) aluminosilicate glass as a function of the flow rates of SF6 (from source) and NF3 and H2O for 10 cm height diffuser-tube. Color of the circles indicates the etch rate. Using the RGA, the plasma species generated during the etches using the 10 cm high diffuser-tube gas inlet set-up and the diffuser-ring gas inlet set-up are compared for identical etch recipe using SF6:NF3:H2O :: 20:20:25 sccm flow rates. The measured percentages of the partial pressure of radicals of interest are shown in Figure 3-5 as a bar graph. The 10 cm high diffuser- tube modification resulted in 24% enhancement in the obtained etch rate from 0.72 µm/min to 0.89

55 µm/min for borosilicate glass for these etch conditions. It can be seen that higher percentages of radicals of F, NF, NF2, and NO are observed in the 10 cm height diffuser-tube set-up as are F2 and

NF3. The higher percentage of the volatile SiF3 radical observed in the 10 cm height diffuser-tube set-up is another evidence of enhanced chemical glass-etching component in the diffuser-tube modified system.

Figure 3-5. Bar graph of partial pressure percentage of radical species of interest obtained with 10 cm height diffuser-tube gas inlet and diffuser-ring gas inlet with identical etch recipe. The etches were processed for 5 minutes. Furthermore, in addition to 10 cm height tube, etches with 7 cm and 12 cm tube heights were also examined in the experiments. Neither of the two heights achieved higher etch rates than the fastest etch rate that is reported in Figure 6 for 10 cm high diffuser-tube. The percentages of partial pressure of plasma species of interest that were obtained for the three diffuser-tube heights for borosilicate glass etches for SF6:NF3:: 60:20 sccm are shown in Figure 3-6 as a bar graph. that obtained in the borosilicate etches are plotted as bar graph in Figure 8. Identical recipe was used in these etches for 5 minutes. Once again it clear that for the 10 cm height tube, the obtained etch rate was the highest and the RGA data shown the highest percentages of F, NFx, and SiFx radicals and

F2 molecules. Clearly no correlation is observed between the concentration of HF and SFx and the etch rate. From these experiments it can be concluded that glass etch rate irrespective of the

56 formulation is clearly enhanced by larger concentrations of F and NFx radicals and F2 molecules.

Although, HF is a well-known etchant of glass in aqueous conditions, in plasma conditions no obvious correlation of etch rate with HF concentration is found. The smoothness of the etched surfaces indicates the chemical etching is isotropic in nature and therefore laterally undercuts any micromasked structures that are formed on the surface due to the formation of non-volatile fluorides of the dopant atoms in the glass. Sensitization of the surface through physical bombardment of energetic ions is essential for the overall initiation of the etching process.

Figure 3-6. Bar graph showing the percentages of the various molecular fragments as measured by the RGA in SF6:NF3::60:20 sccm borosilicate glass etching with different diffuser tube heights. The magnitude of NFx peak is the sum of magnitudes of NF, NF2 and NF3 peaks. The magnitude of SFx peak is a sum of magnitudes of SF, SF2, SF3, SF4 and SF5 peaks. The magnitude of SiFx peak is a sum of magnitudes of SiF, SiF2 and SiF3 peaks. All etches were performed for 5 minutes.

3.3.4 High Aspect Ratio Glass Etching with Diffuser Gas Inlet

Next we examine deep etching of borosilicate glass using the diffuser-ring and 10 cm height diffuser-tube modifications. Figure 3-7 shows the cross-sectional profiles of the etches obtained using the diffuser-ring using flow rates of SF6:NF3:H2O of 20:20:25 sccm. Figure 3-7 clearly shows that wider features are etched deeper than the narrower features and illustrates the

57 well-known phenomenon of aspect ratio dependent etching. The obtained sidewall angle is between

87° and 88°. It can also be seen that the 8 μm wide feature shows a distinct bottling effect just below the etch opening. The bottle shaped profile is known as balling effect in high aspect ratio plasma etching [78]. Since borosilicate glass is a good dielectric material, during etching, the sidewall surfaces are likely to be negatively charged and the resulting electric field causes the energetic ions to be deflected towards the sidewalls in the narrow features. The depth at which the bottling effect occurs depends upon the overall energy of the incident ions and the local electric field from the charging effect which in turn is a function of the width of the etched feature. As the etch deepens, the overall energy of the ions is expected to reduce due to collisions while traversing within the etched feature. The non-volatile oxides of the dopant atoms in borosilicate glass need energetic ions to be sensitized and subsequent removal through fluorine based chemical reactions. The lowered energy of the incident ions with depth eventually do not possess sufficient energy to volatilize the fluorides formed on the sidewalls and therefore result in angled sidewalls. The etched features show no trenching effect clearly indicating that the overall energy of the ions is likely to be low in these etches due to the enhanced collisions within the higher local pressure region created by NF3/H2O gas molecules released from the diffuser-ring. The enhanced chemical nature of the etch results in extremely smooth etched surfaces as can be seen in the wider features of the etch.

An aspect ratio of >10:1 was obtained indicating that deep high aspect ratio structures with smooth sidewalls and etch bottoms can be obtained using this process. As a result of enhanced chemical component of etching in the diffuser-ring modified chamber with NF3, the vertical wall angles of

100 µm deep etches with diffuser-ring set-up on fused silica and borosilicate glass are improved to

88.7⁰ from 87⁰ and 84⁰ respectively in comparison to the work in a recently published article [55].

58

Figure 3-7. SEM photograph of a borosilicate glass etched using diffuser-ring modification. The etch was performed under the following conditions: ICP Power = 2000 W, Substrate Power = 400 W, Gas Flow Conditions: SF6:NF3:H2O :: 20:20:25, Etch Time = 150 mins. The obtained Etch Rate = 0.67 μm/min (50 μm feature) and the highest aspect ratio is 9.3:1. Figure 3-8 shows the SEM cross section of high aspect ratio etches performed using the 10 cm height diffuser-tube modification on borosilicate glass. Once again the bottle effect is seen here for the 8 and 18 μm features. Since the highest etch rate for borosilicate glass using the diffuser- tube modifications occurred at SF6:NF3 :: 60:20 sccm, these flow rates were used in this etch. The lower flow rate of NF3 coupled by the release at a height corresponding to the plasma sheath boundary likely results in an ion-flux dominated physical etching and a smaller chemical etching contribution. For the 8 μm feature, the higher energy of the ions results in the maximum width of the bottling effect to occur at a lower depth from the surface of the glass wafer in the diffuser-tube

(19 μm) as opposed to the diffuser-ring (13 μm). Furthermore, the higher energy ions induce bottling effect in the wider 18 μm features as well. Overall the etched surface morphology is rougher and is consistent with etches dominated by energetic ions as seen in SF6 only etches on both fused silica and borosilicate glass. The maximum etch rate obtained using the diffuser-tube for borosilicate substrates was 1.06 μm/min and is ~45% higher than that obtained using the diffuser-ring modification. From these it is clear that borosilicate glass etching is enhanced by energetic ion bombardment rather than chemical process which is to be expected since only 80%

59 of it consists of SiO2. The dopant atoms in borosilicate glass form non-volatile fluorides with large bond energies and therefore require physical bombardment by energetic ions for their effective removal.

Figure 3-8. SEM Photograph of the cross-sectional profile of borosilicate glass etch using 10 cm height diffuser-tube. The etch was performed under the following conditions: ICP Power = 2500 W, Substrate Power = 400 W, Gas Flow Conditions: SF6:NF3 :: 60:20, Etch Time = 210 mins. The obtained Etch Rate = 1.06 μm/min (50 μm feature, after 5 minutes) and the highest aspect ratio is 10.6:1.

As compared to the results here, 100% SiO2 fused silica etching reported earlier showed near 90° sidewalls due to the absence of non-volatile oxides formed from the dopant atoms. There the lower energy ions are able to sensitize the surface sufficiently such that the chemical reaction with fluorine species is able to effectively proceed and therefore results in near vertical sidewalls.

It is however interesting to note that the highest overall etch rate obtained for the two substrates is not significantly different although the gas compositions and conditions of maximum etch rates for the two substrates are significantly different. In order to quantitatively examine the role of physical and chemical etching, we examined both the etch rate and the etch smoothness and their dependence on relative ion flux and the various etch species formed within the chamber such as F, F2, HF, NF, and NF2. Table 3-2 lists the Pearson Correlation Coefficient and the P-values obtained through

60 multivariate statistical analysis of 41 etches performed over the three types of glass substrates discussed in this work. The Pearson correlation examination is used to assess the strength and direction of association between two variables that are linearly related. An absolute value of

Pearson coefficient larger than 0.5 suggests a strong correlation between two variables. Positive

Pearson coefficient indicate a positive relationship while negative values of Pearson coefficient show an inverse relationship. Since we have listed roughness as the parameter, negative values of

Pearson coefficient are desirable since they imply a smoother etched surface. For each etch, the relative ion flux density on the substrate was calculated with respect to the etch with lowest ion flux and based upon the measured substrate bias voltage. The radical/molecular concentrations in each etch were calculated based upon the corresponding partial pressure of the gas species with respect to the total pressure during the etch, which were measured using the RGA. From Table 3-

2, the following overall observations can be made. No matter the type of silica substrate ion flux is a critical factor in their etching. All three substrates have a high Pearson coefficient for relative ion flux indicating that a high ion flux is desirable and clearly correlated to high etch rates. A smaller

P-value indicates a high probability or confidence of this being true. Overall, the P-values for ion flux for borosilicate glass and aluminosilicate glass are an order of magnitude smaller than for fuse silica indicating that ion flux plays the dominant role in the etching of these two doped glasses. For fused silica it can also be seen that higher values of F and F2 improve the high etch rate while HF is negatively correlated to increasing the etch rate. This indicates that while ion flux is important in the etching of fused silica substrates, the presence of fluorine radicals and molecules play a significant role in its etching. These statistical values are consistent with the experimental observations of the various etches. High ion flux is found to be directly related to substrate roughness for fused silica substrates indicating that for smoother etched surfaces it is desirable to have a lower ion flux. Similarly, high HF concentration is expected to result in smoother etched surfaces for fused silica however the P-value does not indicate a very high confidence. For

61 borosilicate glass F is the only radical that seems to play a significant role in the chemical etching whereas aluminosilicate glass seems to be entirely etched through physical sputtering process.

None of the fluorine radicals seem to have any correlations either to the etch rate or to surface smoothness for this substrate.

Table 3-2. Role of relative ion flux and various fluorine radicals and molecules on the etch rate and surface roughness of the glass substrates etched in this work. The table lists the value of Pearson correlation coefficients and the P-values for these parameters based upon 41 independent etches performed in this work.

Fused Silica Borosilicate Glass Aluminosilicate Glass

Etch Rate Roughness Etch Rate Roughness Etch Rate Roughness

Pearson Rel. Ion 0.584 0.808 0.752 -0.097 0.797 -0.478 Coeff Flux P-value 0.022 0.003 0.003 0.765 0.003 0.415

Pearson 0.604 -0.376 0.543 0.344 -0.524 -0.329 F Coeff

P-value 0.013 0.255 0.055 0.274 0.098 0.589

Pearson 0.663 -0.361 0.114 0.094 -0.734 -0.482

F2 Coeff

P-value 0.005 0.276 0.711 0.772 0.01 0.411

Pearson -0.546 -0.579 0.043 -0.093 -0.639 -0.648 HF Coeff

P-value 0.029 0.062 0.888 0.773 0.034 0.237

Pearson 0.481 -0.475 0.213 0.159 -0.71 0.47 NF Coeff

P-value 0.059 0.14 0.485 0.622 0.014 0.424

Pearson NF2 0.51 -0.455 0.087 0.057 -0.724 0.365 Coeff

62

P-value 0.043 0.159 0.776 0.861 0.012 0.545

Pearson 0.614 -0.406 0.277 0.188 -0.715 -0.413 Total Coeff

Fx+NFx P-value 0.011 0.215 0.359 0.558 0.013 0.489

For aluminosilicate glass, the 10 cm diffuser-tube set-up demonstrates 41 % higher etch rate than diffuser-ring set-up for 15 minutes etching. Figure 3-9 shows SEM cross sections of the high aspect ratio etch profiles obtained for aluminosilicate glass. The etched features shows a sidewall angle of 73.5° resulting in the distinct V-shape cross-sectional profile with a maximum aspect ratio of 1:2 as the etch process comes begins to rapidly slow down. The observed etch profile results from the non-volatile fluoride products that form on the surface during the etch process.

Aluminosilicate glass consists only 55% SiO2, but 21% CaO and 10% Al2O3. The fluorine based plasma etch products of CaF2 and AlF3 are non-volatile solids that begin to accumulate on the etched surfaces. Thus, the etch rate of aluminosilicate rapidly deteriorates as the etch goes on.

However, a brief etch in chlorine based plasma like BCl3 on the etched aluminosilicate glass followed by the fluorine based etch was seen to recover the etch rate since AlCl3 is a volatile product that can be easily removed during plasma etching. Since AlF3 is a fairly hard ceramic with strong

Al-F bond energies, the use of higher ion energies do not seem to significantly influence the surface roughness and conversely fluorine based radicals/molecules also seem to have insignificant influence on the improvement of the overall etch rate of this substrate. Furthermore, the dielectric constant of aluminosilicate glass (εr = 5.2) is larger than that of fused silica (εr = 3.9) and borosilicate glass (εr = 4.7), which is expected to allow for larger surface charges to accumulate on the surface of the glass during etching. The accumulated positive charge on the horizontal etched surfaces are likely to repel the ions headed downsteam into the etch patterns and therefore also slow

63 down the overall etch rate. Thus, aluminosilicate substrates were seen to etch at the fastest rate of

~0.45 μm/min which about half the value obtained for fused silica and borosilicate glasses.

Figure 3-9. SEM photographs of the cross-sectional profiles of the etch features in aluminosilicate glass using the 10 cm height diffuser-tube modification. The etch was performed under the following conditions: ICP Power = 2000 W, Substrate Power = 450 W, Gas Flow Conditions: SF6:NF3 :: 60:20, Etch Time = 210 mins. The obtained Etch Rate = 0.45 μm/min (50 μm feature, after 5 minutes), aspect ratio: 1.8 : 1.

3.3.5 Loading Effect and Charging Effect

For a better understanding of the loading, charging, and lagging effect on the overall etch rate, three different patterns were used on aluminosilicate wafers with the diffuser-ring set-up.

Figure 3-10 shows three patterns used on the wafers. The light brown regions on the wafer are electroplated regions with nickel which is used as the etching mask while the transparent areas are etched features. For fractional area of the etched regions for the three patterns are as follows: Figure

3-10(a) corresponds to ~50%, Figure 3-10(b) corresponds to ~11%, and Figure 3-10(c) corresponds to ~10%. It is important to note that the wafers were mechanically clamped along the edges of the wafer in the Alcatel etcher used in this work and thus the top nickel mask layer would be electrically in contact with the aluminum clamping plate. Thus, the etched features are electrical connected to substrate bias for masks shown in Figure 3-10(a) and Figure 3-10(c), but they are electrical isolated

64 within each square for mask shown in Figure 3-10(b).

Figure 3-10. Images of three kinds of patterns used for evaluating the loading and charging effects. Each pattern consists of different percentages of the overall etched areas. (a) Etched area ~50%, (b) Etched area ~11%, and (c) Etched area ~10%. Additionally, pattern shown in (b) consists of nickel pattern (light brow in color) that is electrically isolated within each patterned squared and does not connect to the edges of the wafer where the mechanical clamp makes an electrical contact to the nickel mask layer. In the experiment, three aluminosilicate glass wafers were processed with identical etch process consising of gas flow rates of SF6:NF3:H2O :: 20:20:25 sccm using the diffuser-ring system,

Source Power of 2000 W, substrate power of 400 W, and were etch for 20 minutes each. The etch rates were measured for each of the patterns shown to be Figure 3-10(a): 0.33 μm/min, Figure 3-

10(b): 0.18 μm/min and Figure. 3-10(c): 0.32 μm/min. The electrically isolated features on mask

Figure 3-10(b) were etched nearly at half the etch rate of the other two wafers. It can be speculated that the electrical isolation of the features, allows for accumulation of positive charge on the surface of these features due to ion bombardment as the etch continues and thus begins to repel energetic ions to travel towards the etch surface thus preventing the efficient etching of the substrate. On the other hand, the availability of electrically connected nickel mask layer to the clamp, allows for effective discharge of any surface build up of charge on the wafer leaving the incoming flux of positively charged ions minimally affected for mask patterns of Figure 3-10(a) and Figure 3-10(c).

It must be noted that even though the mask patterns of Figure 3-10(a) and Figure 3-10(c) do not share similar etching loads, the etch rates are comparable. This suggests that loading effect is less significant that the charging effect expected in the isolated features.

65 3.4 Summary

In conclusion we were able to successfully demonstrate high aspect ratio glass etching of fused silica, borosilicate glass and aluminosilicate glass substates using SF6, NF3, and H2O based chemistries and using a modified ICP-RIE process based upon diffuser gas inlets. Two different gas diffuser inlets were explore; (i) diffuser-ring modification located on the plane of the substrate and (ii) diffuser-tube modification located at 7 cm, 10 cm, and 12 cm above the plane of the substrate. Using the diffuser-ring modified system we were able to achieve a high aspect ratio etches in fused silica and borosilicate glass > 1:10 with extremely smooth sidewalls and bottom profiles. Unprecedented angstrom level surface smoothness were observed in the diffuser ring modified etcher. The proposed modification is able to create etches for which the etch rates and surface smoothness for fused silica surfaces and surface smoothness only for borosilicate glass that were largely influenced by the chemical etching processes. Over 1 µm/min etch rate were achieved for fused silica substrates using diffuser ring modification and for borosilicate glass using the 10 cm height diffuser-tube modified ICP-RIE etcher. The improved etch rates and smoothness of various glass compositions substrate etching is summarized in Table 3. Although the roles of the individual molecular fragments in the etching were not uniquely identified, the presence of large elemental fluorine and fluorine molecule and NFx radicals seem to influence the etch rate of fused silica. For borosilicate and aluminosilicate glasses the etch was largely influenced by ion bombardment with borosilicate surface smoothness affected by the fluorine radicals. Etching of aluminosilicate substrates was dominated by the formation of non-volatile calcium and aluminum fluoride. The use of the diffuser ring allow for a process flow that is capable of achieve etch rates of 0.7 µm/min with nm level surface smoothness for borosilicate glass and with aspect ratios of greater than 10:1. Charging effects on the dielectric substrates seem to have several detrimental

66 effects including a slowdown of the etch rate as well as the well know balling effect resulting in bottle shaped etch profiles. Table 3-3 summarizes the obtained etch results on glass in this work.

Table 3-3. Summary of optimized glass etch rates and surface smoothness

Conventional etch Diffusing NF3 and H2O etch

Etch rate Smoothness Etch rate Smoothness

Fused silica 0.8 µm/min 10nm 1.06 µm/min 5 Å

Borosilicate glass 0.58 µm/min 16.4nm 1.04 µm/min 11 Å

Aluminosilicate glass 0.17 µm/min 0.45 µm/min

Being inexpensive and readily available, silica substrates exhibit several desirable properties including optical transparency, excellent

Next we examine deep etching of borosilicate glass using the diffuser-ring and 10 cm height diffuser-tube modifications.

The diffuser ring modification as explained in the previous section clearly enhances the chemical component of the overall etching process and has demonstrated unprecedented etched surface smoothness as well as enhanced etch rate.

In conclusion, we were able to successfully demonstrate high aspect ratio fused silica etching using SF6, NF3, and H2O based etching using a modified ring diffuser modification to the etch chamber. Using this system we were able to achieve a high etch rates of ~1 µm/min as well as high aspect ratio etches in fused silica with greater than 1:5 with extremely smooth sidewalls and flat bottom profiles with Ra ~5 Å.

67

Chapter 4

Glass Micro-spherical Shell Based Whispering Gallery Mode (WGM) Resonator Sensing Platform

4.1 Introduction of WGM optical resonance and background of WGM based resonator

Whispering gallery mode (WGM) resonances in optical cavities have been studied for more than a century ever since the interaction of electromagnetic waves with dielectric spheres was first observed in late 1900’s [79], [80]. Following the first experimental observation in 1960’s [81], the

WGM optical resonances have been demonstrated to be supported within several structures with an axis of rotational symmetry such as microdroplets [82], [83], microtubes [84]–[86], microbottles

[87], [88], microspheres [89]–[91], microrings [92], [93], microdiscs [94], [95], microbubbles [96],

[97], and microtoroids [98]. WGM relies upon total internal reflection of light at the external cavity interface. To induce a resonance mode, an adiabatically tapered fiber is placed in close proximity to the resonator structure to evanescently couple the light. A large refractive index contrast between the cavity and the surrounding medium strongly confines the WGMs resulting in resonances with very high Q-factors of 107 – 109 [99], [100]. Conversely, a low refractive index contrast facilitates extension of the modal profile beyond the confines of the resonator medium allowing for the optical radiation to interact with the surrounding medium and thus enabling sensor designs with exceptionally high sensitivity – albeit at the expense of the Q-factor. In general, changes in either the cavity geometry or the refractive index contrast between the cavity and surrounding medium perturb the resonance characteristics of the confined optical modes and can be used for sensing applications. The extreme level of sensitivity afforded by WGM resonators has elicited intense research in realizing sensors based on these structures [101]. To date, two kinds of WGM optical

68 resonator configurations have been explored: (i) microsphere, microbottle, and microbubble structures formed by individually melting or machining and polishing suitable dielectric materials and allowing the surface tension forces to form highly smooth and axisymmetric structures from glass fibers and capillaries and other materials; and (ii) on-chip microfabricated microring, microdisk, and microtoroid structures from suitable dielectric materials. Unlike solid structures such as spheres and discs, hollow structures such as cylindrical and spherical shells have two surfaces and offer the advantage of coupling the light through the outer surface whereas the inner surface can be engineered to induce perturbations for sensing. Microtube, and microbottle based sensors have been reported in a configuration commonly known as optofluidic ring-resonator

(OFRR) sensors [86], [102], [103] where the analyte fluid interacts with the optical resonance through the inner surface of the shell. However, until now all OFRR sensors have been fabricated by glass blowing techniques from individual capillaries where the physical characteristics of these structures are not easily controlled or reproducible. On the other hand, on-chip microring, microdisc and microtoroid based sensors are able to leverage the reproducibility afforded by microfabrication techniques and the economy of wafer scale parallel processing. However, in these resonators it is much harder to achieve a clean interface with fluidic analyte medium since in most typical configurations both the resonators and the tapered fiber are exposed to these fluids. Table 4-1 illustrates several configurations of WGM resonators and summarizes the characteristics of each resonator.

Table 4-1. Summary of presented configurations of WGM resonators in literature Microtube & Microring & Microsphere Microtoroid Microbottle Microdisk

69

Sketch

Fabrica- Individual melting from fiber & tion On-Chip capillary method

Quality 108 105-106 104-105 108 Factor

Clean interface No Yes No No with fluid

analyte

4.2 Motivation of proposing on-chip glass micro-spherical shell supported WGMs

Recently, chip-scale glass blowing techniques have been demonstrated to create hemispherical and toroidal structures from glass and fused silica [31], [104]. These structures consist of glass microspherical shells with radii ranging from 0.1 mm to > 1 mm and can be used as WGM resonator structures. What is really significant is that these structures are highly reproducible and can be integrated with on chip microfluidics to achieve high performance WGM

OFRR structures for sensing applications. Furthermore, the thickness of the spherical bubble structures on the chip can be precisely tailored to achieve optimal interaction with the fluid within the spherical shell structure while maintaining very high Q-factor for the optical resonance. Hence,

70 the microspherical shell structures can be utilized for a multitude of optical resonance based sensing applications including temperature, pressure, (bio)chemicals etc.

In this chapter, the first chip-scale, silica glass microspherical shell, optical resonators with high-Q factors fabricated by glassblowing techniques are described and the potential of these structures for on-chip sensing applications is demonstrated. Model of blowing glass microspherical shells of dimensions from 230 µm to 1.2 mm diameter and shell thicknesses of 300 nm to 10 μm is presented. Figure 4-1 shows an array of the microfabricated on-chip, near spherical glass microspherical shells with equatorial planes above the plane of the substrate. On-chip integration of highly symmetric and smooth surface, closed spherical shell structures, can allow for the realization of WGM based in-line microfluidic (bio)chemical sensors where the analyte fluid interacts with the optical resonance through the inner surface of the shell. Here we demonstrate and model the thermal sensing capability of glass microspherical shell resonators. Furthermore, we show a proof-of-concept liquid core sensor by sensing the index of refraction change from water and confirm the phenomenon with a model.

Figure 4-1. Chip-scale glass microspherical shells blown on silicon substrate. Inset shows a near perfect glass microspherical shell with a sphericity of 0.996.

71 4.3 Fabrication process development roadmap

The glass microbubbles were fabricated on 500 µm thick silicon substrate. First, circular features were patterned using positive photoresist and the silicon was etched to a depth of heSi =

250 µm using deep silicon etching process to realize cylindrical cavities as schematically shown in

Figure 4-2(a). Second, Corning® 7740 borosilicate glass wafer was optionally patterned with smaller circles than on silicon using positive photoresist and 4 µm of nickel was electroplated as an etch mask. After removal of the photoresist in acetone, the borosilicate wafer was etched to a depth of heG µm using a modified ICP-RIE high-aspect ratio glass etch process [54]. Thereafter, the nickel, chrome and gold layers were stripped from the borosilicate wafer using wet etchants resulting in a cross-sectional profile as shown in Fig. 4-2(b). The etched silicon and borosilicate glass (optionally) wafers were aligned to result in concentric circles and anodically bonded at a pressure of 1.35 atmosphere (1026 Torr) at 400 °C to form the bonded cavity as shown in Figure

4-2(c). The bonded wafer was diced into chips and the borosilicate layer was thinned down to a total thickness of t µm from the un-etched side in 49% hydrofluoric acid as shown in Figure 4-2(d).

The bonded chip was thereafter heated on a silicon nitride ceramic heater to a temperature of 775

°C in a vacuum oven maintained at 0.13 atmosphere (100 Torr) for 45 seconds and was rapidly cooled down to ambient temperature. At this temperature, the borosilicate glass softens and begins to expand into a spherical shell under the differential pressure between the sealed cavity and the external pressure created by the high temperature and the external vacuum pressure [31]. The blown glass microspherical shell is schematically illustrated in Figure 4-2(e). While the dicing step can be performed after the glass blowing step and the entire process can be done at wafer level, in this work we fabricated the glass microbubbles at chip scale due to the small sized heater used in this work.

72

Figure 4-2. (a) Silicon wafer is patterned and plasma etched to a depth of 250 µm to define circular pits (b) Borosilicate glass wafer is optionally patterned and plasma etched to define heG µm deep circular features (c) The two wafers are aligned and anodically bonded. (d) Borosilicate wafer is thinned down to a thickness of t µm in hydrofluoric acid. (e) Glass microbubble is blown at 775 °C in a vacuum oven maintained at a pressure of 100 Torr.

The final height, hg, that the sphere develops is a function of the heater temperature Tf (in

Kelvin), the pressure in the vacuum oven Pf, the pressure and temperature at which the cavity is sealed Ps and Ts (in Kelvin) respectively, the etched depth heSi and heG, and the radius r0Si and r0G of the etched cavity in the silicon and glass wafers respectively and is given by [31]:

2  6 2 2  2  3 2 2 3Vg  r0Si  9Vg   r0Si    hg  1 (4-1)  6 2 2  2  3  3Vg  r0Si  9Vg    

where

 PT  V   s f h r2  h r2  h r2  g   eSi 0Si eG 0G  eSi 0Si  (4-2)  Pf Ts 

The radius of the glass microsphere rg can now be calculated as

 h2  r 2  r   g 0Si  (4-3) g    2hg 

The sphericity of the blown glass microbubbles Ψ is defined as [105]:

73

 1 2    3 (6V ' ) 3     g  (4-4)  A   g   

where the Vg' and Ag are the effective volume and surface area respectively of the glass microbubble region above the top-surface of glass substrate and are expressed in terms of (hg|exp – t), rg|exp, and t in eq. (5) and eq. (6) as

'  2   V  (h  t) 3r  (h  t) (4-5) g 3 g exp  g exp g exp 

  A   (h  t)4r  (h  t) (4-6) g g exp  g exp g exp 

Table 4-2. Calculated and experimentally measured values of the glass microspherical shell dimensions for the given glass blowing conditions. For devices where glass wafer is not etched prior to bonding, r0G and heG are not applicable.

Theory Experiment Wall Images of blown r0Si r0G heG t Tf Bubble Thickness glass hg* 2rg* hg|exp* 2rg|exp* (μm) (μm) (µm) (µm) (K) Ψ (µm) microbubble†

1 250 NA NA 100 1023 1055 1115 1041 1197 0.9640 6.7

2 100 NA NA 100 1048 592 609 651 744 0.9511 8.4

3 75 NA NA 100 1048 491 503 526 614 0.9319 8.6

4 100 NA NA 50 1048 592 609 610 636 0.9875 2.2

74

5 75 NA NA 50 1048 491 503 568 554 0.9960 1.4

6 40 NA NA 50 1048 326 331 361 345 0.9915 1.1

7 150 90 55 85 1048 792 820 729 713 0.9915 0.3

8 75 65 55 85 993 510 520 466 404 NA 1.0

9 40 35 55 85 993 278 281 326 231 0.8286 NA

† *hg , hg|exp, rg and rg|exp are given in μm; Pf = 13 kPa, Ts = 673 K, Ps = 135 kPa, heSi = 250 µm; – red scale bar in the images

represents 250 µm.

Table 4-2 lists the calculated and experimentally measured values of the glass microspherical shell’s hg and hg|exp and radius rg and rg|exp respectively. A fairly good agreement between the calculated and experimental values of the height and radii of the blown glass microspherical shell dimensions is found with a maximum error of < ~20%. The calculated sizes of the microspherical shell are sensitive function of the temperature and pressure at which these structures are sealed and blown. The experimental pressure and temperature are measured as global parameters at the system level of wafer bonder, heater, and vacuum oven pressure. Thus, uncertainties in the actual temperatures and pressures at the individual microspherical shell level are considered to be the main reason for the observed discrepancy between the calculated and observed dimensions of the microbubbles.

75 The position of the equatorial plane of the glass microspherical shell is critical to obtaining

WGM optical resonance. The optical modes are localized on the equatorial plane and are sustained only when the equatorial plane is above the substrate plane with minimal coupling loss to the substrate. In our initial experiments, the bonded silicon-glass substrates with sealed cavities were heated at ambient atmospheric pressure to blow the glass bubbles and resulted in hemispherically shaped shells. In these devices no optical resonance was obtained due to significant loss into the substrate. This situation was remedied by changing the glass blowing step to a vacuum ambient rather than at atmospheric pressure. The vacuum ambient during the glass blowing step raises the pressure difference relative to the sealed cavity pressure and enhances the expansion of microspherical shell volume to develop into near spherical structures with the equatorial plane located above the substrate for all bubble sizes as shown in the last column of Table 4-2. The sphericity of the blown glass microspherical shells quantifies the relative height of the equatorial plane with respect to the glass substrate regardless of bubble sizes. Sphericities in the range of

0.985 – 0.996 was measured for the spherical shells 4 – 7 and indicated that near-spherical glass shells were achieved in this work. Smaller sphericities were observed in glass microspherical shells

1 – 3 blown out of thicker glass substrates. The excess material in these thicker glass substrates was observed to result in a lateral expansion at the shell-base during the glass reflow process. This visible lateral expansion at microspherical shell-base could be eliminated by reducing the thickness of the bonded glass layer which was found to result in near spherical bubbles. Following optical resonance measurements, microbubbles were cleaved at the equatorial plane and the sidewall thicknesses were measured using a scanning electron microscope (SEM). For glass microspherical shells blown from 100 µm thick glass layer, #1 – #3, the thickness of the shell wall thickness ranged from 6.7 µm – 8.6 µm whereas reducing the thickness of the glass substrate to 50 µm, shells # 4 –

#6, resulted in wall thickness of 1.1 µm – 2.2 µm. Figure 4-3 (a) shows the SEM measurement of the sidewall thicknesses of microspherical shells #4. Plasma etching of the glass substrate in

76 microspherical shells #7 – #9 followed by the subsequent thinning of the glass substrates to realize even thinner glass regions of 30 µm resulted in either spherical or vertically elongated shells depending upon the radius and enclosed cavity volume. Based on the volumetric redistribution of the glass covering the cavity opening into the spherical shell, the shell wall thickness can be estimated and agrees well with the measured thicknesses for all microspherical shells. For the etched glass substrates with a substrate glass thickness of 30 µm, shells with wall thickness as small as 300 nm were obtained. Figure 4-3 (b) shows the SEM measurement of the sidewall thicknesses of microspherical shells #7. Furthermore, if a microspherical shell was overblown and was split open on the top, e.g. the broken shell seen in the background in the image of shell #7, optical resonance could be sustained, so long as the remaining structure maintained a near spherical profile around the equatorial plane. Thus, through accurate control of the etched cavity geometries, glass substrate thickness via micromachining as well as the sealing and blowing conditions wafer level glass blowing process can be customized to achieve glass microspherical shells of various sizes, sphericities, and wall thicknesses. The ultra-smooth surfaces obtained through the glass reflowing process are ideally suited for sustaining ultrahigh-Q optical resonances.

Figure 4-3. SEM image of sidewall thickness measurements at the equatorial plane of glass microspherical (a) #4 and (b) #7.

77 4.4 COMSOL modelling of micro-spherical shell supported WGMs

Optical resonance modes in WGM resonators occur when the coupled light can constructively interfere with itself by completing integral number of cycles for each revolution around the shell’s equatorial circle. Assuming that the mode is tightly confined within the resonator medium, for a laser wavelength of λ, the condition for WGM resonance in a dielectric annulus of radius r can be expressed as 2πnrr=mλ, where nr is the mode index; nr = 1.467 for borosilicate glass is used, and m is the azimuthal mode number and corresponds to integral number of orbital wavelengths [106].

The optical resonance modes of a dielectric spherical shell can be calculated by solving

Helmholtz equation in spherical coordinates. Helmholtz equation,

(∇2 − 푘2푛2)휓 = 0 (4-7)

2휋 where wavenumber 푘 = and n is the refractive index, in spherical coordinates is given 휆 by:

1 휕2 1 휕 휕 1 휕2 (푟휓) + (sin(휃) 휓) + 휓 − 푛2푘2휓 = 0 (4-8) 푟2 휕푟2 푟푠푖푛(휃) 휕푟 휕휃 푟2푠푖푛2(휃) 휕휙2

where r is radial distance, ϕ is azimuthal angle, and θ is polar angle. Under the assumption the optical modes can be solved by scalar wave equation approximation, the equation is solved into either electric in character (TM-case, Eϕ) or magnetic in character (TE-case, Hϕ) alone by the separation of variables approach as shown in eq. (4-9).

퐸휙 표푟 퐻휙 = 휓(휙, 휃, 푟) = 휓휙(휙)휓휃(휃)휓푟(푟) (3-9)

The introduced eigenfunctions for the radial, azimuthal and polar fields can be associated with the radial mode number (n), the azimuthal mode number (m), and the polar mode number (l) as well as the polarization (p). The azimuthal eigenfunction is given by eq. (4-10):

78

1 휓 = exp (±푖푚휙) (4-10) 휙 √2휋

By introducing the polar mode number l, the equation for 휓휃 is given by eq. (4-11):

1 푑 푑 푚2 (cos(휃) 휓 ) − 휓 + 푙(푙 + 1)휓 = 0 (4-11) cos(휃) 푑휃 푑휃 휃 cos(휃)2 휃 휃

And the radial field 휓푟 is given by eq. (4-12):

푑2 2 푑 푙(푙+1) 휓 + 휓 + (푘2푛(푟)2 − ) 휓 = 0 (4-12) 푑푟2 푟 푟 푑푟 푟 푟2 푟

푙 The analytical solutions of 휓휃 and 휓푟 are generalized Legendre Polynomials 푃푚(푐표푠휃)

푙 which are commonly re-expressed as spherical Harmonics 푌푚(휃) and Bessel functions 푗푙(푘푟). For each polar mode number l, the allowed azimuthal mode numbers are in the range of –l < m < l, resulting in a 2l+1 degeneracy of the azimuthal modes.

The optical resonance of glass spherical shell is modeled with COMSOL software. The eigenfunctions of the glass spherical shell confined electromagnetic wave are solved by finite element method (FEM). FEM simulation of 3D structure consumes lots of computational resources that slows down the modelling process. As a rotationally and axially symmetric geometry, spherical shell can be modeled with axi-symmetrical FEM so that the simulation of a 3D spherical shell structure can be simplified to a 2D problem. Meanwhile, being large diameter (small curvature) sphere it is not necessary to investigate the resonance modes along the whole curvature of the sphere. The fundamental WGM resonance mode locates at the equator of the sphere and the higher- order polar modes distribute from the equator to both poles symmetrically. In the preliminary modelling study, the equatorial section of a 600 µm diameter spherical shell of thickness of 4 µm is defined within a cylindrical shell with height of 250 µm and width of 50 µm as shown in Figure

4-4(a). In the cross-sectional view the arc of spherical shell is contained within the rectangular cross-section of the cylinder dividing the entire meshing zone into three sections as shown in Figure

79 4-4(b). The section of the spherical shell domain is defined with glass material properties whereas the inner and outer two domains are defined with properties of air. Perfect matched layer (PML) along the boundary of the meshing domain is introduced. The PML is defined as perfect electric conductor layers and used to simulate radiation tunneling to infinity within a limited domain calculation space. Triangular shape is used to mesh the spherical shell, inner and outer shell domains. Maximum element size is set as 0.4 µm. However, for very thin shell less than 1 µm, domains are suggested to be meshed individually. The shell domain needs to be meshed with maximum element size of 0.2 µm or even smaller to ensure the shell can be meshed with at least 4 elements in the radial direction.

Figure 4-4. (a) 3D view of simulated WGM resonance modes confined in spherical shell. (b) The geometry definition of the computational domains. The arc spherical shell domain is in diameter of 600 µm and thickness of 4 µm, defining with borosilicate glass properties. The rest domains in the rectangular zone is defined with air properties. In the simulation, the center wavelength of the incident laser is defined as 760 nm and the azimuthal number was calculated to be 3638 based on the laser center wavelength, diameter of the modeled sphere, and the refractive index of borosilicate glass listed. With the given material properties and defined geometry, the electromagnetic wave functions were solved for certain number of eigenfrequencies in the FEM simulation. Optical resonance modes were obtained at each solved eigenfrequency and the spatial distribution of the electric field intensity map for each mode

80 was obtained. Within the obtained eigenfrequencies, it is not surprising to find that the

푐 eigenfrequencies with same polarity (TE or TM) are one free spectrum range (퐹푆푅 = ) away 2휋푛푎 from each other. c is the speed of light in vacuum; n is the refractive index of borosilicate; and a is the radius of the sphere. TE and TM modes which possess different polarity quantum number p but same first three quantum numbers n, m, l are also observed in the simulation results. They exhibit similar mode patterns confined within the spherical shells but differ in the electric field intensity as shown in Figure 3-5 (a) and (b). Reference [107] suggests the approximate location of eigenfrequency in eq. (4-13)

1 1 2 1 1 1 − 1 − 푙+ 0 푙+ 3 푙+ 3 0 2 푙+ 3 푐 2 푡푛 2 −푝 2 (푡푛) 2 휔푛푚푙푝 = [ − ( ) + 2 + ( ) + 푂 ( ) ] (4-13) 푛푎푅 푛푟 푛푟 2 √푛푟 −1 2 20푛푟 2

where 푛푟 is the relative refractive index 푛푟 = 푛푠/푛푎 (푛푠 is the refractive index of the spherical shell and 푛푎 is the refractive index of the medium outside the sphere so that 푛푟 > 1), l is the polar

0 th 0 th number, 푡푛 is the n zero of the Airy function Ai(-푡푛) =0 (and corresponds to the n order radial mode), p is the polarity number given by eq. (4-14)

1 푇퐸 푝 = { 2 } (4-14) 1/푛푟 푇푀

Combination of eq. (3-13) and eq. (3-14) indicates that for the TE and TM modes with same quantum number n, m, l, the resonance frequency of TE mode is smaller than that of TM mode. However, comparing TE and TM modes in Figure 4-5 (a) and (b), suggests that the electric field intensity of TE mode is > 6 times higher than that of TM mode. Figure 4-5 (c) and (d) show the first radial order second polar order TE mode and second radial order first polar order TE mode respectively. The modeling of eigen-frequencies, also showed that the thinner the spherical shell, the later the second radial order mode appears in the series of calculated eigen-freqencies. The relative appearance of the of the second radial order TE mode (n=2, m=l, p=1) with respect to the

81 first order fundamental radial TE mode (n=1, m=l, p=1), as a function of the spherical shell thickness is listed in Table 4-3. This implies that the induced confinement in the smaller thickness shells modulates the appearance order of modes in the series of eigenfrequencies. Shell thickness is also seen to affect the order of appearance of TE and TM modes. In the thicker shells, the TE and TM mode appear successively. However, with reducing the shell thickness, the TM modes appear after several consecutive TE modes.

Table 4-3. Appearance order of second order radial TE mode (n=2, m=l, p=1) with different shell thickness. Diameter of the modeled spherical shell is 600 μm Shell 4 µm 4.4 µm 4.8 µm 5.5 µm 8 µm 10 µm Thickness

Order of second 54th 48th 46th 45th 44th 44th radial order

TE mode

82

(a) (b)

(c) (d)

Figure 4-5. Comsol FEM simulation of whispering gallery resonance modes in borosilicate micro- spherical shell. The WGM resonance is modeled in a spherical shell with diameter of 600 µm. The thickness of the glass shell is 4 µm in (a) – (d). The center wavelength of the couple incident laser is 760 nm. The azimuthal number is calculated as 3638. The scale bar presents the physical dimension of the cross section of the spherical shell near equatorial plane. The color bar illustrates the electric field intensity of the resonate mode. (a) n=1, m=l, p=1 (TE mode), (b) n=1, m=l, 2 p=1/nr (TM mode), (c) n=1, m – l = 1, p=1 (TE mode), (d) n=2, m=l, p=1 (TE mode). As discussed previously, the obtained eigenfrequencies with same polarity (TE or TM) are

푐 one free spectrum range (퐹푆푅 = ) away from each other. It means each eigenfrequency 2휋푛푎

83 corresponds to a given azimuthal number m but polar number l cannot be distinguished due to the degeneracy which is discussed in eq. (4-10) – eq. (4-12).

4.5 Experimental Setup and WGM Resonances

The experimental set-up used for characterizing optical resonance in the glass microspherical shells is shown in Figure 4-6 (a). The excitation source consists of a tunable 760 nm laser (Thorlabs, TLK-L780M). The laser tuning was driven via a triangle wave at 10 Hz and corresponds to 15 GHz (Δλ = 28.87 pm) shift from the center wavelength of 760 nm. The light was evanescently coupled to the resonator via a tapered optical fiber. The fiber was fabricated using a hydrogen torch placed in the middle of the fiber and then being pulled at a constant rate from both ends. The polarization of the incident laser was adjusted using a fiber polarization controller to optimize coupling efficiency. After passing by the resonator and the fiber taper, the transmitted light was monitored using a photodiode (Thorlabs DET36A). Excitation of the resonance modes sustained in the equatorial plane of the glass microspherical shells manifest as dips in the transmission spectrum. The full width at half maximum (FWHM) of the transmission dips indicates the Quality factor of the resonance. Figure 4-6 (b) shows an optical micrograph of microspherical shell #9 with a mode in which the light is confined to the equatorial plane of the bubble.

Figure 4-6. (a) Schematic illustration of the experimental set-up for the measurement for the WGM resonance in glass bubbles. (b) Optical image showing the light confined to the equatorial plane of microspherical shell #9 upon evanescent coupling of the light through the tapered fiber.

84 Table 4-4 lists the physical dimensions of the various microspherical shells studied in this

work, the corresponding experimentally measured highest Q-factor, and the various resonance

parameters calculated through COMSOL® finite element simulation of the optical resonance

characteristics. Azimuthal mode number m is calculated from the equation of 2πnrr=mλ with λ=760

nm. Eigenfrequencies fnml were simulated with azimuthal mode number m, refractive index of bulk

borosilicate glass nr and shell geometry. Effective refractive index neff can be expressed as neff

=mc/(2πr fnml), where fnml is the simulated resonance frequency of fundamental TE mode, and c is

the speed of light in vacuum. The effective refractive index is an indicator of how well the mode is

confined within the glass shell and the thinner the shell thickness, the lower is its value as can be

seen in Table 4-4.

Table 4-4. Optical characteristics of blown microbubbles

Experiment COMSOL® Simulation

Wall Resonance Azimuthal Effective Bubble Diameter Highest Free Spectral Finesse Thickness Frequency mode Refractive (µm) Q-factor Range in 103

(µm) fnml (THz) number m Index neff

1 1.46091± 1 1197 ± 5 6.7 8.09×106 396.40∓0.02 7259 ± 30 102 pm (54.4 GHz) 1.10 0.00002

2 1.45831± 2 744 ± 5 8.4 4.34×106 397.12∓0.04 4512 ± 30 164 pm (87.5GHz) 0.95 0.00004

3 1.45701± 3 614 ± 5 8.6 4.02×106 397.40∓0.05 3723 ± 30 199 pm (106GHz) 1.07 0.00006

4 1.45465± 4 636 ± 5 2.2 1.15×107 398.11∓0.05 3857 ± 30 192 pm (102GHz) 2.94 0.00004

5 1.44589± 5 554 ± 5 1.4 1.18×107 400.44∓0.05 3359 ± 30 220 pm (117 GHz) 3.45 0.00004

85

6 1.43589± 6 345 ± 5 1.1 5.19×107 403.23∓0.07 2092 ± 30 354 pm (189 GHz) 24.5 0.00005

7 1.35332± 7 713 ± 5 0.3 8.73×106 427.92∓0.03 4324 ± 30 171 pm (91 GHz) 1.99 0.00001

8 1.43241± 8 404 ± 5 1.0 1.46×106 404.29∓0.06 2450 ± 30 302 pm (161 GHz) 0.59 0.00003

9 9 231 ± 5 NA 1.54×106 NA NA 528 pm (282 GHz) 1.09 NA

The small mode volume of microspherical shell #6, diameter of 345 μm and thin sidewall

thickness of 1.1 μm, results in less than 10 observed resonance modes in the transmission spectrum

within the 15 GHz frequency span as shown in Figure 4-7 (a). Asymmetry in the transmission

spectrum was observed upon scanning the laser frequency up and down as shown in Figure 4-7 (a)

and (b) and arises from thermally induced linewidth broadening/compression effect in optical

micro-resonators [108], [109]. The inset image of Figure 4-7 (a) shows that a resonance mode with

a very high Q-factor of 5.19×107 which was deduced by fitting a Lorentzian curve to the

transmission spectrum. For this resonance mode, the calculated finesse was 2.45×104. For the

microspherical shell resonator #5, the resonance spectrum shows equally-spaced resonance

frequencies in the transmission spectrum of as shown in Figure 4-7 (b). Since the free spectral range

for this microbubble was 117 GHz, these peaks with a frequency spacing of 0.76 GHz must arise

due to azimuthal mode splitting. Azimuthal mode splitting typically arises from the removal of

degeneracy of polar quantum number l in the solution of the spherical harmonic mode function

[107] due to eccentricity of the microbubbles. The analytical expression for azimuthal mode

splitting is derived using perturbation method and is given by [110]

86

2 f   m  ecc   1 3  (3-15) f 6  l(l 1)  nml  

where ε is the eccentricity of the microspherical shell, fnml is the resonance frequency of the mode with radial mode number n, azimuthal mode number m, and polar mode number l. For a shell

푟푝−푟푒 with polar radius rp and equatorial radius re, eccentricity ɛ is defined as 휀 = . Hence, the 푟푒 azimuthal mode splitting within the free spectral range between successive polar mode numbers can be approximated as

m 2 fecc  nml n,m,l1  f nml  (3-16) l1 l 3

The resonance frequency of microspherical shell #5 was calculated by COMSOL® simulation to be fnml = 400.437508 THz under the assumption of an ideal spherical shell of uniform wall thickness and a radius of 277 μm. Using the equation for resonance condition, for microbubble

#5, and λ = 760 nm, the azimuthal mode number can be calculated to be m = 3359. Under the assumption, that the observed peaks in Figure 4-7 (b) are due to the splitting of the fundamental TE azimuthal mode (m ≈ l ≈ 3359), the frequency spacing of 0.76 GHz leads to a corresponding eccentricity of ɛ ≈ 0.67 %. Dimensional data of microspherical shell #5 from Table 4-2, can be used to calculate value of eccentricity which gives a value of 2.5%. This is ~4 times larger than the eccentricity estimated using eq. (4-16). The large uncertainty of ~5 μm in determining the microspherical shell diameter and height using optical images can easily account for the observed discrepancy and therefore, the two eccentricities may be considered to be in agreement with the errors of the measurements.

87

Figure 4-7. Transmission spectrum of the optical resonance in (a) microspherical shell #6 and (b) microspherical shell #5 within 15 GHz frequency span.

4.6 Thermal sensing: experimental results and modelling discussion

From the WGM resonance condition, it is clear that the resonance frequencies depend on both the size and refractive index of the resonator. A small change in the size or the refractive index can cause a significant resonance frequency shift. Since both the refractive index and the size of the microspherical shells depend upon temperature due to thermo-optic and thermal expansion effects, a WGM resonator can be configured as a sensitive thermometer. Assuming a linear dependence of thermal expansion and refractive index for small temperature variations, these can be expressed as dr/r = αdT and dnr = βdT; where α and β are the temperature coefficient of expansion (TCE) and thermo-optic coefficient respectively of borosilicate glass. Taking a variation of the resonance condition, we can now express the fractional change in the wavelength as

df  dn dr     nml   r      dT f  n r   n  nml  r   r  (4-17) dfnml         fnmldT  nr 

88 The frequency shift per unit change in the temperature of the microspherical shell can be estimated using eq. (4-17) by using borosilicate material properties at λ = 760 nm, i.e., thermo- optic coefficient β = 3.41×10-6 K-1 [111], [112], temperature coefficient of expansion α = 3.25×10-

6 -1 -1 K [113], and nr = 1.467 [114]. This gives a theoretical frequency shift of 5.574 ppm K . The sensitivity of the microspherical shells to temperature changes was experimentally measured by placing the device on the hot side of a calibrated Peltier cooler. WGM mode of microspherical shell

#7, with a Q-factor of ~107, was monitored as a function of temperature. As seen in Figure 4-8 (a), the resonance frequency decreases with increasing temperature and the induced frequency shift as a function of temperature, Figure. 4-8 (b), shows a linear dependence with an outstanding thermal sensitivity of -1.81 GHz K-1 (equal to a wavelength shift of -3.48 pm K-1) and corresponds to temperature sensitivity of 4.58 x 10-6 K-1. Assuming the frequency resolution of measurement system to be 100 kHz at a Q-factor of 107, the microspherical shell temperature resolution can be determined to be 55 µK. For microbubble 7, the resonance frequency fnml was first calculated using

COMSOL® modeling at 20 °C. Thereafter, using the temperature coefficient of expansion and the thermo-optic coefficient, the bubble dimensions and refractive index were changed to the corresponding values at the increased temperature and the new fnml was modeled. Through this method, the expected frequency change was modeled through the range of the experimental temperature values and resulted in a modeled slope of -2.23 GHz K-1. Clearly the ideal model overestimates the slope in comparison to the obtained experimental slope of -1.81 GHz K-1. It must be noted that the ultimate change in the microbubble equatorial radius is not only a function of the

TCE of the glass bubble but is also affected by the TCE mismatch between the borosilicate glass and the bonded silicon substrate at the base. To account for these issues, we parametrized the effective TCE of glass and modeled the frequency shift to match the experimental data. As shown in Figure. 4-8 (b), a near ideal fit was obtained by using an effective TCE of borosilicate glass, α|eff

= 2.19 x 10-6 K-1. Figure 4-8 (c) shows the measured thermal sensitivity of microspherical shells 8

89 and 9 performed with a much finer temperature scan. The experimentally obtained linear slopes for these silica shells of 1.78 GHz K-1 is very similar to that obtained for microspherical shell 7. It must be noted that both these microspherical shells are located on the same chip and, although of different dimensions, show similar thermal dependence of resonance frequency shift. This can be considered as further evidence of the fact that the effective TCE of the microspherical shells sensitively depends upon the stresses induced in the between the glass and silicon substrates during bonding as well as the temperature at which the glass shells are blown.

Figure 4-8. (a) Experimentally measured temperature induced resonance frequency shift of ~107 Q-factor resonance mode in the transmission spectrum of microspherical shell #7. (b) COMSOL simulation was used to fit the experimentally measured frequency shift by parametrically tuning the effective value of TCE of the microspherical shell. Good fit was found for an effective TCE value of 2.19 × 10-6 K-1 for the microspherical shell #7. (c) Measured temperature induced resonance frequency shift within a finer temperature change for microspherical shell #8 and #9.

90 4.7 Liquid core sensing: experimental results and modelling discussion

A major advantage of WGM resonators consisting of hollow shell structures is that fluidic analyte samples can be introduced and made to interact with the optical resonance mode through the inner surface of these structures [86]. Through microfabrication processes the thickness and the diameters of the microspherical shells can be precisely controlled and reproduced. Sensitivity to the fluid contained in the inner volume of the optofluidic microspherical resonator as a function of the shell wall thickness was experimentally examined. For these experiments, on-chip glass microspherical shells were coated and protected with crystal bond epoxy on the outer surface and the silicon substrate was etched and thinned in potassium hydroxide solution to a thickness of ~250

µm and until a backside access hole to the inner surface of the microspherical shell was obtained.

The crystal bond protective coating was thereafter removed by dissolving it in acetone at 80 °C.

With open access to the shell cavity, the microspherical shell was filled with water (Refractive index nwater = 1.332986 at 20 °C) in a vacuum chamber. The filled water within the microspherical shell was held inside the cavity in atmosphere due to surface tension at the small opening. Figure

4-9 shows the water filled microspherical shell #10. The water gradually evaporated and eventually dried out in the microspherical cavity.

91 Figure 4-9. Water filled microspherical shell #10. The silicon substrate is wet etched in TMAH by 250 µm to open the bottom access for filling liquid. The liquid is filled by immersing the microbubble in the water and pumping the air in a vacuum chamber. The water-filled microspherical shells #10 with wall thickness of 4.7 µm and #11 with wall thickness of 6.4 µm were coupled with fiber taper and the resonance modes were monitored and tracked as the water dried out in the microspherical shells in real-time. The transmission spectrum of microspherical shell #10, in Figure 4-10 (a), showed a blue-shift due to the decrease in the effective refractive index inside the microbubble cavity as a consequence of the water drying out and being replaced by air. Inset in Figure 4-10 (a) shows a zoomed-in image of a shifted resonance mode with and without water in the microspherical shell. A frequency shift of 0.51 GHz and an increase in the Q-factor from 2.51×106 to 2.69×106 was observed as the core changes from water to air. Resonance frequency shift was barely observed in the transmission spectrum of microspherical shell #11. The shift in the resonance frequency of the first radial order fundamental

TE mode between water core and air core of a 600 µm diameter microspherical shell resonator was simulated as a function of the shell wall thicknesses using COMSOL® and is shown in Figure 4-10

(b). Experimentally measured frequency shift of microspherical shell #10 is in good agreement with the COMSOL® simulations. The very small frequency shift observed for microspherical shell

#11 arises due to the much larger shell wall thickness of 6.4 µm. The electric field confinement in a 0.6 µm thick shell at the fundamental eigenfrequency is shown in Figure 4-10 (c) for water filled and in Figure. 4-10 (d) for air filled shell cores. The images in Figure 4-10 (e) and 4-10 (f) plot the intensity of the electric field on a log scale and clearly show that the electric field clearly penetrates into the water core in Figure 4-10 (e). On the other hand, Figure 4-10 (g) and 4-10 (h) show that the electric field is entirely confined to inside the 8 µm thick glass shell with minimal interaction with the fluid within microspherical shell. Thus, thicker walled shells are expected to show little sensitivity to any fluidic core changes or interactions.

92

Figure 4-10. (a) Transmission spectrum of resonant modes obtained from microspherical shell #10 with wall thickness of 4.7 µm. A blue-shift of the resonant modes was observed as the water-filled microspherical shell core dries out. Inset image shows 0.51 GHz frequency shift observed in a 2.5×106 Q-factor mode. (b) COMSOL simulated frequency shifts between water-core and air-core microspherical shells with diameters of 600 µm as a function of the shell thicknesses ranging from

93 300 nm to 10 µm. Experimental data for two microspherical shells of thicknesses 4.7 μm and 6.4 μm is also shown. (c)-(d) FEM solved fundamental TE mode showing the spatial distribution of the electric field intensity in 0.6 µm shell thickness with water and air core respectively. (e)-(f) Electric field intensity is plotted in logarithmic scale for water and air cores in 0.6 µm thick shell and clearly exhibits penetration of electric field into water core in (c). (g)-(h) FEM solved fundamental TE mode in a 8 µm thick microspherical shell with water and air core respectively. (i)-(j) Electric field intensity plotted in logarithmic scale for the two cores for the 8 µm thick microspherical shell. The simulations clearly show that the TE mode electric field interacts strongly with the fluid in the core of thinner walled microspherical shells than for thicker shell walls and explains the larger frequency shift obtained for thinner walled shells.

4.8 Additional Preliminary Results

4.8.1 Integrated Microfluidic Devices using Microspherical Shell Optical Resonators

In order to realize integrated microfluidic devices with microspherical shell optical resonators with fluidic access to the internal volume, it is necessary to etch the silicon substrate from the back side of the bubble structure. The silicon substrate of the glass microsphecial shell was completely removed in 20% KOH at 330 K (57 °C) for 15 hours. The WGM optical resonance of a fully released glass microbubble, 750 µm diameter and initial shell wall thickness of ~4 µm, is shown in Figure 4-11. It can be noticed that the Q-factor of the glass microbubble resonator attenuates after KOH releasing process which etches and roughens the glass microbubble surface.

8.5

8

7.5

7

Voltage (V) 6.5 Q=2.1M 6

5.5 -21 -15 -9 -3 3 9 15 21 Resonance Frequency (GHz)

94 Figure 4-11. Transmission spectrum of resonant modes obtained from the fully silicon substrate removed glass microbubble in the diameter of 750 µm and initial thickness about 4 µm. The quality factors of the obtained modes reduce due to the glass microbubble surface roughening in the KOH releasing process.

4.8.2 Resonance in serially coupled Optical Resonators

Preliminary experiments were performed to observe the transmission spectrum change, from a single fiber, upon coupling from one to two microspherical shells located collinearly on a single chip. In the experiment, two identical microbubbles which were fabricated on one chip were aligned nearly parallel to the length of the tapered fiber. The two microbubbles were 750 µm in diameter and 1.3 cm apart from each other. For coupling the two microbubbles, the microbubble chip was approached towards the taper fiber. The initial parallel alignment ensures that when the whispering gallery modes of the first microbubble are obtained in the transmission spectrum, the second microbubble to be coupled onto the taper fiber is very close to the tapered fiber. Upon a slight further adjustment of the microbubble chip with respect to the fiber, the two bubbles can be brought to couple to the evanescent field. Figure 4-12 shows the transmission spectrum of coupling single and dual microbubbles. The result clearly shows that upon coupling to the second microbubble the transmission signal, photodiode voltage amplitude, is instantly reduced due to the reduced optical conductance arising from the coupling of the energy to the series arrangement of the two microbubbles. The density of the observed resonance modes are observed in the dual microbubble coupling state is clearly increased than the single microbubble coupling – indicating the convolution of the transmission spectrum of the two bubbles in the output signal.

95

10 Single microbubble coupling Double microbubbles coupling

8

6

4

Voltage (V)

2

0 -21 -18 -15 -12 -9 -6 -3 0 3 6 9 12 15 18 21 Resonance Frequency (GHz)

Figure 4-12. Transmission spectrum of single microbubble coupling and double microbubbles coupling

4.9 Summary

In this chapter, the author demonstrated the first chip-scale, silica glass microspherical shell, optical resonators with high-Q factors fabricated by chip-scale glassblowing techniques and demonstrated the potential of these structures for on-chip sensing applications. The author has demonstrated a glass microfabrication process for realizing microspherical shells with diameters ranging from 230 µm to 1.2 mm diameters and shell thicknesses ranging from 300 nm to 10 μm.

Arrays of on-chip fabricated, near spherical glass microspherical shells were successfully achieved with the equatorial planes located above the plane of the substrate. On-chip integration of highly symmetric and smooth surface, closed spherical shell structures, can allow for the realization of

WGM based in-line microfluidic (bio)chemical sensors where the analyte fluid interacts with the optical resonance through the inner surface of the shell. The author demonstrated and modeled the thermal sensing capability of the glass microspherical shell resonator. A proof-of-concept liquid core sensor was demonstrated by sensing the change in the index of refraction arising from the evaporation of water from within the microspherical shell and the expected change in frequency

96 was calculated through COMSOL modeling – thus confirming the principle of operation of the device. Optical resonance of silicon substrate removed glass microspherical shell chip was characterized to support the future work relating to the direct integration of the microspherical shell structures into microfluidic structures. The transmission spectrum of two microspherical shells placed in series and coupled to a single tapered fiber was experimentally measured and showed a clear convolution of the two spectra. These initial measurements lay the foundations for realizing integrated microfluidic devices and other sensor concepts using arrays of such coupled resonators.

97

Chapter 5

Glass Microbubble Packaged Ferrofluids – Microfabricated Quartz Resonator Based Magentoviscous Magnetometer

5.1 Introduction and motivation

Easy to use, low cost, chip-scale, ultra-sensitive magnetometers are attractive for several applications such as position sensors, orientation sensors, and biomedical imaging and diagnosis

[115]. Many innovative approaches have been proposed and investigated to explore sensitive magnetometers. Superconducting quantum interference devices (SQUID) is one of the most sensitive magnetic field sensor which are reported with 4.5fT/Hz resolution [116]. Atomic magnetometers have also shown comparable sensitivity [117], [118]. However, usually SQUIDs requires cryogenic cooling systems to operate whereas in atomic magnetometers, the sensor vapor cell must be heated to high temperatures (>150 °C). Other magnetic field sensors such as fluxgate sensors [119], giant magnetoresistance (GMR) spin valve [120], magnetoelectric sensors [121], and magnetoflexoelastic resonator based sensors [66] have been demonstrated with sensitivities ranging from 10 nT to 100 pT.

Recently, a novel concept for magnetic sensing is demonstrated which is based upon magnetoviscoelastic effect of ferrofluid [122]. Ferrofluids are emulsions of nanometer sized ferroparticles suspended in a carrier liquid which are able to organize spontaneously into columnar structures under the influence of external magnetic fields [123]. The ferrofluid nanoparticles are illustrated in Figure 5-1 (a) [123]. The aggregation of ferro-particles in response to external magnetic fields results in large viscosity changes in the ferrofluids and is known as magnetoviscous effect [124]. The magnetoviscous effect in a ferrofluid (APG513A) is shown in

98 Figure 5-1 (b) [124]. Moreover, not only viscosity changes but also changes in the elastic properties of the densely agglomerated ferro-particle layer take place in an external magnetic field.

Figure 5-1. (a) Schematically illustration of magnetic particles in ferrofluids. The diameter of the particle is about 10 nm and the length of the surfactant is about 2 nm. (b) Magnetoviscous effect: the viscosity of the ferrofluids increases as increase of magnetic field. On the other side, micromachined high-resonance frequency quartz resonator demonstrates high sensitivity to the change of viscoelastic properties of the interfacial layer which is formed at the surface of quartz resonator in the liquid loading ambient [18]. A thickness shear mode quartz crystal resonator typically consists of a slab of thin single-crystal, piezoelectric quartz, with very large lateral dimensions in comparison to its thickness. The slab is sandwiched between two metal electrodes. When electric field is applied, the quartz generates a shear wave through the thickness of the quartz. The device exhibits a resonance behavior when the wavelength of shear acoustic wave is twice the thickness of the quartz slab. The resonance frequency of a quartz resonator is governed by:

1 휇푞 푓0 = √ (5-1) 2푡푞 휌푞

Where tq is the thickness of quartz slab, µq and ρq are the shear modulus and density of quartz.

99 Realistically, in addition to the thickness of the quartz slab and the mechanical properties of quartz materials, the actual resonance frequency of a shear mode quartz crystal resonator also depends on properties of the ambient loadings of the quartz resonator. The behavior of quartz resonator with viscous loading was firstly reported by Kanazawa and Gordon in 1985 [125]. Respect to the resonance frequency of the quartz resonator without loadings, the resonance frequency shift of the quartz resonator with liquid loading is given by:

3/2 푓0 Δ푓 = − √휌퐿휂퐿 (5-2) √휋휌푞휇푞

Where ρL and ηL are density and viscosity of loaded liquid.

Quartz resonator typically samples a layer of thickness equivalent to the decay length which is given by:

휂퐿 훿푙푖푞 = √ (5-3) 휋휌퐿푓0

Therefore, a high resonance frequency resonator is demanded for thin films. For example, a quartz resonator with 200 MHz resonance frequency can be used for probing a thin layer of 40 nm.

Our previous work proposed the idea of loading ferrofluid atop a high frequency quartz shear wave resonator and monitor the at-resonance impedance changes of the quartz resonator upon the application of external magnetic field. The configuration of the proposed device is shown in

Figure 5-2. Ferrofluids is loaded on the quartz and out-of-plane electric field is applied trough the top and bottom pattered electrodes (yellow layers in the sketch) to drive the quartz slab resonate in shear mode. A small magnet is used to apply out-of-plane magnetic field at the bottom of the quartz slab. The out-of-plane bias magnetic field aggregates nano-particles at the surface of the resonator and forms nano-particles aggregated interfacial layer. In-plane magnetic field is induced by

Helmholtz coils for sensing. The quartz crystal resonance frequency shift resulting from the deposition of viscoelastic layer in a viscous liquid ambient can be analyzed using a continuum

100 mechanics approach as developed by Kasemo and coworkers [126]. In order to model this situation, the quartz resonator surface is considered to be in intimate contact with the out-of-plane magnetic field induced viscoelastic layer with an infinitely thick Newtonian liquid over-layer. Under the assumption that the thickness of the bulk ferrofluid-liquid layer is much larger than the decay length of the acoustic wave in the liquid, the frequency changes with respect to only ferro-liquid loading conditions can then be written as [127]:

2 1 휂푙푖푞 2 휂푣푖푠푐휔 훥푓 = − (푡푣푖푠푐휌푣푖푠푐휔 − 2푡푣푖푠푐( ) 2 2 2 (5-3) 2휋휌푞푡푞 훿푙푖푞 휇푣푖푠푐+휔 휂푣푖푠푐

Where tvisc, ρvisc, µvisc are thickness, viscosity and elastic modulus of the viscoelastic layer. ω = 2πf0.

The proof of concept work demonstrated very promising magnetic sensitivity of 1.5 nT/Hz [122]. However, the lifetime of the device was only a few hours since without any sealing of the ferrofluids liquid, it continuously evaporates and dried out. In this , we will specifically demonstrate the application of glass microbubble to achieve chip scale device packaging that allows the ferrofluids to hermetically sealed atop the resonator to achieve a magnetometer with robust lifetime.

Figure 5-2. Schematic illustration of the ferrofluid – quartz resonator based magnetoviscos magnetometer.

101 5.2 Device Fabrication and Experiment Set-up

5.2.1 Quartz Resonator Chip

A 100 μm thick, 1’’ diameter polished AT-cut quartz crystal substrate is cleaned in

Nanostrip™ solution for 30 mins as shown in Figure 5-3 (a). 15 nm/150 nm thick Cr/Au seed layers are deposited on one side of quartz substrate by evaporation and then patterned with SPR-220 photoresist to form 15 μm thick photoresist pattern to define two 1 mm diameter resonator regions.

10 μm nickel is then electroplated as hard mask of etching. After removing photoresist, the resonator areas then thinned down using deep silicon oxide etching method [45] to a thickness of

8-15 μm. The size of each chip is 9 mm ×9 mm. Following a clean strip of any remaining nickel mask, Cr (20 nm)/Au (100 nm) layers are evaporated, and lithographically patterned and wet etched to form bottom electrode as shown in Figure 5-3 (b). Following this, the quartz substrate is flipped over and is followed by another lithography step to define the top electrode by lift-off of evaporated films of Cr (20 nm)/Au (100 nm) as shown in Figure 5-3 (c). The patterns of both top and bottom electrodes are extended to one of the edges of the chip so that they are accessible for wire bonding after the glass microbubble integrated atop the resonator. Finally, 500 nm thick high relative permeability Metglas® layer is sputtered on flat (unetched) side of the resonator as shown in Figure

5-3 (d). An optical image of the fabricated micro-quartz-crystal-resonator (μQCR) is shown in

Figure 5-3 (e).

102

Figure 5-3. (a) – (e) : Schemaic illustration of the design and fabrication of ferrofluid-μQCR magnetometer. (a) 100 μm thick AT-cut quartz substrate, (b) optimized ICP-RIE etched 90-95 μm quartz resonating region with deposition and patterning of 15/150 nm thick Cr/Au backside electrode, (c) Deposition and patterning of 15/150 nm thick Cr/Au front side common electrode, (d) Deposition and patterning of 500 nm thick Metglas magnetic flux concentrator. (e) optical image of the fabricated μQCR.

5.2.2 Glass Microbubble Chip

A 550 μm thick, 4 inch diameter silicon wafer is patterned and deep reactive ion etched

(DRIE) to form 250 μm deep circular trenches. A 100 μm thick, 4 inch diameter Borofloat® 33 glass is anodically bonded to the silicon wafer as shown in Figure 5-4 (a). During the bonding, nitrogen at 1 atm pressure is trapped in the circular trench cavities enclosed by the glass wafer. The bonded wafer is diced into 8 mm × 9 mm dies and the dies are individually placed in a rapid thermal annealing (RTA) chamber and heated to 850 °C for 3 mins [31]. Due to the pressure generated from the volume expansion of trapped nitrogen in the cavity at high temperature, the softened 100 μm thick borofloat expands into a semi-spherical microbubble as shown in Figure 5-4 (b). The

103 microbubbles are 1.5 mm in diameter. In addition to blowing up the central microbubbles, a surrounding ring shaped glass is also expanded – creating a moat like structure to trap adhesive overflow into the central region as shown in Figure 5-4 (c). After thermally shaping the microbubbles, two holes are drilled on the top of the microbubble by a micro-drill for dropping ferrofluids liquid later on. Glass microbubbles are shown in Figure 5-4 (d) after micro-drilling. In the final step, the substrate silicon is dissolved in high selectivity 80 °C 22% (wt.) potassium hydroxide wet etchant for 30 hours. The glass microbubble chip is shown in Figure 5-4 (e) after removing silicon substrate.

Figure 5-4. (a) Anodic bonded borosilicate glass wafer and etched silicon wafer. (b) Glass microbubble formed with thermal annealing process. (c) Schematic illustration of the expanded glass microbubble chip. (d) Optical image of microbubble package chip after drilling holes on the top of microbubbles. (e) Optical image of microbubble package chip after removing silicon substrate.

5.2.3 Ferrofluids Packaging

The quartz resonator chip placed on standard dualin-line ceramic package by using silver epoxy – which also makes the electrical connection to the bottom electrode. The flat regions of the glass microbubble chip carefully coated with DevconTM 10 mins epoxy. Two chips are carefully aligned, placed together and gently pressed to each other. The moat-like structure around the central

104 bubble provides a cavity where the DevconTM epoxy can go when it is pressed, instead of being squeezed onto the resonating region. About 0.6 µl EMG 911 ferrofluid is loaded through the top hole of the microbubble by using 25 gauge syringe. Ferrofluids filled microbubble is then sealed with a piece of dicing tape by using DevconTM epoxy again. The top hole of the microbubble after being sealed by a small piece of tape and DevconTM epoxy for 24 hours. Figure 5-5 (a) (b) show the glass microbubble packaged ferrofluid – μQCR device.

Figure 5-5. (a) Schematic illustration of packaged device. The dimension mismatch of glass microbubble chip and quartz resonator chip provides the access of wire-bonding between top- electrode to the ceramic package. (b) Image of glass microbubble packaged ferrofluid- μQCR device.

5.2.4 Experiment Set-up

The packaged device is placed in the center of a Helmholtz coil and connected to the network analyzer using a SMA connector. The entire set-up is placed inside a magnetically shielded three layer mu-metal box. An out-of-plane directed (perpendicular to the surface of the resonator) constant bias magnetic field is applied by placing a permanent magnet under ceramic package ~3 mm away from the resonator/ferrofluid interface. The resonance characteristics of the micromachined quartz resonator were measured using an Agilent E 5061B network analyzer capable of acquiring 1601 impedance measurements over the set frequency span. Figure 5-6 (a) shows the experiment setup used for magnetic field modulation and data collection. Figure 5-6 (b) displays the view of the Helmholtz coil and packaged device in the shield box.

105

Figure 5-6. (a) Low noise current source is used to drive Helmholtz coils for modulating magnetic field in the magnetic shield box. Device Under Test (DUT) is connected with network analyzer. (b) Image in the shield box: glass microbubble packaged ferrofluid- μQCR is placed on a stage at the center of Helmholtz coils and connected with network analyzer through SMA connector.

5.3 Results and Discussion

5.3.1 Characterization of quartz resonator

Quartz resonator was fabricated as the steps described in section 5.2.1. The resonance performance was carefully examined during the packaging processes in each step. Firstly, a quartz resonator, which was patterned only with top and bottom electrode without Metglas® flux concentrator, was wire bonded with a ceramic dual inline package and the package is connected to network analyzer via SMA connections. The obtained conductance and susceptance are plotted as a function of frequency in red and blue dot lines in Figure 5-7. The resonance frequency is found at 146.3209 MHz which indicates the quartz resonator was etched to the thickness of 11.4 µm. The quality factor of the resonator is calculated as 7726. Next, the glass microbubble was carefully coated with DevconTM 10 mins epoxy, aligned with the quartz resonator chip, placed and gently pressed on the resonator chip. The DevconTM epoxy was supposed to cure in 15 minutes. In the

106 experiment, the resonance behavior of the quartz resonator was examined 6 hours later after attaching glass microbubble on the quartz resonator. The obtained conductance and susceptance are plotted as orange and light-blue dash-dot lines in Figure 5-7. It shows the resonance peak slightly shifts to the left direction in the frequency spectrum. It probably results from incomplete evaporation of epoxy vapor which was trapped in the glass microbubble during the curing process.

But the quality factor of the resonator maintains as the original value after attaching microbubble chip. At last, ferrofluids was loaded with a small syringe through the drilled top holes and was sealed with a small piece of dicing tape by DevconTM 10 mins epoxy. The red and blue solid lines in Figure 5-7 demonstrate the conductance and susceptance shift to the left direction respect to the original resonance frequency. The new resonance frequency of 146.2337 MHz indicates resonance frequency shift of 87182.5 Hz due to loading ferrofluid liquid on the resonator. Furthermore, the quality factor of the resonator damps to 2753 at the new resonance frequency.

Figure 5-7. Characterization of quartz resonance during the packaging process.

107 5.3.2 Responds of magnetic field

Quality factor of micro-fabricated quartz resonator critically determines the magnetic sensitivity of ferrofluid-µQCR device. Not only the ferrofluid loading, but also inappropriate magnetic bias, which was supposed to form the interfacial viscoelastic layer, possibly attracts excessive ferro-particles and deteriorates the quality factor. When the Q-factor deducts under 1000, the resonance peak turns out too broad to determine the resonance frequency, so the frequency shifts are difficult to be resolved. Therefore, a high quality factor quartz resonator and optimum magnitude of bottom magnetic bias are highly desired for sensing low magnetic field with using the ferrofluid-

µQCR device. Table 5-1 summarizes resonance characteristics of three quartz resonators. The magnetic sensitivity and device lifetime will be discussed later. Figure 5-8 shows an obtained high

Q-factor resonance at 122.6074 MHz after loading ferrofluids. The resonance frequency indicates the quartz resonator was etched to the thickness of 13.6 µm and the quality factor is calculated as

10779. This device is the one that used to sense magnetic field in the later sections. The resonance spectrum before loading ferrofluid is missing because the resonance frequency of this resonator was overestimated initially so the frequency range below 130 MHz was not examined in the frequency spectrum. However, based on the reduction factors observed in Device 1 and Device 2, the quality factor of Device 3 is speculated to be around 30000.

Table 5-1. Resonance characteristics of three fabricated quartz resonators. Device Resonance Q-factor before Q-factor after Resonator Metglas Bias number Frequency ferrofluid ferrofluid thickness concentrator field packaging packaging patterns 1 131.0 MHz 1500 440 12.7 Yes 5 mT

2 146.3 MHz 7726 2753 11.4 No 10 mT

3 122.6 MHz NA 10779 13.6 Yes 3 mT

108

Figure 5-8. Obtained high Q-factor resonator after loading ferrofluids in the glass microbubble. As a part of the packaged device, a perpendicular bias field is used to pre-organize the ferroparticles and introduce spontaneous self-assembly in the ferrofluid/resonator interface layer.

The dense self-assembled ferrofluid interfacial layer can be modeled as a viscoelastic surface load in modified BVD model [123]. Due to proximity, the applied bias field also magnetizes the Metglas® layer along the easy axis in the plane of the film causing the interfacial ferroparticles to be very likely oriented along the in-plane direction of the Metglas layer. Hence, we expect the ferro- particles ordering on the interfacial layers to be strongly influenced by the in-plane magnetic field of the

Metglas® layer.

Low modulation frequency (0.5 Hz) sense magnetic field is applied by the Helmhloz coils.

The sense field is able to perturb the self-assembled and ordered interfacial viscoelastic ferrofluid layer. The resulting magnetoviscoelastic changes in this interfacial ferrofluid layer are monitored by tracking the susceptance at-resonance frequency. Fig. 5-9 (a) shows the real-time response of the resonator to a sinusiod wave applied magnetic field with peak value of 33.6 µT. The susceptance responds data in time spectrum is then transferred to frequency spectrum by using fast Fourier transform (FFT) as shown in Figure 5-9 (b). Figure 5-9 (c) plots the amplitude of FFT peak-signals at the modulation frequency (0.5 Hz) as a function of applied magnetic field. Noise level is

109 determined by the FFT value at 0.5 Hz frequency without applying magnetic field. It can be seen that the predicted minimum detectable sensitivity of glass microbubble packaged ferrofluid-µQCR is 600 nT in Figure 5-9 (c).

(a) 0.10296 (b) 2E-5 0.10295 1E-5 5E-6 0.10294 2E-6

0.10293 Hz

 1E-6 0.10292 5E-7 0.10291 2E-7

Siemens/ 1E-7 0.1029 5E-8 Susceptance ( Siemens) 0.10289 2E-8 0.10288 1E-8 0 2 4 6 8 10 12 14 16 18 20 0.05 0.1 0.2 0.5 1 2 3 45 7 10 2030 50 Time (s) Frequency, Hertz

(c) 2E-5 0.5 Hz Noise Floor 1E-5 7E-6

Hz 5E-6 

3E-6

2E-6 Siemens/

1E-6 7E-7 5E-7 0.5 1 2 3 4 5 67 10 20 30 50 100 Magnetic Field (T)

Figure 5-9. (a) Real-time susceptance responds to modulated magnetic field. Modulation frequency :0.5 Hz; modulation field: 33.6 µT. The scan time is 20 seconds. (b) FFT is applied to the measured susceptance responds in time spectrum. FFT peak-signal at the modulation frequency of the magnetic field is tracked to quantify the susceptance responds. (c) Amptitudes of FFT peak-signals at the modulation frequency are plotted as a function of intensity of modulation magnetic field. The susceptance responds of different modulation frequency of the magnetic field are also examined in the work. Figure 5-10 plots the susceptance responds as a function of applied magnetic field in the modulation frequency ranging from 0.2 Hz to 40 Hz. The predicted minimum detectable magnetic fields in each frequency are listed in the legend of the plot based on the measured noise level. The result demonstrates the glass microbubble packaged ferrofluid-µQCM is capable of

110 measuring magnetic modulation frequency ranging from 0.2 Hz to 40Hz. The highest sesitivity of

0.5 µT was obtained with the modulaton frequency of 0.5 Hz. The result is consistent with the fine scan shown in Figure 5-9 (b). However, a clear trend of modulation frequency dependent minimum detectable magnetic field is difficult to conclude.

5E-5 0.2 Hz 5Hz 3E-5 1.8 T 2.0 T 2E-5 0.5 Hz 10 Hz 0.5 T 1.9 T 1 Hz 20Hz 1E-5 0.8 T 1.5 T 2 Hz 40 Hz

Hz

 5E-6 1.2 T 1.1 T 3E-6 2E-6

Siemens/ 1E-6 Noise Floor 5E-7 3E-7 2E-7 0.5 1 2 3 4 5 67 10 20 30 50 100 Magnetic Field (T)

Figure 5-10. Susceptance responds to various modulation frequency as a function amptitude of magnetic field. With appling perpendicular bias magnetic field to the ferrofluid, Metglas® thin film is expected to be magnetized in-plane (along easy axis) and acts as a magnet in close proximity to the ferrofluid [122]. The patterned bow-tie shape Metglas® film is expected to concentrates the magnetic flux lines and focuses through the small gap region. The effect of patterned Metglas® flux concentrator layer is investigated by measuring and calibrating the susceptance responds of Device

2 and Device 3 as a function of magnetic field intensity as shown in Figure 5-11. Comparing to the minimum detected magnetic field as 2.5 µT in Device 2, the device with Metglas® flux concentrator demonstrates 4 times higher sensitivity. The modulation frequency is 0.5 Hz in two cases. The obtained sensitivities from three devices are listed in Table 5-2.

111 Table 5-2. Summary of obtained sensitivity from three devices.

Device Number Minimum measured Minimum predicted Modulation magnetic field (µT) magnetic field (µT) frequency

1 200 15 0.01

2 11.2 2.4 0.5

3 3.4 0.6 0.5

2E-5 with Metglas flux concentrator without Metglas flux concentrator 1E-5 7E-6

Hz 5E-6 

3E-6

2E-6 Siemens/

1E-6 7E-7 5E-7 0.5 1 2 3 4 5 7 10 20 30 50 100 200 Magnetic Field (T)

Figure 5-11. Comparison of susceptance responds of the devices with and without Metglas® flux concentrator as a function amptitude of magnetic field.

5.3.3 Lifetime of packaged device

The idea of loading ferrofluid atop a high frequency quartz shear wave resonator and monitor the at-resonance impedance changes of the quartz resonator upon the application of external magnetic field have been realized in [122] and in previous discussion. However, the most chanllenge issue of this configuration device is that the lifetime of the device was only a few hours since without any sealing of the ferrofluids liquid, it continuously evaporates and dried out.

112 Therefore, here we specifically demonstrate the application of glass microbubble to achieve chip scale device packaging that allows the ferrofluids to hermetically sealed atop the resonator to achieve a magnetometer with robust lifetime.

With the glass microbubble chip packaging method, to date, Device 2 and Device 3 have demonstrated reliable magnetic sensitivity for over a week and continuing. Device 1 has demonstrated reproducible frequency shifts under external magnetic field for more than 50 days.

Figure 5-12 shows repeatable frequency shifts of Device 1 under external magnetic field.

220 4E-6 674  3.4 T 200 1348  5.6 T 180 3.5E-6 160

f (Hz)

 3E-6

140 Hz  120 2.5E-6 100 80

Siemens/ 2E-6 60

Frequency Shift Frequency 40 1.5E-6

20 1E-6 0 0 4 8 12 16 20 24 28 32 36 40 44 48 1 3 5 7 9 11 13 15 17 19 21 23 25 Day Day

Figure 5-12. Frequency shift of Device 1 and Device 3 under external magnetic field as a function of time.

5.4 Summary

In this chapter, we presented a new packaging method for improving the lifetime of a ferrofluid-based magnetoviscous magnetometer. The concept of a ferrofluid based magnetometer has been previously reported where the viscoelastic response of a thin interfacial ferrofluid layer loaded atop a high frequency shear wave quartz resonator to applied magnetic field is monitored.

The magnetic field can be sensitively quantified by the changes in the at-resonance admittance characteristics of the resonator. However, under open conditions, continuous evaporation of the

113 ferrofluid compromises the long term performance of the magnetometer. In this work, we integrated glass hemispherical microbubbles, used as vessels of ferrofluid, on the resonator chip to seal and prevent the evaporation of the ferrofluid liquid and drying out. A layer of high relative permeability thin film Metglas (Fe85B5Si10) is patterned as flux concentrator on the resonator chip to improve the sensitivity. Using these improvements, a minimum detectable field of 500 nT at 0.5 Hz is achieved.

Moreover, comparing with the unsealed ferrofluid device, the lifetime of the glass microbubble integrated chip packaged device improved significantly from only few hours to over 50 days.

Furthermore, packaging ferrofluid liquid on miniaturized quartz resonator using glass microbubble demonstrates an example of realization of chip-scale micro-cavity package for liquid material. It provides the feasibility of maintaining liquid in micro-liter volume in the potential applications fields such as microfluids, Bio-MEMS and optical MEMS. Meanwhile, Being mechanically robust, optical transparent and highly reproducible, on-chip glass microbubble possesses the capability of wafer-level vacuum packaging for MEMS devices.

114

Chapter 6

Summary and Future Work

This dissertation demonstrated the exploration of glass microfabrication techniques for fabricating novel chip-scale glass based transducers. Firstly, plasma etching processes on three compositions of glass substrates were explored using a modified inductively couple reactive ion etching (ICP-RIE) system for high etch-rate, high aspect ratio, smooth etching performance, and understanding the fundamental plasma glass etching mechanism. In addition to using SF6 as the plasma source gas, NF3 and H2O gases were introduced through diffuser-ring gas inlet in the vicinity of wafer. Unprecedented Angstrom level surface smoothness were observed in the diffuser- ring modified etcher. 19%, 15% and 88% higher etch rates were achieved in the diffuser-ring modified etcher than the conventional etching method. Fluorine atom, fluorine molecule and NFx radicals were speculated as critical radicals for fast etch. Therefore, in order to maximize the generation of fluorine atom, fluorine molecule and NFx radicals, diffuser-tubes with different heights were used to introduce NF3 and H2O gases several centimeters above the wafer substrate.

Etch rates as high as 1.06 μm/min, 1.04 μm/min, and 0.45 μm/min with surface smoothness of ~2

Å, ~67 Å, ~4 Å were achieved for fused silica, borosilicate glass, and aluminosilicate glasses respectively after 5 minutes etches. High aspect ratio etch of 5.2:1, 10:1 and 2:1 are obtained for fused silica, borosilicate glass, and aluminosilicate glass respectively. Glass etching mechanism was further understood by statistically analyzing the etch rates and corresponding partial pressure of plasma species detected by in-situ residual gas analyzer (RGA) with various position of the diffuser gas inlet. As shown in Table 3.2, statistical analysis confirmed that etch rate of fused silica is critically influenced by fluorine based radicals and molecular fragments, and the etching smoothness of fused silica is mostly influenced by HF molecule. Both fluorine atom and ion flux

115 influence on the fast etch of borosilcate. The large fraction of impurity atoms of Ca and Al in aluminosilicate glass form non-volatile fluorides on the etch surface and therefore the etch rate and surface smoothness of aluminosilicate glass is primarily influenced ion flux and very little by the fluorine chemistry. At last, the role of the layout of the metal mask layer on how it influences the charging of glass substrates was examined during etching and therefore the etch rate.

Plasma glass etching mechanism is further understood in this work. The benchmark of etch rates and etch roughness with feeding etchant gases from ICP source indicates that the conventional plasma glass etching results from physical energetic ions bombardment dominated etching mechanism. The modified ICP-RIE etcher realizes a physical-chemical tunable glass etching system by introducing NF3 and H2O through diffuser gas inlets. With gas-diffuser modified etching system, the enhanced chemical etching components such as fluorine atom, fluorine molecule and

NFx radicals lead to faster etch rate and smoother etched surface than the conventional etching system. The activation of chemical etching follows the step of physical bombardment induced surface sensitization [56]. However, the enhancement of chemical etching components which results from enriching fluorine based gas etchants in the etcher conflicts with the conditions for supporting energetic ion bombardment induced surface sensitization due to the decrease of ion mean free path. Therefore, an alternate sequence process for plasma glass etching could be proposed in the future. In the proposal, the step of surface sensitization by energetic ion bombardment and step of abundant fluorine based chemical etching components can be processed in alternate pulse sequence. By separately igniting physical energetic ion dominated plasma and chemical fluorine radicals dominated plasma in two levels of chamber pressure, further increased glass etch rate might be expected in the new method.

In the second half of the thesis, chip scale glass blowing technique was explored for novel sensing and packaging applications. Arrays of on-chip spherical glass shells of hundreds of micrometers in diameter with ultra-smooth surfaces and sub-micrometer wall thicknesses had been

116 fabricated and had been shown to sustain optical resonance modes with high Q-factors of greater than 50 million. The resonators exhibited temperature sensitivity of -1.8 GHz K-1 and could be configured as ultra-high sensitivity thermal sensors for a broad range of applications. By virtue of the geometry's strong light-matter interaction, the inner surface provided an excellent on-chip sensing platform that truly opened up the possibility for reproducible, chip scale, ultra-high sensitivity microfluidic sensor arrays. As a proof of concept we demonstrated the sensitivity of the resonance frequency as water was filled inside the microspherical shell and was allowed to evaporate. By COMSOL modeling, the dependence of this interaction on glass shell thickness was elucidated and the experimental results of the sensitivity of two different shell thicknesses was explained.

As future work, the on-chip glass micro-spherical shell is proposed to be integrated with microfluid channel substrate for sensing bio-chemical reaction. Secondly, the preliminary experiments demonstrated the capability of detecting variation in the vapor pressure of water.

Therefore, an experimental setup which ready for making systematic and quantitative measurements could be proposed in the future.

In the last chapter, chip-scale blown, glass microbubbles were explored for encapsulation of ferrofluid atop a micromachined quartz resonator configured as a magnetometer. The concept of a ferrofluid based magnetometer had been previously reported where the viscoelastic response of a thin interfacial ferrofluid layer loaded atop a high frequency shear wave quartz resonator to applied magnetic field was monitored. The magnetic field can be sensitively quantified by the changes in the at-resonance admittance characteristics of the resonator. However, under open conditions, continuous evaporation of the ferrofluid compromised the long term performance of the magnetometer. In this work, we integrated glass hemispherical microbubbles, used as vessels of ferrofluid, on the resonator chip to seal and prevent the evaporation of the ferrofluid liquid and drying out. Using these improvements, a minimum detectable field of 600 nT at 0.5 Hz was

117 achieved. Moreover, comparing with the unsealed ferrofluid device, the lifetime of the glass microbubble integrated chip packaged device improved significantly from only few hours to over fifty days and continuing.

In this work, scalable wafer-level fabricated glass microbubble which was used to package ferrofluid for magnetometer is demonstrated as an example for potential packaging applications. It provides feasibilities of on-chip glass microbubble encapsulation for vacuum package, inert gas package and liquid package etc.

118

REFERENCE

[1] L. J. Edgar, “Device for controlling electric current.” Google Patents, 1933.

[2] K. Dawon, “Electric field controlled semiconductor device.” Google Patents, 1963.

[3] M. W. D. Renato Turchetta, Kenneth R. Spring, “Introduction to CMOS Image Sensors.” [Online]. Available: www.olympusmicro.com/primer/digitalimaging/cmosimagesensors.html.

[4] M. Töpper et al., “3-D Thin film interposer based on TGV (Through Glass Vias): An alternative to Si-interposer,” in Electronic Components and Technology Conference (ECTC), 2010 Proceedings 60th, 2010, pp. 66–73.

[5] S. Takahashi, K. Horiuchi, K. Tatsukoshi, M. Ono, N. Imajo, and T. Mobely, “Development of through glass via (TGV) formation technology using electrical discharging for 2.5/3D integrated packaging,” in Electronic Components and Technology Conference (ECTC), 2013 IEEE 63rd, 2013, pp. 348–352.

[6] A. Shorey, S. Pollard, A. Streltsov, G. Piech, and R. Wagner, “Development of substrates for through glass vias (TGV) for 3DS-IC integration,” in Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd, 2012, pp. 289–291.

[7] J. R. Barton, A. C. Reiss, and S. W. Silverman, “Glass encapsulation of solar cell arrays to minimize voltage/plasma interaction effects in a space environment.” Google Patents, 1989.

[8] J.-S. Wang et al., “Mean-time-to-failure evaluations of encapsulation materials for LED package in accelerated thermal tests,” Microelectron. Reliab., vol. 52, no. 5, pp. 813–817, 2012.

[9] Tecnisco Inc, “Glass products.” [Online]. Available: http://www.tecnisco.co.jp/en/en_product/en_glass/index.html.

[10] Tecnisco Inc, “Conductive-through-metal-via processing.” [Online]. Available: http://www.tecnisco.co.jp/en/en_micromachining/en_junction/.

[11] T. Shi et al., “First Demonstration of Panel Glass Fan-Out (GFO) Packages for High I/O Density and High Frequency Multi-chip Integration,” in Electronic Components and Technology Conference (ECTC), 2017 IEEE 67th, 2017, pp. 41–46.

119 [12] A. Le Gouil, J. R. Lindain, Y. Ishikawa, and Y. Yamaguchi-Adams, “ETCH process for 3D flash structures.” Google Patents, 2013.

[13] Schott Inc, “Synthetic Fused Silica.” [Online]. Available: https://www.thorlabs.com/images/TabImages/Fused Silica Data Sheet.pdf.

[14] K. Numata, A. Kemery, and J. Camp, “Thermal-noise limit in the frequency stabilization of lasers with rigid cavities,” Phys. Rev. Lett., vol. 93, no. 25, p. 250602, 2004.

[15] H. J. Levinson, “Overview of lithography: challenges and metrologies,” in AIP Conference Proceedings, 2003, vol. 683, no. 1, pp. 365–370.

[16] Wikipedia, “Fused quartz.” [Online]. Available: https://en.wikipedia.org/wiki/Fused_quartz.

[17] E. Ni and U. Stumper, “Permittivity measurements using a frequency-tuned microwave TE01 cavity resonator,” in IEE Proceedings H (Microwaves, Antennas and Propagation), 1985, vol. 132, no. 1, pp. 27–32.

[18] P. Kao, D. Allara, and S. Tadigadapa, “Characterization of viscoelastic properties of adsorbed biomolecules and biomolecular assemblies with high frequency micromachined quartz resonators,” Sensors Actuators B Chem., vol. 142, no. 2, pp. 406–411, 2009.

[19] M. Esashi, “Wafer level packaging of MEMS,” J. Micromechanics Microengineering, vol. 18, no. 7, p. 73001, 2008.

[20] S. Queste, R. Salut, S. Clatot, J.-Y. Rauch, and C. G. K. Malek, “Manufacture of microfluidic glass chips by deep plasma etching, femtosecond laser ablation, and anodic bonding,” Microsyst. Technol., vol. 16, no. 8–9, pp. 1485–1493, 2010.

[21] R. Gy, “Ion exchange for glass strengthening,” Mater. Sci. Eng. B, vol. 149, no. 2, pp. 159–165, 2008.

[22] Y. Luo and J. A. Kerr, “Bond dissociation energies,” CRC Handb. Chem. Phys., vol. 89, 2012.

[23] D. Sparks, J. Trevino, S. Massoud-Ansari, and N. Najafi, “An all-glass chip-scale MEMS package with variable cavity pressure,” J. micromechanics microengineering, vol. 16, no. 11, p. 2488, 2006.

[24] M. Tanaka, “An overview of quartz MEMS devices,” in Frequency Control Symposium (FCS), 2010 IEEE International, 2010, pp. 162–167.

[25] E. Ollier, “Optical MEMS devices based on moving waveguides,” IEEE J. Sel. Top. quantum Electron., vol. 8, no. 1, pp. 155–162, 2002.

120 [26] S. Ali, M. Ashraf-Khorassani, L. T. Taylor, and M. Agah, “MEMS-based semi-packed gas chromatography columns,” Sensors Actuators B Chem., vol. 141, no. 1, pp. 309–315, 2009.

[27] S. Bütefisch, V. Seidemann, and S. Büttgenbach, “Novel micro-pneumatic actuator for MEMS,” Sensors Actuators A Phys., vol. 97, pp. 638–645, 2002.

[28] M. A. Burns et al., “An integrated nanoliter DNA analysis device,” Science (80-. )., vol. 282, no. 5388, pp. 484–487, 1998.

[29] K. B. Albaugh, P. E. Cade, and D. H. Rasmussen, “Mechanisms of anodic bonding of silicon to pyrex glass,” in Solid-State Sensor and Actuator Workshop, 1988. Technical Digest., IEEE, 1988, pp. 109–110.

[30] P. Merz, H. J. Quenzer, H. Bernt, B. Wanger, and M. Zoberbier, “A novel micromachining technology for structuring borosilicate glass substrates,” in TRANSDUCERS, Solid-State Sensors, Actuators and Microsystems, 12th International Conference on, 2003, 2003, vol. 1, pp. 258–261.

[31] E. J. Eklund and A. M. Shkel, “Glass blowing on a wafer level,” J. Microelectromechanical Syst., vol. 16, no. 2, pp. 232–239, 2007.

[32] M. B. Pisani, K. Ren, P. Kao, and S. Tadigadapa, “Application of micromachined Y -cut- quartz bulk acoustic wave resonator for infrared sensing,” J. Microelectromechanical Syst., vol. 20, no. 1, pp. 288–296, 2011.

[33] S. Karecki et al., “Evaluation of oxalyl fluoride for a dielectric etch application in an inductively coupled plasma etch tool,” J. Electrochem. Soc., vol. 148, no. 3, pp. G141– G149, 2001.

[34] S. S. CHOI, D. W. Kim, and M. J. Park, “Fabrication of a double aperture for near-field optical trapping,” J. Korean Phys. Soc., vol. 45, no. 6, pp. 1500–1504, 2004.

[35] C.-H. Yang and C.-M. Dai, “Resist and oxide thickness effect on process window for 0.2- μm contact patterns with off-axis illumination and attenuated phase-shift mask,” in 23rd Annual International Symposium on Microlithography, 1998, pp. 553–558.

[36] F. H. Bell, O. Joubert, G. S. Oehrlein, Y. Zhang, and D. Vender, “Investigation of selective SiO2-to-Si etching in an inductively coupled high-density plasma using fluorocarbon gases,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 12, no. 6, pp. 3095–3101, 1994.

[37] G. Adegboyega, I. Perez-Quintana, A. Poggi, E. Susi, and M. Merli, “Deep level transient spectroscopy study of the damage induced in n-type silicon by a gate oxide etching in a CHF 3/Ar plasma,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom., vol. 15, no. 3, pp. 623–628, 1997.

121 [38] B. A. Cruden, M. Rao, S. P. Sharma, and M. Meyyappan, “Detection of chamber conditioning by CF 4 plasmas in an inductively coupled plasma reactor,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom., vol. 20, no. 1, pp. 353–363, 2002.

[39] A. Nagy, “Vertical oxide etching without inducing change in critical dimensions,” Opt. Eng., vol. 31, no. 2, pp. 335–340, 1992.

[40] J. Rabe, S. Buttgenbach, J. Schroder, and P. Hauptmann, “Monolithic miniaturized quartz microbalance array and its application to chemical sensor systems for liquids,” IEEE Sens. J., vol. 3, no. 4, pp. 361–368, 2003.

[41] B. Zimmermann, R. Lucklum, P. Hauptmann, J. Rabe, and S. Büttgenbach, “Electrical characterisation of high-frequency thickness-shear-mode resonators by impedance analysis,” Sensors Actuators B Chem., vol. 76, no. 1, pp. 47–57, 2001.

[42] S. J. Ok, C. Kim, and D. F. Baldwin, “High density, high aspect ratio through-wafer electrical interconnect vias for MEMS packaging,” IEEE Trans. Adv. Packag., vol. 26, no. 3, pp. 302–309, 2003.

[43] L. Li, T. Abe, and M. Esashi, “Smooth surface glass etching by deep reactive ion etching with SF 6 and Xe gases,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom., vol. 21, no. 6, pp. 2545–2549, 2003.

[44] T. Ichiki, Y. Sugiyama, T. Ujiie, and Y. Horiike, “Deep dry etching of borosilicate glass using fluorine-based high-density plasmas for microelectromechanical system fabrication,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom., vol. 21, no. 5, pp. 2188–2192, 2003.

[45] A. Goyal, V. Hood, and S. Tadigadapa, “High speed anisotropic etching of Pyrex{\textregistered} for microsystems applications,” J. Non. Cryst. Solids, vol. 352, no. 6, pp. 657–663, 2006.

[46] J. H. Park, N.-E. Lee, J. Lee, J. S. Park, and H. D. Park, “Deep dry etching of borosilicate glass using SF 6 and SF 6/Ar inductively coupled plasmas,” Microelectron. Eng., vol. 82, no. 2, pp. 119–128, 2005.

[47] H. C. Jung, W. Lu, S. Wang, L. J. Lee, and X. Hu, “Etching of Pyrex glass substrates by inductively coupled plasma reactive ion etching for micro/nanofluidic devices,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom., vol. 24, no. 6, pp. 3162–3164, 2006.

[48] X. Li, T. Abe, and M. Esashi, “Deep reactive ion etching of Pyrex glass using SF 6 plasma,” Sensors actuators A Phys., vol. 87, no. 3, pp. 139–145, 2001.

[49] T. Abe and M. Esashi, “One-chip multichannel quartz crystal microbalance (QCM) fabricated by Deep RIE,” Sensors Actuators A Phys., vol. 82, no. 1, pp. 139–143, 2000.

122 [50] W. Chen, M. Itoh, T. Hayashi, and T. Uchida, “SiO 2 etching in magnetic neutral loop discharge plasma,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 16, no. 3, pp. 1594–1599, 1998.

[51] W. Chen, K. Sugita, Y. Morikawa, S. Yasunami, T. Hayashi, and T. Uchida, “Application of magnetic neutral loop discharge plasma in deep silica etching,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 19, no. 6, pp. 2936–2940, 2001.

[52] T. Akashi and Y. Yoshimura, “Deep reactive ion etching of borosilicate glass using an anodically bonded silicon wafer as an etching mask,” J. Micromechanics Microengineering, vol. 16, no. 5, p. 1051, 2006.

[53] K. Kolari, “Deep plasma etching of glass with a silicon shadow mask,” Sensors Actuators A Phys., vol. 141, no. 2, pp. 677–684, 2008.

[54] C. Zhang, G. Hatipoglu, and S. Tadigadapa, “High-Speed Ultrasmooth Etching of Fused Silica Substrates in SF 6, NF 3, and H 2 O-Based Inductively Coupled Plasma Process,” J. Microelectromechanical Syst., vol. 24, no. 4, pp. 922–930, 2015.

[55] M. J. Ahamed, D. Senkal, A. A. Trusov, and A. M. Shkel, “Study of high aspect ratio nld plasma etching and postprocessing of fused silica and borosilicate glass,” J. Microelectromechanical Syst., vol. 24, no. 4, pp. 790–800, 2015.

[56] V. M. Donnelly, D. L. Flamm, W. C. Dautremont-Smith, and D. J. Werder, “Anisotropic etching of SiO2 in low-frequency CF4/O2 and NF3/Ar plasmas,” J. Appl. Phys., vol. 55, no. 1, pp. 242–252, 1984.

[57] R. d’Agostino and D. L. Flamm, “Plasma etching of Si and SiO2 in SF6--O2 mixtures,” J. Appl. Phys., vol. 52, no. 1, pp. 162–167, 1981.

[58] L. Lallement, A. Rhallabi, C. Cardinaud, and M. C. Peignon Fernandez, “Modelling of fluorine based high density plasma for the etching of silica glasses,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 29, no. 5, p. 51304, 2011.

[59] M. Puech et al., “High productivity DRIE solutions for 3D-SiP and MEMS volume manufacturing,” in Journal of Physics: Conference Series, 2006, vol. 34, no. 1, p. 481.

[60] K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano, “Etching process of silicon dioxide with nonequilibrium atmospheric pressure plasma,” J. Appl. Phys., vol. 98, no. 1, p. 13301, 2005.

[61] L. Lallement, A. Rhallabi, C. Cardinaud, M. C. Peignon-Fernandez, and L. L. Alves, “Global model and diagnostic of a low-pressure SF6/Ar inductively coupled plasma,” Plasma Sources Sci. Technol., vol. 18, no. 2, p. 25001, 2009.

[62] A. Picard, G. Turban, and B. Grolleau, “Plasma diagnostics of a SF6 radiofrequency discharge used for the etching of silicon,” J. Phys. D. Appl. Phys., vol. 19, no. 6, p. 991, 1986.

123 [63] N. J. Ianno, K. E. Greenberg, and J. T. Verdeyen, “Comparison of the etching and plasma characteristics of discharges in CF 4 and NF 3,” J. Electrochem. Soc., vol. 128, no. 10, pp. 2174–2179, 1981.

[64] V. Tarnovsky, A. Levin, K. Becker, R. Basner, and M. Schmidt, “Electron impact ionization of the NF3 molecule,” Int. J. Mass Spectrom. Ion Process., vol. 133, no. 2–3, pp. 175–185, 1994.

[65] P. Kao, S. Doerner, T. Schneider, D. Allara, P. Hauptmann, and S. Tadigadapa, “A micromachined quartz resonator array for biosensing applications,” J. Microelectromechanical Syst., vol. 18, no. 3, pp. 522–530, 2009.

[66] G. Hatipoglu and S. Tadigadapa, “Micromachined magnetoflexoelastic resonator based magnetometer,” Appl. Phys. Lett., vol. 107, no. 19, p. 192406, 2015.

[67] Y. Bellouard, A. A. Said, and P. Bado, “Integrating optics and micro-mechanics in a single substrate: a step toward monolithic integration in fused silica.,” Opt. Express, vol. 13, no. 17, pp. 6635–6644, 2005.

[68] F. He et al., “Two-photon fluorescence excitation with a microlens fabricated on the fused silica chip by femtosecond laser micromachining,” Appl. Phys. Lett., vol. 96, no. 4, p. 41108, 2010.

[69] G. D. Marshall, M. Ams, and M. J. Withford, “Direct laser written waveguide-Bragg gratings in bulk fused silica,” Opt. Lett., vol. 31, no. 18, pp. 2690–2691, 2006.

[70] C. Zhang, A. Cocking, E. Freeman, Z. Liu, and S. Tadigadapa, “Whispering gallery mode based on-chip glass microbubble resonator for thermal sensing,” in Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), 2017 19th International Conference on, 2017, pp. 630–633.

[71] I. P. Prikhodko, S. A. Zotov, A. A. Trusov, and A. M. Shkel, “Microscale glass-blown three-dimensional spherical shell resonators,” J. Microelectromechanical Syst., vol. 20, no. 3, pp. 691–701, 2011.

[72] D. Zhang et al., “A novel wafer level hermetic packaging for MEMS devices using micro glass cavities fabricated by a hot forming process,” in Electronic Packaging Technology & High Density Packaging (ICEPT-HDP), 2010 11th International Conference on, 2010, pp. 921–924.

[73] C. Zhang, E. Freeman, and S. Tadigadapa, “Glass microbubble on-chip packaged ferrofluid based magnetoviscous magnetometer,” in SENSORS, 2016 IEEE, 2016, pp. 1–3.

[74] A. Ellison and I. A. Cornejo, “Glass substrates for liquid crystal displays,” Int. J. Appl. Glas. Sci., vol. 1, no. 1, pp. 87–103, 2010.

124 [75] D. Amkreutz, J. Haschke, S. Kühnapfel, P. Sonntag, and B. Rech, “Silicon thin-film solar cells on glass with open-circuit voltages above 620 mV formed by liquid-phase crystallization,” IEEE J. photovoltaics, vol. 4, no. 6, pp. 1496–1501, 2014.

[76] D. M. Knotter, “Etching mechanism of vitreous silicon dioxide in HF-based solutions,” J. Am. Chem. Soc., vol. 122, no. 18, pp. 4345–4351, 2000.

[77] M. A. Taddei, “Sulphur Hexafluoride (SF6),” Dep. energy Eng. Univ. via Marta, pp. 3– 50139.

[78] D. J. Economou and R. C. Alkire, “Effect of Potential Field on Ion Deflection and Shape Evolution of Trenches during Plasma-Assisted Etching,” J. Electrochem. Soc., vol. 135, no. 4, pp. 941–949, 1988.

[79] G. Mie, “Beitr{ä}ge zur Optik tr{ü}ber Medien, speziell kolloidaler Metall{ö}sungen,” Ann. Phys., vol. 330, no. 3, pp. 377–445, 1908.

[80] P. Debye, “Der lichtdruck auf kugeln von beliebigem material,” Ann. Phys., vol. 335, no. 11, pp. 57–136, 1909.

[81] C. G. B. Garrett, W. Kaiser, and W. L. Bond, “Stimulated emission into optical whispering modes of spheres,” Phys. Rev., vol. 124, no. 6, p. 1807, 1961.

[82] S.-X. Qian, J. B. Snow, H.-M. Tzeng, and R. K. Chang, “Lasing droplets: highlighting the liquid-air interface by laser emission,” Science (80-. )., vol. 231, pp. 486–489, 1986.

[83] R. C. Van Duong Ta and H. D. Sun, “Tuning whispering gallery mode lasing from self- assembled polymer droplets,” Sci. Rep., vol. 3, 2013.

[84] Y. P. Rakovich, S. Balakrishnan, J. F. Donegan, T. S. Perova, R. A. Moore, and Y. K. Gun’ko, “The fabrication, fluorescence dynamics, and whispering gallery modes of aluminosilicate microtube resonators,” Adv. Funct. Mater., vol. 17, no. 7, pp. 1106–1114, 2007.

[85] Q. Zhong, Z. Tian, M. H. T. Dastjerdi, Z. Mi, and D. V Plant, “Characterization of azimuthal and longitudinal modes in rolled-up InGaAs/GaAs microtubes at telecom wavelengths,” Opt. Express, vol. 21, no. 16, pp. 18909–18918, 2013.

[86] I. M. White, H. Oveys, and X. Fan, “Liquid-core optical ring-resonator sensors,” Opt. Lett., vol. 31, no. 9, pp. 1319–1321, 2006.

[87] G. S. Murugan, J. S. Wilkinson, and M. N. Zervas, “Selective excitation of whispering gallery modes in a novel bottle microresonator,” Opt. Express, vol. 17, no. 14, pp. 11916– 11925, 2009.

[88] R. Henze, T. Seifert, J. Ward, and O. Benson, “Tuning whispering gallery modes using internal aerostatic pressure,” Opt. Lett., vol. 36, no. 23, pp. 4536–4538, 2011.

125 [89] J. C. Knight, G. Cheung, F. Jacques, and T. A. Birks, “Phase-matched excitation of whispering-gallery-mode resonances by a fiber taper,” Opt. Lett., vol. 22, no. 15, pp. 1129–1131, 1997.

[90] M. Cai, O. Painter, and K. J. Vahala, “Observation of critical coupling in a fiber taper to a silica-microsphere whispering-gallery mode system,” Phys. Rev. Lett., vol. 85, no. 1, p. 74, 2000.

[91] F. Vollmer, D. Braun, A. Libchaber, M. Khoshsima, I. Teraoka, and S. Arnold, “Protein detection by optical shift of a resonant microcavity,” Appl. Phys. Lett., vol. 80, no. 21, pp. 4057–4059, 2002.

[92] S. Suzuki, K. Shuto, and Y. Hibino, “Integrated-optic ring resonators with two stacked layers of silica waveguide on Si,” IEEE Photonics Technol. Lett., vol. 4, no. 11, pp. 1256– 1258, 1992.

[93] V. R. Almeida, C. A. Barrios, R. R. Panepucci, and M. Lipson, “All-optical control of light on silicon chip,” Nature, vol. 431, no. 7012, p. 1081, 2004.

[94] A. Schweinsberg, S. Hocdé, N. N. Lepeshkin, R. W. Boyd, C. Chase, and J. E. Fajardo, “An environmental sensor based on an integrated optical whispering gallery mode disk resonator,” Sensors Actuators B Chem., vol. 123, no. 2, pp. 727–732, 2007.

[95] M. Soltani, S. Yegnanarayanan, and A. Adibi, “Ultra-high Q planar silicon microdisk resonators for chip-scale silicon photonics,” Opt. Express, vol. 15, no. 8, pp. 4694–4704, 2007.

[96] M. Sumetsky, Y. Dulashko, and R. S. Windeler, “Optical microbubble resonator,” Opt. Lett., vol. 35, no. 7, pp. 898–900, 2010.

[97] A. Watkins, J. Ward, Y. Wu, and S. N. Chormaic, “Single-input spherical microbubble resonator,” Opt. Lett., vol. 36, no. 11, pp. 2113–2115, 2011.

[98] D. K. Armani, T. J. Kippenberg, S. M. Spillane, and K. J. Vahala, “Ultra-high-Q toroid microcavity on a chip,” Nature, vol. 421, no. 6926, p. 925, 2003.

[99] M. S. Luchansky and R. C. Bailey, “High-Q optical sensors for chemical and biological analysis,” Anal. Chem., vol. 84, no. 2, pp. 793–821, 2011.

[100] K. J. Vahala, “Optical microcavities,” Nature, vol. 424, no. 6950, p. 839, 2003.

[101] M. R. Foreman, J. D. Swaim, and F. Vollmer, “Whispering gallery mode sensors,” Adv. Opt. photonics, vol. 7, no. 2, pp. 168–240, 2015.

[102] Y. Sun, S. I. Shopova, G. Frye-Mason, and X. Fan, “Rapid chemical-vapor sensing using optofluidic ring resonators,” Opt. Lett., vol. 33, no. 8, pp. 788–790, 2008.

126 [103] Y. Yang, S. Saurabh, J. M. Ward, and S. N. Chormaic, “High-Q, ultrathin-walled microbubble resonator for aerostatic pressure sensing,” Opt. Express, vol. 24, no. 1, pp. 294–299, 2016.

[104] J. Y. Cho, J.-K. Woo, J. Yan, R. L. Peterson, and K. Najafi, “Fused-Silica Micro Birdbath Resonator Gyroscope (\mu -BRG),” J. Microelectromechanical Syst., vol. 23, no. 1, pp. 66–77, 2014.

[105] H. Wadell, “Volume, shape, and roundness of quartz particles,” J. Geol., vol. 43, no. 3, pp. 250–280, 1935.

[106] F. Vollmer and S. Arnold, “Whispering-gallery-mode biosensing: label-free detection down to single molecules,” Nat. Methods, vol. 5, no. 7, pp. 591–596, 2008.

[107] T. J. A. Kippenberg, Nonlinear optics in ultra-high-Q whispering-gallery optical microcavities. California Institute of Technology, 2004.

[108] T. Carmon, L. Yang, and K. J. Vahala, “Dynamical thermal behavior and thermal self- stability of microcavities,” Opt. Express, vol. 12, no. 20, pp. 4742–4750, 2004.

[109] J. Wang et al., “Thermo-optic effects in on-chip lithium niobate microdisk resonators,” Opt. Express, vol. 24, no. 19, pp. 21869–21879, 2016.

[110] H. M. Lai, P. T. Leung, K. Young, P. W. Barber, and S. C. Hill, “Time-independent perturbation for leaking electromagnetic modes in open systems with application to resonances in microdroplets,” Phys. Rev. A, vol. 41, no. 9, p. 5187, 1990.

[111] J. M. Jewell, “Thermooptic coefficients of some standard reference material glasses,” J. Am. Ceram. Soc., vol. 74, no. 7, pp. 1689–1691, 1991.

[112] G. Ghosh, “Model for the thermo-optic coefficients of some standard optical glasses,” J. Non. Cryst. Solids, vol. 189, no. 1–2, pp. 191–196, 1995.

[113] Website, “Borofloat thermal property.” [Online]. Available: http://www.us.schott.com/d/borofloat/48c997e9-272a-461b-bdf7- b67a8dc9f04f/1.2/borofloat33_therm_usa_web.pdf.

[114] Website, “Borofloat optical property.” [Online]. Available: http://www.us.schott.com/d/borofloat/1ca1519d-b5b3-469d-b3eb- 9b80235b1783/1.2/borofloat33_opt_usa_web.pdf.

[115] J. Llandro, J. J. Palfreyman, A. Ionescu, and C. H. W. Barnes, “Magnetic biosensor technologies for medical applications: a review,” Med. Biol. Eng. Comput., vol. 48, no. 10, pp. 977–998, 2010.

[116] D. Drung, R. Cantor, M. Peters, H. J. Scheer, and H. Koch, “Low-noise high-speed dc superconducting quantum interference device magnetometer with simplified feedback electronics,” Appl. Phys. Lett., vol. 57, no. 4, pp. 406–408, 1990.

127 [117] V. Shah, S. Knappe, P. D. D. Schwindt, and J. Kitching, “Subpicotesla atomic magnetometry with a microfabricated vapour cell,” Nat. Photonics, vol. 1, no. 11, pp. 649–652, 2007.

[118] I. M. Savukov and M. V Romalis, “NMR detection with an atomic magnetometer,” Phys. Rev. Lett., vol. 94, no. 12, p. 123001, 2005.

[119] F. Li et al., “Magnetoelectric flexural gate transistor with nanotesla sensitivity,” J. Microelectromechanical Syst., vol. 22, no. 1, pp. 71–79, 2013.

[120] M. N. Baibich et al., “Giant magnetoresistance of (001) Fe/(001) Cr magnetic superlattices,” Phys. Rev. Lett., vol. 61, no. 21, p. 2472, 1988.

[121] Z. Fang, S. G. Lu, F. Li, S. Datta, Q. M. Zhang, and M. El Tahchi, “Enhancing the magnetoelectric response of Metglas/polyvinylidene fluoride laminates by exploiting the flux concentration effect,” Appl. Phys. Lett., vol. 95, no. 11, p. 112903, 2009.

[122] G. Hatipoglu and S. Tadigadapa, “Magnetoviscoelastic Ferrofluid-Based Magnetometer,” J. Microelectromechanical Syst., vol. 25, no. 1, pp. 170–178, 2016.

[123] V. S. Mendelev and A. O. Ivanov, “Ferrofluid aggregation in chains under the influence of a magnetic field,” Phys. Rev. E, vol. 70, no. 5, p. 51502, 2004.

[124] S. Odenbach and S. Thurm, “Magnetoviscous effects in ferrofluids,” Lect. NOTES PHYSICS-NEW YORK THEN BERLIN-, pp. 185–201, 2002.

[125] K. K. Kanazawa and J. G. Gordon, “Frequency of a quartz microbalance in contact with liquid,” Anal. Chem., vol. 57, no. 8, pp. 1770–1771, 1985.

[126] V. Mecea and R. V Bucur, “The mechanism of the interaction of thin films with resonating quartz crystal substrates: the energy transfer model,” Thin Solid Films, vol. 60, no. 1, pp. 73–84, 1979.

[127] H. Min, P. Kao, and S. Tadigadapa, “Interfacial investigation of protein films using acoustic waves,” in Encyclopedia of Nanotechnology, Springer, 2012, pp. 1151–1162.

128

Appendix

NF3 and H2O mass flow controller

NF3 and H2O gas are introduced through diffuser gas inlet in the modified ICP-RIE system.

Flow rate of NF3 is controlled by a Unit 1620 mass flow controller which is capable of introducing

NF3 up to 240 sccm. The calibration of NF3 flow rate is listed as a function of applied voltage in the table below.

NF3 flow rate 20 sccm 40 sccm 60 sccm 100 sccm 140 sccm 240 sccm

Applied voltage 0.417 V 0.833 V 1.25 V 2.2 V 2.8 V 5 V

H2O vapor is generated by heating up a stainless steel tank of water sitting on a hot plate.

The temperature of the hot plate is preset at 110 ⁰C for maintaining the water temperature of 55 ⁰C.

The flow rate of water vapor is controlled by MKS® Type 1150A mass flow controller in the range of 0 – 300 sccm. The details of connection pins of the MFC can be found in the manual of MKS®

GM50A online. The mass flow controller is designed and calibrated with downstream pressure of

1-50 mTorr and the upstream pressure of 120 Torr (vapor pressure of water at 55 ⁰C). The calibration of H2O flow rate is shown as a function of applied voltage in the figure below.

300

250

200

150

100 O gas flow rate (sccm)

2 50 H

0 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 Applied voltage (V)

129

When introducing NF3 and H2O vapor from diffuser gas, a temporization step is required to preset the flow rate of H2O for stabilization. The temporization step is suggested to be 8 minutes.

When the temporization step starts, the pressure of the chamber is shown as 1ⅹ10-5 mbar at the processing pressure gauge (high pressure gauge, low vacuum gauge). Then turn up the power source which controls the flow rate of water vapor to 4.5 V. The reading pressure of the process gauge is supposed to be 8.8ⅹ10-4 mbar (the actual pressure is one order larger: 8.8ⅹ10-3 mbar). If

the RGA is connected to the chamber, it is supposed to observe an increased partial pressure of N2 in the RGA spectrum. Then wait for 5-6 minute during the temporization step, N2 peak is supposed to decrease and the partial pressure of H2O is supposed to increase in the RGA spectrum. Then at

6th minute of the temporization step, turn down the power source which controls the flow rate of water vapor to the actual value (for example 0.95 V for introducing 50 sccm H2O into the chamber).

Both the reading chamber pressure and partial pressure of H2O in the RGA spectrum are supposed to decrease in the last two minutes of the temporization step. When the temporization step finishes, turn on all valves for both NF3 and H2O mass flow controllers. The program goes to the next step and the plasma is ignited with feeding etchant gas from ICP source.

VITA

Chenchen Zhang

Chenchen Zhang was born in Urumqi, Xinjiang, China on February 15th, 1990. He received his Bachelor in Science degree on Physics from Shanghai Jiao Tong University,

Shanghai, China in 2012. He started his Ph.D study and joined Dr. Srinivas Tadigadapa’s research group in 2012 Fall in the Electrical Engineering Department in the Pennsylvania State

University, PA, USA. His research interests include MEMS, glass plasma etching and microelectronic microfabrication process.