<<

Optimization of -Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations

Item Type text; Electronic Dissertation

Authors Siddiqui, Shariq

Publisher The University of Arizona.

Rights Copyright © is held by the author. Digital access to this material is made possible by the University Libraries, University of Arizona. Further transmission, reproduction or presentation (such as public display or performance) of protected items is prohibited except with permission of the author.

Download date 07/10/2021 08:52:30

Link to Item http://hdl.handle.net/10150/201511

OPTIMIZATION OF AMMONIA-PEROXIDE WATER MIXTURE (APM) FOR HIGH VOLUME MANUFACTURING THROUGH SURFACE CHEMICAL INVESTIGATIONS

Shariq Siddiqui

______

A Dissertation Submitted to the Faculty of the

DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING

In Partial Fulfillment of the Requirements For the Degree of

DOCTOR OF PHILOSOPHY

In the Graduate College

THE UNIVERSITY OF ARIZONA

2 0 11 2

THE UNIVERSITY OF ARIZONA

GRADUATE COLLEGE

As members of Dissertation Committee, we certify that we have read the dissertation prepared by Shariq Siddiqui entitled Optimization of Ammonia- Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations and recommend that it be accepted as fulfilling the dissertation requirement for the degree of Doctor of Philosophy.

______Date: 5/13/11

Srini Raghavan

______Date: 5/13/11

Supapan Seraphin

______Date: 5/13/11

Jinhong Zhang

______Date: 5/13/11

Manish Keswani

Final approval and acceptance of this dissertation is contingent upon the candidate’s submission of the final copies of the dissertation to the Graduate College.

I hereby certify that I have read this dissertation prepared under my direction and recommend that it be accepted as fulfilling the dissertation requirement.

______Date: 5/13/11

Dissertation Director: Srini Raghavan 3

STATEMENT BY AUTHOR

The dissertation has been submitted in partial fulfillment of requirements for an advanced degree at The University of Arizona and is deposited in the University Library to be made available to borrowers under rules of the library.

Brief quotations from this dissertation are allowable without special permission, provided that accurate acknowledgement of source is made. Requests for permission for extended quotation from or reproduction of this manuscript in whole or in part may be granted by the head of the major department or the Dean of the Graduate College when in his or her judgment the proposed use of the material is in the interests of scholarship. In all other instances, however, permission must be obtained from the author.

SIGNED: Shariq Siddiqui 4

TABLE OF CONTENTS

LIST OF FIGURES………………………………………..……………………………7

LIST OF TABLES………………………………………..………………………...... 10

ABSTRACT……………………………………………………………………...……..14

CHAPTER 1: INTRODUCTION………………………………………………………16

CHAPTER 2: LITERATURE REVIEW AND BACKGROUND…...... 24 2.1. Overview of Semiconductor Wafer Cleaning………………………………….24 2.2. Silicon Surface Wettability……………………………………………………….31 2.3 Particle-Wafer Interactions in Wet Cleaning Systems………………………...37 2.3.1 Van der Waals Forces………………………………………………….38 2.3.2. Electrical Double-Layer Interaction Forces………………………….47 2.4 Measurement of Interaction Forces……………………………………………. 53 2.5. Overview of Atomic Force Microscope (AFM)………………………..……….54 2.5.1 Principle of Force Measurements in Atomic Force Microscope…………...... 56 2.6. Literature Review for Interaction Force Measurements using AFM………...58 2.7. Literature Review for the Stability of Ammonia-Peroxide Mixture (APM)…..64

CHAPTER 3: EXPERIMENTAL PROCEDURE AND METHODS………………..70 3.1. Materials …………………………………………………………………………..70 3.2. Silicon Surface and Tip Preparation……………………………………………70 3.3 Contact Angle Measurements.…………………………………………………..71

5

TABLE OF CONTENTS-CONTINUED

3.4. Surface Force Measurements……………..……………………………………72

3.5. Measurements of NH 4OH and H 2O2 concentrations using the Horiba SC-1 Composition Monitor………………………….……………………………………….75 3.5.1. Monitor Specification…….. …………………………………………...76 3.5.2. Data Acquisition………………………………………………………...77

3.5.3. Experimental Procedure for NH 4OH and H 2O2 Concentration Measurements.………………………………………...... 79

CHAPTER 4: RESULTS AND DISCUSSION………………………………….…...80 4.1. Interaction Force Measurements between Hydrophobic Si Surface and Si Tip using Atomic Force Microscopy……………………………………………………..80 4.1.1. Interaction Force Measurements between Si Surface and Si Tip in DI-water………………………………………………………………………...82 4.1.2. Interaction Force Measurements between Si Surfaces in NH 4OH:H 2O (1:100) Solution ….………………………………………….....84

4.1.3. Interaction Force Measurements between Si Surfaces in H 2O2:H 2O (1:100) Solution……………………………………………………………...... 86 4.1.3. Interaction Force Measurements between Si Surfaces in NH4OH:H 2O2:H 2O Solutions……………………………………………….....88 4.2. Analysis of Measured Adhesion Forces between Si Surfaces………………90 4.3. Comparison of Measured Repulsive Forces to Calculated Forces using Electrostatic Double Layer Theory…………………………………...... 97 4.4. Comparison of Measured Adhesion Forces to Calculated Forces using JKR Adhesion Force Model……………………………………………………………….99 4.5. Brief Summary of Interaction Force Measurements………………...... 104

6

TABLE OF CONTENTS-CONTINUED

4.6. Characterization of the Stability of APM Solutions using the Optical Concentration Monitor……………………………………………………………….105 4.6.1 Effect of Temperature on the Stability of APM Solution………...... 105 4.6.2. Effect of Dilution on the Stability of APM Solution………………...106 4.6.3. Effect of pH on the decomposition…………...107

2+ 4.6.4. Effect of Iron (Fe ) Ions on H 2O2 Decomposition……………...... 110

4.7. Kinetic Analysis of H2O2 Decomposition in APM Solutions …………...... 111 4.8. Brief Summary of Stability of APM Solutions ….…………………………....119

CHAPTER 5: CONCLUSIONS AND FUTURE WORK ….………………………120 5.1. Interaction Force Measurements using Atomic Force Microscope………..120 5.2. Characterization of the Stability of APM Solutions using the Optical Concentration Monitor …………………………………..……………………….….121 5.3. Suggestions for Future Work..………………………..……………………….122

REFERENCES……………………………………………………………………….123

7

LIST OF FIGURES

Figure 1.1: CMOS transistor pitch scaling trend vs. dates of introduction ……...17 Figure 2.1: Contaminated silicon wafer with different types of impurities………26 Figure 2.2: A schematic of typical wafer cleaning process in the front-end-of-line cleaning…………………………………………………………………………………27

Figure 2.3: A schematic of surface forces acting on three phase contact line of a liquid on the wafer surface……………………………………………………………31 Figure 2.4: Representation of water drop on (a) hydrophilic and (b) hydrophobic surfaces.………………………………………………………………………………..32 Figure 2.5: The interaction energy between two surfaces as a function of separation distance………………………………………………………………….. .37 Figure 2.6: Illustration of (a) same materials interacting in a liquid media and (b) two different materials interacting in a liquid media………………………………..41 Figure 2.7: A schematic representation of different potentials associated with a particle in aqueous solutions…………………………………………………………47 Figure 2.8: Zeta potential of particle contaminants as a function of pH…………51

Figure 2.9: Comparison of zeta potential of (SiO 2) surfaces prepared using different treatment methods as a function of pH…………………52 Figure 2.10: (a) A schematic representation of interaction forces between the surface and the tip using AFM (b) An SEM image of a silicon tip………………..54 Figure 2.11: A schematic of AFM controller feedback loop to maintain constant deflection between the tip and the surface………………………………………….54 Figure 2.12: A schematic representation of different stages of force-distance curves…………………………………………………………………………………...57 Figure 2.13: (a) Normalized approach and (b) retract force curves between a silicon nitride tip and a silicon surface as a function of separation distance in DIW and HF solutions……………………………………………………………………….61 Figure 2.14: A schematic representation of iron-catalyzed decomposition of hydrogen peroxide in APM solutions………………………………………………...68

8

LIST OF FIGURES-CONTINUED

Figure 3.1 : AFM image of silicon surface (2 x 2 m) after etching in dilute HF solution...………………………………………………………………………………..71

Figure 3.2: Measured interaction forces between silica particle and silicon dioxide surface as a function of separation distance in 5 x 10 -4 NaOH solution…………74

Figure 3.3: A schematic representation of Horiba CS-100C monitor coupled with a solution bath interfaced with resistively heated jacked and temperature controller……………………………………..…………………………………………75

Figure 3.4: Measured and calculated (a) H 2O2 (b) NH 4OH concentrations in 1:1:5 APM solutions at different temperatures…………………………………………….77

Figure 3.5: A graphical representation of ammonium hydroxide, hydrogen peroxide, and water concentrations measured using the Horiba CS-100C concentration monitor…………………………………………………………………78

Figure 4.1: Water contact angle values for silicon surfaces treated with different solutions as a function of time………………………………………...... 82 Figure 4.2: Interaction forces as a function of separation distance between Si surface and Si tip in DI-water after 2, 10 and 60 min of immersion time……..….84

Figure 4.3a: Approach force curves as a function of separation distance in aqueous NH 4OH:H 2O (1:100) solution after 2, 10 and 60 min of immersion time………...... 85 Figure 4.3b: Retract force curves as a function of separation distance in aqueous NH 4OH:H 2O (1:100) solution after 2, 10 and 60 min of immersion time………...... 86 Figure 4.4a: Approach force curves as a function of separation distance in aqueous H 2O2:H 2O (1:100) solution after 2, 10 and 60 min of immersion time………...……………………………………………………………………………87 Figure 4.4b: Retract force curves as a function of separation distance in aqueous H2O2:H 2O (1:100) solution after 2, 10 and 60 min of immersion time………...……………………………………………………………………………88 Figure 4.5: (a) Approach and (b) retract force curves as a function of separation distance in dilute NH 4OH:H 2O2:H 2O (1:1:100) solution………………………...….89

9

LIST OF FIGURES-CONTINUED Figure 4.6: (a) Approach and (b) retract force curves as a function of separation distance in dilute NH 4OH:H 2O2:H 2O (1:1:100 – 1:1:500) solutions………………90 Figure 4.7: Representation of an abrupt jump-in distance between the silicon surface and silicon tip marked as “a”. The only data point available after tip jump- in and before making contact with the surface is marked as “b”. The average value of point “a” and “b” is used for the calculating the product of the Hamaker constant and tip radius…...... 93 Figure 4.8: Example of an exponential fit to measured repulsive forces between silicon surface and silicon tip in H 2O2:H 2O (1:100) solution after 2 min of immersion time…………………………………………………………………………95

Figure 4.9: Measured concentrations of (a) NH 4OH and (b) H 2O2 for a conventional (1:1:5) APM solution at different temperatures……………………106

Figure 4.10: Measured concentrations of (a) NH 4OH and (b) H2O2 in 1:1:50 APM solution at 24°, 40°, 50° and 65 °C………………………………...... 107 Figure 4.11: Hydrogen peroxide decomposition at 65 °C as a function of time at different pH values…………………………………………………………………...108 Figure 4.12: Measured and calculated [OH -] for 1:1:5 APM solutions…………110 Figure 4.13: Decomposition of hydrogen peroxide at different Fe 2+ concentrations in APM solutions maintained at 50 and 65 °C…………………………………….111 Figure 4.14: An example of fitted data of hydrogen peroxide concentration vs. time. Open circles represent the experimental data. A solid line is the fitted second order polynomial…………………………………………………………….112

-1 -1 Figure 4.15: Log-log plots of rate of H 2O2 decomposition (mol. L sec ) vs . H 2O2 concentration (mol. L-1) at different solution pH values at 65 C..………………114 Figure 4.16: (a) Log-log plot of rate of hydrogen peroxide decomposition and hydrogen peroxide concentration at 0, 5 and 10 ppb Fe2+ in 1:1:5 APM solutions at 65 °C. (b) First order reaction rate constant ( k’’) as a function of Fe 2+ concentration at different APM solution temperatures…………………………...117

10

LIST OF TABLES

Table 1.1: Front end processing surface preparation technology requirements..19

LW Table 2.1: Surface tension and its components ( γTOT, γ , γ+, γ-) of commonly used probe liquids at 20 °C………………………………………………………….35

Table 2.2: van der Waals interaction energy for common geometries…………..40

Table 2.3: Hamaker constant A ii for two identical materials interacting in vacuum………………………………………………………………………………….42

Table 2.4: Calculated Hamaker constants for two materials 1 and 2 immersed in a liquid medium (3)…………………………………………………………………….43

Table 2.5: Mechanism of decomposition of hydrogen peroxide by Fe 3+ ………...66

Table 3.1: Surface tension and its components of different liquids used for contact angle measurements…………………………………………………………72

Table 3.2: Recommended measurement ranges for the concentration of ammonium hydroxide, hydrogen peroxide and water in Horiba CS-100C APM composition monitor…………………………………………………………………...76

Table 4.1: Measured adhesion force and calculated product of the Hamaker constant and tip radius between silicon surface and silicon tip as a function of immersion time in DI-water………………………………………………………..….91

Table 4.2: Comparison of measured adhesion force and calculated product of the Hamaker constant and tip radius (A H.R T) between silicon surface and silicon tip as a function of immersion time in DI-water……………………………….………..94

Table 4.3: Comparison of the calculated product of the Hamaker constant and tip radius using the measured adhesion force and total interaction force (attractive and repulsive) between silicon surface and silicon tip as a function of immersion time in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions…………………….96

Table 4.4: Comparison of the calculated electrostatic forces using the electrical double layer model and experimentally measured repulsive forces between silicon surface and a silicon tip as a function of immersion time in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solution……………………………………………..98

11

LIST OF TABLES-CONTINUED

Table 4.5: Contact angles ( θ) for Si surface treated with DI-water, NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions measured with water ( θw), formamide (θFM ) and diiodomethane ( θMI ) for HF-treated silicon surfaces…………………99

LW + - Table 4.6: Calculated surface free energy components (γS , γS , γS ) and interfacial tension (γSL ) between silicon surface and different solutions as a function of treatment time. The units of calculated values are in N.m-1. ……….101

Table 4.7: Comparison of the calculated adhesion force (F JKR /R) using the JKR model and measured force (F adhesion /R) between silicon surface and silicon tip in DI-water as a function of immersion time………………………………………….102

Table 4.8: Comparison of the calculated adhesion force (F JKR /R) using the JKR model and measured force (F adhesion /R) between silicon surface and silicon tip in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) as a function of immersion time………………………………………………………………………………..…...103

Table 4.9: Rate constant, [OH -], ratios of rate constants and hydroxyl ions as a function of pH in APM solutions at 65 °C………………………………… ……….115

Table 4.10: H2O2 half-lives in different APM solutions at 65 °C… ………………116

12

ACKNOWLEDGMENTS I would like to begin this acknowledgement by sincerely thanking my advisor and mentor, Professor Srini Raghavan, for his guidance, support and mentorship in the completion of this dissertation. Professor Raghavan has been flexible, patient, helpful and kind throughout my time in his research group. Being part of his research group has truly provided me opportunities that are rare to find. I would also like to thank Professor Jinhong Zhang for his teaching and training, particularly with atomic force microscope. Without his training, part of my graduate studies, particularly interaction force measurements, would have been a very difficult task. I’d like to acknowledge a few other faculty members, Professors Supapan Seraphin, Jim Farrell, and Anthony J. Muscat, who taught me aspects of science with different views and approaches. In addition, I’d like to thank Avi Fuerst and Barry Brooks from Intel Corporation for their insightful discussions. I would also like to thank the staff of the Department of Materials Science and Engineering for all of their help and guidance through my education here at the University of Arizona. I would also like to thank the SRC/Sematech Engineering Benign Semiconductor Manufacturing Research Center and staff (Alicia Foley and Karen McClure) for the funding and the opportunities provided to me through conferences and exposure to work conducted in other academic universities and semiconductor industry. I wish to express my warm and sincere thanks to the following people who have made this dissertation possible and because of whom my duration at U of A has been one of the greatest and most unforgettable experiences of my lifetime: Dr. Manish Keswani, a friend and colleague who has helped and mentored me throughout my graduate studies; the Raghavan research group, particularly Ryan Biggie, and Rajkumar Govindarajan. A few close friends: Rahul Jain, Shweta Agarwal, Greg Cure, Tim Sullivan, Gary Morton, Andrew Abalos Joaquin Cruz, Jeffrey Scogin, James Collins and Arin Leonard. My greatest thanks goes to my family whose constant love and support has been a key component in finishing graduate studies and this dissertation. I would like to acknowledge my parents, Shahid Siddiqui and Vardah Jamal Siddiqui; my brothers and sister Shahab Siddiqui, Ali Siddiqui, Rohit Tripathi and Mariam Siddiqui; my sister-in-law, Shahla Khan, and my uncle Dr. Junaid Siddiqui. Last but not least, I would like to extend my sincere gratitude and many thanks to Kari Davies-Mason, who has been the biggest supporter and without whose patience, understanding and unconditional love, this dissertation would be impossible to complete. 13

DEDICATION

I would like to dedicate this dissertation to my parents Shahid H. Siddiqui and Vardah J. Siddiqui. 14

ABSTRACT

Ammonia-peroxide mixture (APM) is a widely used wet chemical system for particle removal from silicon surfaces. The conventional APM solution in a volume ratio of 1:1:5 (NH 4OH:H 2O2:H 2O) is employed at elevated temperatures of 70-80 °C. At these temperatures, APM solution etch es silicon at a rate of ~3

Å/min, which is unacceptable for current technology node. Additionally, APM solutions are unstable due to the decomposition of hydrogen peroxide and evaporative loss of ammonium hydroxide resulting in the change in APM solution composition. This has generated interest in the use of dilute APM solutions.

However, dilution ratios are chosen without any established fundamental relationship between particle-wafer interactions and APM solutions.

Atomic force microscopy has been used to measure interaction forces between H-terminated Si surface and Si tip in APM solutions of different compositions. The approach force curves results show attractive forces in DI- water, NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions at separation distances of less than 10 nm for all immersion times (2, 10 and 60 min) investigated. In the case of dilute APM solutions, the forces are purely repulsive within 2 min of immersion time. During retraction, the adhesion force between Si surface and Si tip was in the range of 0.8 nN to 10.0 nN. In dilute APM solutions, no adhesion force is measured between Si surfaces and repulsive forces dominated at all distances. These results show that even in very dilute APM 15

solutions, repulsive forces exist between Si surface and particle re-deposition

can be prevented.

The stability of APM solutions has been investigated as a function of

temperature (24 - 65 °C), dilution ratio (1:1:5 - 1 :2:100), solution pH (8.0 - 9.7)

and Fe 2+ concentration (0 - 10 ppb) using an optical concentration monitor. The results show that the rate of H 2O2 decomposition increased with an increase in temperature, solution pH and Fe 2+ concentration. The kinetic analysis showed that the H 2O2 decomposition follows a first order kinetics with respect to both

- 2+ H2O2 and OH concentrations. In the presence of Fe , hydrogen peroxide decomposition follows a first order reaction kinetics with respect to H 2O2 concentration.

16

CHAPTER 1

INTRODUCTION

The continuous scaling down of silicon complimentary metal-oxide- semiconductor (CMOS) devices has been the primary means by which the semiconductor industry has achieved unprecedented gains in productivity and performance as quantified by Moore’s Law. 1 In 1965, Gordon Moore (co-founder of Intel Corporation) predicted that the minimum feature size could be expected to reduce by ~0.7 times, while the number of transistors per integrated circuit chip would double every 18 months, as shown in Figure 1.

Figure 1.1: CMOS transistor pitch scaling trend vs. dates of introduction.

For the past 40 years, the semiconductor industry has met and exceeded the Moore’s Law requirements, which has been held as the benchmark for 17

integrated circuit (IC) scaling. Silicon CMOS scaling is no longer a simple matter

of shrinking device dimensions. Maintaining the scaling roadmap will require

continual improvement in channel mobility. While advanced materials, such as

germanium (Ge) or III-V semiconductors, may offer potential long-term solutions

a shorter term approach requires novel device structures, new chemistries and

optimized processes in order to meet the requirements for higher transistor

density and performance. 2-3

One of the key enablers that has made silicon CMOS device scaling possible is the advancements in wafer cleaning technology. Wafer cleaning is a critical step in the fabrication of ultra-large-scale integration (ULSI) circuits due to its ability to maintain the contamination and defectivity levels within the required specifications. 4 The overall objective of wafer cleaning is the removal of particles,

defects and chemical impurities from the surface without collapsing the patterned

features on the wafer surface.5 It can be achieved either by liquid-phase or gas-

phase cleaning methods depending on the fabrication step. Wet cleaning

systems have been widely used to remove particles and other contaminants

because of their excellent characteristics such as high cleaning performance,

high throughput, and low damage. 6 It also offers several other advantages over

their counterpart gas-phase cleaning chemistries that include high solubility of

certain contaminants, drag forces to aid in removal of solid contaminants, and

metal complexation. 3, 7 18

Wafer cleaning can have a direct impact on device performance and it has been reported that over fifty percent of yield losses in device fabrication are due to micro-contamination. 4 With an increase in new materials and processing steps in device fabrication, the International Technology Roadmap for Semiconductors

(ITRS) 8 has set stringent requirements for wafer cleaning to ensure high device yield. The ITRS requires that the killer defect density, critical particle diameter and total particle count be 0.043 #/cm 2, 17.9 nm and 135.3 #/wafer, respectively, for the front surface of a 300 mm wafer in logic devices. In addition, at the 45 and

32 nm technology nodes, the material loss target for silicon and silicon oxide is less than 0.4 Å and 0.3 Å, respectively per cleaning step. This requirement of minimized material loss while maintaining high particle removal efficiency for nanosized particles is currently one of the most difficult challenges in wafer cleaning. The front end of the line surface cleaning requirements set by the ITRS roadmap is summarized in Table 1.1.

19

Table 1.1: ITRS roadmap for front end processing surface preparation technology requirements. 8

Year of Production Near-term

2007 2008 2009 2010 2011 2012 2013

DRAM ½ pitch (nm) 65 57 50 45 40 36 32

MPU/ASIC Metal 1 ½ pitch (nm) 68 59 52 45 40 40 36

MPU Physical gate length (nm) 25 23 20 18 16 14 13

Front Surface Particles

Killer defect density (#/cm 2) 0.022 0.027 0.017 0.022 0.027 0.017 0.022

Critical particle diameter, (nm) 31.8 28.5 25.3 22.5 20.1 17.9 15.9

Critical particle count (#/wafer) 75.2 94.8 59.6 75.2 94.8 135.3 170.4

Metallic and Surface Contamination

Critical GOI surface metals 0.5 0.5 0.5 0.5 0.5 0.5 0.5

Critical surface metals 1.0 1.0 1.0 1.0 1.0 1.0 1.0

(10 10 atoms/cm 2)

Mobile ions (10 10 atoms/cm 2) 2.0 2.2 2.4 2.5 2.3 2.5 2.4

Surface carbon (10 10 atoms/cm 2) 1.2 1.0 0.9 0.9 0.9 0.9 0.9

Surface (10 10 atoms/cm 2) 0.1 0.1 0.1 0.1 0.1 0.1 0.1

Cleaning effects on materials

Surface roughness, RMS (Å) 0.4 0.4 0.4 0.2 0.2 0.2 0.2

Silicon loss (Å) per cleaning cycle 0.5 0.4 0.4 0.3 0.3 0.3 0.3

Oxide loss (Å) per cleaning cycle 0.5 0.4 0.4 0.4 0.3 0.3 0.2

20

A key challenge in FEOL cleaning is the removal of particles, metallic

impurities and organic contaminants from the wafer surface. Particle removal is

critical since they can cause “killer” and “latent” defects during subsequent

processing steps and results in a severe yield loss at the end of the line.9

Particles can locally block or mask photolithography, implant, or etch steps.

These particles can adhere to surfaces and may become embedded during film

formation, leading to pinholes, micro-voids, micro-cracks, and other structural

defects, depending on their chemical composition. 9 Other contaminants, such as sodium ions and trace metal ions are also detrimental, particularly during high- temperature processing steps (thermal oxidation, diffusion, epitaxial growth) because they can diffuse into the wafer and cause electrical defects and device degradation. 10-11,12 Organic contaminants such as photoresist and solvent residues can alter film properties and also cause device degradation.

One of the most widely used wet cleaning systems in semiconductor manufacturing is based on the RCA Standard Cleans (SC-1 or APM and SC-2 or

HPM) developed by Kern and Puotinen in 1970s. 7, 13 Ammonia-peroxide mixture is used for the removal of particle and organic contaminants from the silicon surface. The conventional APM is a mixture NH 4OH (29 wt%), H 2O2 (30 wt%) and de-ionized water in a volume ratio of 1:1:5 and generally employed at elevated temperatures of 70-80°C. The addition of m egasonic energy has been used to enhance particle removal. 4, 14 The cleaning mechanism in APM solution is based on the oxidation of the hydrophobic silicon surface by hydrogen 21

peroxide. This oxidation step is followed by the etching of SiO 2 film by ammonium hydroxide in the cleaning solution, which facilitates particle removal. As a result of oxidation and dissolution rates, a thin chemical oxide of 10 Å is formed after treatment with APM solutions.15 Additionally, high pH (~10) of APM solution provides a condition under which dislodged particles and surface experience electrostatic repulsion, which prevents re-deposition of particles onto the surface. 16-17

One of the disadvantages of using a conventional APM (1:1:5) solution for cleaning is that it etches silicon oxide at a rate of 2.5-3 Å/min at 70-80°C. 15 For

32 nm technology node and lower, such etch rates become unacceptable. As indicated in Table 1.1, the ITRS roadmap dictates that the loss of silicon and silicon oxide to be less than 0.4 Å and 0.3 Å for 45 and 32 nm technology, respectively per cleaning cycle. Therefore, there is a trend in semiconductor industry to use dilute APM solutions for wafer cleaning to meet the strict requirement set by the ITRS roadmap. Currently, dilution levels (1:1:50 to

1:1:100) are chosen based on particle removal efficiency data. An alternative approach to choose an optimal APM ratio is through a systematic study of interaction forces between particles and surfaces. The surface force apparatus 18-

19 and the atomic force microscope (AFM) 20-22 have provided direct methods to measure the interaction force between two surfaces. In particular, AFM has emerged as a powerful tool for measuring interaction forces between two surfaces in vacuum, air and liquid media. AFM can also be employed to measure 22

the adhesion force between particles and surfaces. In general, the adhesion

force between a particle and a substrate in wet cleaning scenario is mainly due to

van der Waals force.

Another disadvantage associated with APM solutions at elevated temperatures is that there is a change in composition due to the evaporative losses of ammonium hydroxide and the decomposition of hydrogen peroxide.

This change can lead to higher silicon etching, surface roughness and insufficient particle removal. For example, APM solutions with a hydrogen peroxide concentration below 1 wt% can lead to undesired silicon etching at a rate of 0.4 um min -1.23 In addition to temperature, the stability of hydrogen peroxide can also be influenced by pH of the solution and the presence of metallic contaminants typically at 0-10 ppb levels. In particular, iron can act as a catalyst and has the most significant effect on H2O2 decomposition followed by Cu with about an order of magnitude smaller effect.24 Due to the lack of APM composition control, the solutions are re-spiked with NH 4OH and H 2O2 or replaced in order to maintain process stability. This approach is undesirable due to the high cost of chemical consumption and the lost production time from bath replacement. With an increased interest in using dilute APM solutions, it is important to monitor and control composition of APM solutions to ensure uniform processing, device reliability and yield. 24

The objective of this research is to systematically study the interaction forces between silicon surfaces in dilute ammonium hydroxide-hydrogen 23

peroxide solutions using atomic force microscope (AFM). Additionally, the stability of ammonium hydroxide and hydrogen peroxide in APM solutions was continuously and simultaneously measured using an optical concentration monitor.

24

CHAPTER 2

LITERATURE REVIEW AND BACKGROUND

2.1. Overview of Semiconductor Wafer Cleaning

Wafer cleaning has played a vital role in order to meet the requirements of scaling set by the ITRS roadmap. In advanced integrated circuit manufacturing, wafer cleaning processes represent more than 25% of the operations and are considered to be key to the performance of the final device. 16 Wafer cleaning in

ultra-large scale integration (ULSI) technology has been divided into two main

categories, namely Front-End-of-the-Line (FEOL) and Back-End-of-the-Line

(BEOL). Cleaning processes in FEOL include steps that extend from a bare

silicon wafer to the first metal contact. In contrast, BEOL cleaning includes post-

etch residue removal and post-CMP cleaning.

In particular, cleaning prior to gate dielectric formation is an important

step. It is necessary to minimize not only particles but also to minimize silicon

etching and surface roughness. In addition to the removal of particles, other

contaminants such as organic, metallic and photo-resist residual must be

removed in FEOL to increase the device yield. Figure 2.1 shows some of the

contaminants on silicon wafer that are removed during wet cleaning processes.

25

Figure 2.1: Contaminated silicon wafer with different types of impurities. Used with permission of Manish Keswani.

Wet cleaning chemistries based on the use of strong inorganic acids, bases and oxidizers have been extensively used in cleaning processes.9 These

systems include sulfuric acid-hydrogen peroxide mixtures, hydrofluoric acid (HF)

based solutions, ozone based systems, ammonium hydroxide-hydrogen peroxide

mixtures (APM) and hydrochloric acid-peroxide mixtures (HPM).7, 13 Cleaning

processes should be able to remove particles and contaminations from the

surface without changing wafer physical and chemical properties. In this context,

each of these wet cleaning systems is unique and has played a vital role in the

advancement of wafer cleaning technology. The mechanism of liquid phase

cleaning can be purely through a physical removal process and/or through

chemical reaction dissolution. 25-26 Figure 2.2 shows the schematic of a typical

cleaning sequence using wet cleaning systems in FEOL wafer cleaning. Each of

the cleaning steps in this figure could be repeated many times during the process

flow, depending on the fabrication scheme. 26

Figure 2.2: A schematic of typical wafer cleaning process in the front-end-of-line cleaning.

Sulfuric-acid peroxide (SPM) mixture, also known as piranha is used as a

first step to remove organic contaminants from the wafer surface. SPM solutions

consist of sulfuric acid (98%) and H 2O2 (30%) with volume ratios ranging from 2:1 to 4:1 H2SO 4:H2O2 and are typically used at elevated temperatures of 90-120 °C for 10-15 min, followed by DI-water rinsing. SPM solutions are also used to remove photoresist that is un-implanted or only lightly implanted up to about 1 x

10 14 ions/cm 2.27 Stripping high dose-ion implant (HDI) photoresists is one of the most challenging processes in the semiconductor manufacturing due to the difficulty of removing the dehydrogenated, amorphous carbon layer that forms on 27

the surface during the ion implantation. 28 A combination of SPM solutions with

other processes such as either low pressure plasma ashing or O 3-DIW has been

used to remove HDI photo-resists.

Ozone based aqueous solutions have been used in semiconductor

industry for organic contamination removal and photoresist stripping, largely due

0 to their low cost and environmental benefits. The high oxidation potential (E red =

2.08 V) of ozone in liquid solutions makes it an effective cleaning system. There is a growing interest in the use of ozone-sulfuric acid mixtures due to the higher solubility of ozone in sulfuric acid compared to that in water. 14

Hydrofluoric acid (HF) solutions are used to remove the native oxide

(SiO 2) film from the silicon surface since it is a poor quality oxide film. The

etching rate and uniformity of SiO 2 film depends on the composition and temperature of the solution. Typically, oxide layers are removed in a HF: H 2O mixture with a volume ratio ranging from 1:50 to 1:100 at room temperature. 29-31

Etching by HF leaves the silicon surface terminated with Si-H or Si-H2 groups.

The etching of silicon dioxide by HF occurs according to the following reaction:

2- + SiO 2 + HF SiF 6 + 2H + 2H 2O [2.1]

It was first initially suggested that a silicon surface treated with HF solution resulted in a chemically stable surface due to fluorine termination.32 This argument was supported based on the fact that the Si-F bond strength of 138.4 28

kcal/mol is much greater than that of Si-H (80.8 kcal/mol). However, numerous experimental studies using different analytical techniques, such as Fourier transform-infrared spectroscopy (FT-IR), high-resolution electron energy-loss spectroscopy (HREELS) and X-ray photoelectron spectroscopy (XPS) showed that the chemical stability of a silicon surface could be attributed to surface passivation by hydrogen atoms. It was concluded that etching of SiO 2 in HF solutions is a kinetically controlled reaction. 29-30

The next step in cleaning sequence involves removal of particles from the wafer surface using a mixture of ammonium hydroxide (NH 4OH), hydrogen peroxide (H 2O2) and de-ionized water (DIW) known as APM or SC-1 solution.

The conventional APM mixture consists of NH 4OH (29%), H 2O2 (30%) and DI- water in the ratio of 1:1:5 and is typically employed at ~70-80 °C with or without megasonic energy. 24 The cleaning mechanism in APM solutions is based on simultaneous oxidation and etching of the silicon dioxide surface. Previously reported experimental results show that the H-terminated silicon surface becomes hydrophilic instantly, therefore indicating that rate of oxidation is faster than silicon dioxide etching in APM solutions. 33

One of the drawbacks of using APM solutions is a high surface roughness

(0.3 Å) and silicon loss (0.4 Å) which is not acceptable by the ITRS roadmap for

32 nm and beyond technology nodes. The other disadvantage of 1:1:5 APM solution is that it has a higher ionic strength, which reduces the electrical double- layer repulsion of the particles resulting in a less efficient particle removal. In 29

recent years, dilute APM solutions have been used to decrease etching of silicon

and surface roughness while maintaining high particle removal efficiency. In one

study, etching of silicon surface in APM solutions with composition ratios in the

34 range of 1:1:5 to 0.0001:1:5 (NH 4OH:H 2O2:H 2O) at 80 °C has been reported.

The results show that the silicon etch rate decreased from 0.8 nm/min to 0.50 nm/min with a decrease in ammonium hydroxide concentration. In another study, it was reported that lowering the ammonium hydroxide concentration by half in

1:1:5 APM solution increased the particle removal efficiency by a factor of two without changing the surface roughness. 35 Another drawback of using APM solution is that a trace metal contaminant such as iron on the wafer surface can act as a catalyst and increase the decomposition of hydrogen peroxide. This can lead to a change in APM composition resulting in higher surface roughness and decreased particle removal efficiency.

Hydrochloric acid-hydrogen peroxide mixture (HPM) or SC-2 cleaning step is used to effectively remove metallic contaminants from the wafer surface. This second set in RCA cleaning was designed to remove alkali ions, and cations,

3+ 3+ 2+ such as Al , Fe , and Mg , that form NH 4OH-insoluble hydroxides in basic solutions. This second step also eliminates metallic contaminants not entirely removed by APM treatment. Although oxidation of a silicon surface from hydrogen peroxide is possible in HPM solution, there is no etching of silicon and silicon dioxide. 30

The last steps in wafer cleaning technology are rinsing and drying. The quality of a cleaning sequence is dependent on these two steps because clean wafers can very easily become re-contaminated. The purpose of rinsing is to remove chemical residues that might be left on the wafer surface. Commonly used rinsing techniques in semiconductor industry include overflow rinse and quick dump rinse for batch systems, and spray rinse for single wafer systems.

The last step in the wafer cleaning processes is wafer drying. Recently, wafer drying has become a critical step in cleaning technology due to an increase in pattern density and rapid decreases in pattern size. Removing water can be achieved by various methods including evaporation, wafer spinning at high velocity and displacement by another liquid with a lower surface tension.

However, drying by evaporation can leave watermarks on the wafer surface. Spin and hot isopropyl alcohol (IPA) vapor drying have been replaced by Marangoni- type IPA drying technique, which utilizes the surface pressure gradient at the air- liquid interface at room temperature. IPA has been used in wafer drying because of its low surface tension and high solubility in water.

31

2.2. Silicon Surface Wettability.

Surface wettability plays an important role in the attraction of particles to

the surface. For example, H-terminated silicon surface easily attracts particles

due to its hydrophobic nature. Another reason wettability is important in wafer

cleaning is because of an increase in high aspect ratios in current technologies,

which require wet cleaning systems to penetrate through the fine patterns and

trenches. 3 The wettability of a surface depends upon the surface tension of both the solid and the liquid. When the surface tension of the solid is greater than or equal to the surface tension of the solution, surface wettability increases. The wettability of a silicon surface can be evaluated by using the contact angle technique. Contact angle is defined by the equilibrium of the three surface tension vectors at a solid-liquid-vapor interface as shown in Figure 2.3.

Figure 2.3: Surface forces acting on the three phase contact line of a liquid droplet placed on the wafer surface.

32

Contact angle is related to the surface and interfacial tension free energies

through Young’s equation 30 , as shown in Eq. 2.2.

γ LV cos θ = γ SV − γ SL [2.2]

In this equation, γLV is the surface tension of the liquid (subscript L), θ is

the contact angle, γSV is the surface free energy of the solid (subscript S) and γSL is the solid-liquid interfacial energy. Surfaces that exhibit water contact angle of less than 20° are known as hydrophilic whereas surfaces tha t have water contact angle close to or greater than 90° are considered hydrophobic. For example, Si

wafer surface treated with a conventional APM solution results in a complete

wetting by DI-water and has contact angle value of less than 5°. Removal of the

oxide film by etching in HF solution results in H-terminated Si surface, which is

hydrophobic in nature with a contact angle value in the range of 70-80°. 36 A

schematic representation of hydrophilic and hydrophobic surfaces is shown in

Figure 2.4.

a b

Figure 2.4: Representation of water drop on (a) hydrophilic and (b) hydrophobic surfaces. 33

There are several different methods to measure a contact angle, including

the static and dynamic sessile drop method, the Wilhelmy plate method and the

powder contact angle method. 37 Among these various measurement methods, the sessile drop technique is one of the most commonly used methods. In this method, the contact angle is directly measured from the liquid droplet profile on a flat surface using a goniometer. 38

Van Oss, Chaudhury, and Good 39 developed an acid-base theory that

describes the interaction between two surfaces immersed in liquid media.

According to this theory, the change in free energy upon immersion of a solid (S)

immersed into liquid (L), GSL can be calculated by adding the non polar or

Lifshitz-van der Waals (LW) component and a polar or acid-base (AB)

component, as shown in equation 2.3.

LW AB GSL = GSL + GSL [2.3]

The LW component of the change in free energy can be described in

terms of the surface tension of both the solid ( γS) and liquid ( γL), as shown in

equation 2.4.

LW LW LW GSL = −2 γ S γ L [2.4]

The polar component can be described in two separate parameters: polar or acid parameter (γ+) of surface tension and a non-polar or base parameter (γ-) of surface tension for both solids and liquids. 34

AB + − − + GSL = − (2 γ S γ L + γ S γ L ) [2.5]

The combining rule for both the polar and non-polar components can be

obtained by substituting equation 2.4 and 2.5 into equation 2.3.

G = −2 γ LW γ LW + γ +γ − + γ −γ +  [2.6] SL  S L S L S L 

The interfacial tension between a solid and a liquid can also be described

in terms of polar (LW) and non-polar (AB) components, as shown in equation 2.7.

2 LW LW + − + − + − − + γ SL = ( γ S − γ L ) + (2 γ S γ S + γ L γ L − γ S γ L + γ S γ L )[2.7]

The quantity GSL is the work of adhesion of solid to liquid and can also

be described in terms of Dupre equation:

− GSL = γ S L −γ S −γ L [2.8]

Inserting equation 2.8 into Young’s 38 equation, the free energy can be related to the contact angle, as shown in equation 2.9.

− GSL = γ LV 1( + cos θ ) [2.9]

Combining equations 2.7 and 2.9, the Young-Dupre equation can be

written in the form, as shown in equation 2.10.

35

TOT LW LW + − − + 1( + cos θ )γ L = (2 γ S γ L + γ S γ L + γ S γ L ) [2.10]

TOT where θ is the contact angle; γL is the total surface tension of a liquid.

LW + - The Lifshitz-van der Waals (γs ) and the acidic (γ ) and basic components (γ ) of a solid surface can be determined experimentally by performing contact angle measurements using three well-characterized probe liquids with known

TOT LW parameters (γL , γL , γL+, γL-) and by solving equations 2.10a-c. Table 2.1

summarizes the parameters for some of the commonly used probe liquids.

TOT LW LW + − − + 1( + cos θ1 )γ L 1 = (2 γ S γ L1 + γ S γ L 1 + γ S γ L 1 ) [2.10a]

TOT LW LW + − − + 1( + cos θ 2 )γ L 2 = (2 γ S γ L2 + γ S γ L 2 + γ S γ L 2 ) [2.10b]

TOT LW LW + − − + 1( + cos θ 3 )γ L 3 = (2 γ S γ L3 + γ S γ L 3 + γ S γ L 3 ) [2.10c]

LW AB Table 2.1: Surface tension and its components (γTOT, γ , γ+, γ-,γ ) of commonly used probe liquids at 20 °C. 40

LW AB + − γTOT γ γ+ γ- γ =2 γ γ

DI-water 72.8 21.8 25.5 25.5 51.0 Formamide 58.0 39.0 2.28 39.6 19.0 Glycerol 64.0 34.0 3.92 5.74 9.5 Bromonaphthalene 44.4 44.4 0 0 0 Diiodomethane 50.8 50.8 0 0 0

36

Surface free energy of HF etched silicon and its components have been

calculated from contact angle data obtained from water, diiodomethane and

bromonapthelene.41 The total surface free energy of silicon etched in HF solutions in the range of 0.1 to 5.0 % was in the range of 50-54 mJ/m 2. The polar

component of surface free energy significantly decreased from 40 mN/m 2 to 5

mN/m 2 with an increase in HF concentration from 0.1 to 5.0%. This decrease in polar component of surface free energy was due to progressive H-passivation of silicon surface. In contrast, the non-polar component slightly increased from 30 mN/m 2 to 50 mN/m 2.

In another study, H-terminated silicon surface energy was calculated after treatment with HNO 3, H 2SO 4-H2O2, HNO 3-HF and H 2SO 4-H2O2-HF cleaning

42 - systems. It was reported that the basic component of surface tension ( γS ) increased continuously through 60 min for surfaces treated with oxidizing acid mixtures (HNO 3 and H2SO 4-H2O2) indicating an increase in hydrophilicity. This increase in hydrophilicity was due to the formation of Si-OH groups on silicon surfaces. In the case of surfaces treated with oxidizing acid mixtures containing

- HF (HNO 3-HF and H 2SO 4-H2O2-HF), a decrease in γS was observed with time.

The change in free energy ( GSL ) for H-terminated silicon surface increased from

2 2 96 mN/m to 132 and 135 mN/m after treatment in HNO 3 and H2SO 4-H2O2 solutions, respectively. In oxidizing solutions containing HF (HNO 3-HF and

2 H2SO 4-H2O2-HF), the free energy decreased from 96 mN/m to 90 and 85

mN/m 2, respectively in these solutions. 37

2.3. Particle-Wafer Interactions in Wet Cleaning Systems.

In general, particles adhere to a silicon surface mainly due to the van der

Waals and electrostatic interaction energies. 16 The interaction energy between a particle and the wafer surface is a function of the separation between two surfaces. At short separation distances of less than 10 nm, the van der Waals energy is dominant while the electrical double-layer energy governs the interaction at larger separation distances. Figure 2.5 is a schematic illustration of van der Waals, electrostatic interaction energy and sum of the two components

(total interaction energy, represented as “W” in Figure 2.5) as a function of separation distance between a particle and a surface. In this figure, the positive energy represents repulsive energy and the negative energy represents attractive energy.

Figure 2.5: Interaction energy between two surfaces as a function of separation distance.

38

2.3.1. Van der Waals Forces

In 1873, van der Waals first proposed that intermolecular interactions between neutral atoms or molecules originate from the instantaneous dipole interactions due to the position of the electrons surrounding the nuclei. This was to account for non ideal gas behavior in the continuum between gaseous and liquid states of matter.43-44 These interactions include permanent dipole-dipole or

Keesom potential, permanent dipole-induced dipole (Debye interactions) and induce dipole-induce dipole interactions or London dispersion interactions, and the sum of all three interactions are known as the van der Waals (vdw) interaction energies. All three interaction energies are inversely proportional to the sixth power of the closest separation distance.

The permanent dipole-dipole interaction or Keesom interaction (Uk) originates from the angle averaged dipole-dipole interaction and is shown in

Equation 2.11.

CK u1u2 Uk (r) = − 6 = − 2 6 [2.11] r 4(3 πεoε ) kBTr

where u1 and u2 are the dipole moments of the molecules, ε the dielectric constant of the medium, ε0 is the permittivity of the vacuum, kB the Boltzmann’s constant and T is the temperature. The averaged dipole-induced dipole interaction (UD) between two atoms or molecules: 39

C u α + u α D 1 02 2 01 [2.12] U D (r) = − 6 = − 2 6 r 4( πε o ε ) r

In this equation, α01 and α02 are the electronic polarizabilities of the molecules. The London dispersion (UL) is the most important contribution to van der Waals interaction energy, because it acts between all types of molecules or atoms, as shown in Equation 2.13.

C 3 α u α hν ν  L 1 02 2 01 1 2 [2.13] U L (r) = − 6 = − 2 6  r 2 4( πε o ) r ν 1ν 2 

In Equation 2.13, v1 and v2 are the frequencies calculated from the ionization potentials of the molecules and h is the Planck’s constant. The total van der Waals interaction (UVDW ) is the summation of all three individual

(Keesom, Debye and London) interactions and can be written in the form UvdW =

6 (UK + UD+ UL)/r , as shown in Equation 2.14.

3k T u 2 u 2 3 α α hv v B 1 2 01 02 1 2 [2.14] U vdw (r) = − 2 6 ( +α 01 )( +α 02 ) − 2 6 4( πε 0ε ) r 3k BT 3kBT 2 4( πε 0 ) r v1 + v 2

In 1937, Hamaker 45 developed a method to calculate interaction energy

between macroscopic bodies (spherical particles) based on a pair-wise

summation of the potential energy between individual atoms in each body. The

interaction is described in terms of the Hamaker constant, which is dependent on

the material. Another approach, developed by Lifshitz,44 uses the interacting 40

bodies and an intervening medium as a continuous phase and determines the

strength of the interaction from bulk dielectric properties of the materials. 46 The interaction energy between two macroscopic bodies depends on their geometrical features and on the Hamaker constant.21 A list of van der Waals

(vdW) interaction energy between some of the common geometries is shown in

Table 2.2.

Table 2.2: van der Waals interaction energy for common geometries.46 Geometry Energy (J)

A Two flat surfaces E = − H per unit area 12 πD2

A R R Two spheres E = − H ( 1 2 ) 3 6D R1 + R2

A R Sphere Particle-flat surface E = − H 6D

AH is the Hamaker constant, R 1 and R 2 are the particle radii between two spheres, D is the closest separation distance between two surfaces.

The Hamaker constant of a material, AH, can be calculated based on molecular properties, as shown in equation 2.15.

2 2 A11 = π q1 β11 [2.15]

3 In this equation, q 1 is the number of atoms per cm and β11 is the

London/van der Waals constant (C L) for the interaction between two molecules. 41

The Hamaker constant, A 12 , between two bodies of different materials (1 and 2) can also be estimated, as shown in Equation 2.16.

A12 = A11 A22 [2.16]

Hamaker constants of materials (1 and/or 2) interacting in a liquid media

represented as 3, can also be determined using the geometric combining

relation, as displayed in Figures 2.6a and b.

(a)

(b)

Figure 2.6: Illustration of (a) same material (1) interaction in liquid media (3) and (b) two different materials (1 and 2) interacting in a liquid media (3). 47

In the case where the same materials are interacting in a liquid media, the effective Hamaker constant can be described by the following equation:

A131 = A11 + A33 − 2A13 [2.17] 42

The interaction between two different materials in a liquid media can be

approximated by:

A = A + A − A − A [2.18] 132 12 33 13 23

These equations can be simplified to:

2 A = A − A 131 ( 11 33 ) [2.19] and

A = A − A A − A 132 ( 11 33 )( 22 33 ) [2.20]

A list of the individual Hamaker constants, A ii for a number of materials of

relevance to integrated chips (ICs) is given in Table 2.3.

Table 2.3: Hamaker constant A ii for two identical materials interacting in vacuum.48

-20 Materials AII (10 J)

Si 3N4 16.4 SiO 2 6.3 Al 2O3 14 Polystyrene latex (PSL) 6.5 Teflon 3.8 Si 25.6 Metals (Cu, Ti, W) 25-40 Water 3.7

The introduction of a medium between two surfaces generally decreases the van der Waals energy. A strong reduction in interaction between two 43

materials occurs when the Hamaker constants are close to that of water. For

example, silicon-silicon interaction in vacuum is approximately 25.6 x 10 -20 J whereas introducing water as a media decreases the Hamaker constant from

25.6 x 10 -20 J to 9.9 x 10 -20 J. In Table 2.4, the Hamaker constants calculated for different materials interacting in liquid medium (water) are summarized.

Table 2.4: Calculated Hamaker constants A132 for two materials 1 and 2 immersed in water (3).

-20 Materials A132 (10 J) SiO 2-Si 3N4 1.6

SiO 2- SiO 2 0.34

SiO 2-Al 2O3 1.07

SiO 2-PSL 0.39

SiO 2-Teflon 0.015

SiO 2-Si 1.8

SiO 2-Metals (Cu, Ti, W) 1.8-2.5

Si- SiO 2 6.7

Si- Si 3N4 1.8

Si-Al 2O3 5.7 Si-PSL 1.97 Si-Teflon 0.08 Si-Si 9.9 Si-metals 10-14

Based upon the data in Table 2.4, it is expected that silicon (Si), silicon nitride (Si 3N4) and aluminum oxide (Al 2O3) particles (most common contaminants found in semiconductor processing) would adhere strongly to silicon wafers. For 44

polymeric materials such as PSL and Teflon, the van der Waals attraction is

expected to be less important.

Several other models have also been proposed to predict the adhesion

force between two macroscopic bodies immersed in a liquid medium. If the

macroscopic bodies behave as rigid solids, then the adhesion force can be

computed using the Derjagun-Muller-Toporov (DMT) 49 model, as shown in equation 2.21 :

F = −4πγ [2.21] R SL

In this equation, γSL is the interfacial tension between solid and liquid. Most solids are not completely rigid, and elastic deformation can significantly affect the adhesion force, in which case the Johnson-Kendall-Roberts (JKR) theory 50 can be used to calculate the adhesion force using the following relationship.

F = −3πγ [2.22] R SL

Surface roughness plays an important role in the vdW contribution to

particle-surface interaction. This is because the contact area between two

macroscopic bodies depends on the roughness. At large separation distances,

where the roughness height or asperities is much smaller than the separation

distance, the effect of roughness may be small or neglected. However, the van 45

der Waals interaction can be significantly reduced if the surface roughness is the

same order of magnitude or higher than the separation distance between the

particle and the substrate. A model that accounts for surface roughness was first

introduced by Rumpf.51 In this model, the adhesion between spherical particle and a surface with a small hemispherical asperity centered below the particle was used. The interaction force in this model is given by Equation 2.22:

    A R r 1 H   [2.22] Fvdw = 2 + 2 6d r + R  r    1 +     D  

In this equation, AH is the Hamaker constant, R is the particle radius, r is

the radius of the asperity, and D is the distance of separation between the

particle and the asperity. One of the limitations of Rumpf model is that the radius

of the asperity is not easily measured, while surface roughness can be measured

in terms of a root mean square (RMS) values. Rabinovich et al. 52 modified the

Rumpf model based on the RMS values for hemispherical asperities, as shown in

Equation 2.23. The RMS values can be easily measured using an atomic force

microscope (AFM).

46

    A R 1 1 132   [2.23] F = 2 + 2 6d  R  48.1 RMS   1 + 1 +    48.1 RMS  D  

Cooper et al. 53 developed a model for the adhesion of a rough

particle on a smooth surface by assuming homogeneous hemispherical

asperities on a spherical particle, as shown in Equation 2.24.

   i

where d is the contact radius, r is the asperity radius, and d is the distance between the particle and surface. This model was experimentally validated for systems relevant to semiconductor processing using an atomic force microscopy

(AFM). Adhesion force was measured between polystyrene latex (PSL) particle and a silicon dioxide surface in solutions with varying pH (2-11) that caused different surface roughness. A strong adhesion force of 127 nN was measured in solutions at pH values lower than 4.0 whereas adhesion force of less than 12 nN was measured in basic solutions with pH values ranging from 5-11. The decrease in adhesion force in high pH solutions was attributed to high silicon surface roughness caused by etching. 47

2.3.2. Electrical Double-Layer Interaction Forces

Understanding phenomena near the interface of a solid surface and an

aqueous solution is important in particle removal from the wafer surface. When a

substrate is immersed in an aqueous solution containing an electrolyte, the solid

surface can acquire a charge through either adsorption of ions (for example OH -), or by dissociation or ionization of surface groups. 54-55 An excess charge at the solid surface balanced by a diffuse region of equal but opposite charge on the liquid side. Composed of surface charge and its counter ions, this region is called the electrical double-layer.

Figure 2.7: Schematic representation of different potentials asscociated with a particle in aqueous solutions. Used with permission of Manish Keswani.

48

The separation of charge at the interface leads to a surface potential. The

potential of the charged surface depends on the activity of the potential

determining ions and can be calculated by the Nernst equation which is given by

the following equation:

.2 303 RT  a  Ψ = log   [2.25] 0   F  a PZC 

where Ψ0 is the potential difference between the charged surface and the bulk solution, and a and aPZC are the activities of the potential determining ions at the solution condition and at the point of zero charge (PZC), respectively. In the first term, R is the gas constant, T is the temperature and F is the Faraday’s constant. The variation of electrostatic potential Ψ in the double layer surrounding a surface is governed by the Poisson-Boltzmann equation:

− zi qΨ 4πq N 2 kbT [2.26] ∇ ψ = − ∑ zinie I =1 εε 0

where ε is the dielectric constant of the medium, ε0 is the permittivity of the vacuum, q is the electron charge, N is the number of types of ions, ni is the number of ions i in the bulk solution per volume, z i is the valence of ion i, kb is the

Boltzmann’s constant, and T is the absolute temperature. If the surface potential is small, less than 25 mV, for a 1:1 electrolyte solution, the Poisson-Boltzmann equation can be reduced to a linear differential equation. 49

∇ 2ψ = k 2ψ [2.27]

In this equation, κ is the inverse Debye length, which is given by the following equation:

2 q 2 ∑ n z κ = i i [2.28] εε 0 k BT

The inverse Debye length or the double layer thickness depends on the

properties of the electrolyte solution. For 1:1 electrolytes at 298 K, the Debye

length in nm is given by .0 304 / c , where c is the concentration of an

electrolyte in mol.L -1. The Debye length decreases with an increase in electrolyte

concentration.

Hogg, Healy and Fuerstenau (HHF) 56 developed expressions for

interaction forces between a particle (1) and a surfaces (2) for constant potential

and constant charge cases, as shown in Equations 2.29 and 2.30.

−kd 2 2 ke  2ψ ψ  F el ψ = εε 2πR(ψ +ψ ) 01 02 − e −kd [2.29] 0 o1 o 2 −2kd  2 2  1− e ψ o1 +ψ o 2 

−kd el ψ 2 2 ke  2ψ 01 ψ 02 −kd  F = εε 0 2πR(ψ o1 +ψ o2 )  + e  [2.30] 1− e −2kd 2 2 ψ o1 +ψ o2 

50

At large separation distances (d), these two expressions are nearly identical and show an exponential decay in the repulsive or attractive force.

However, at short separation distances of less than 10 nm, these expressions are not valid. It is therefore important to note that the linear approximation for the

Poisson-Boltzmann equation fails as the separation distance approaches zero.

In an aqueous solution, interaction between a particle and a wafer surface depends on the potential of both the particle and the surface. If the potentials are of the same signs, the repulsion occurs, and if they are of opposite signs, attraction occurs. It is important to control the potentials of both the particle and the substrate in order to prevent particle deposition in aqueous solutions. It can be controlled by adjusting the pH of an aqueous solution or by adding additives such as surfactants. The surface potential is not experimentally measureable. A more commonly used and experimentally measured quantity is the zeta potential.

Figure 2.8 shows the zeta potential of particle contaminants commonly found in semiconductor processing as a function of solution pH.

51

Figure 2.8: Zeta potential of particle contaminants commonly found in semiconductor processing as a function of solution pH. 48

It can be seen from Figure 2.8 that in acidic solutions with pH ~ 3, Si is characterized by a zeta potential value of ~ -20 mV, whereas SiO 2 and Si 3N4 particles have a positive ZP values, which leads to particle deposition due to the electrostatic attractive forces. In high pH solutions such as APM (pH~10) solutions, most of the particles and surfaces have a negative ZP and re- deposition of removed particles is prevented due to the presence of repulsive forces.

Surface treatment or synthesis procedure can significantly change the zeta potential of materials.57 Figure 2.9 shows the zeta potential as a function of pH in 1 x 10 -3 M KCl solution for silicon oxide films prepared using different 52

treatment methods. The measured zeta potential of thermally grown silicon oxide

(TOX) film showed an isoelectric point close to pH 4. In contrast to thermal

oxides, chemically grown oxide film generated in APM solution (1:1:5

(NH 4OH:H 2O2:H 2O) at 80 °C for 10 min) did not exhibit an isoelectri c point in the pH range of 2 to 10. Measurements made on SiO 2 particles (0.4 µm) showed that the zeta potential was more negative compared to that of thermal and chemical oxides in the pH range of 4 to 10. Based on these results, it is evident that the value of IEP is dependent on the type of surface preparation.

Figure 2.9: Comparison of zeta potential of silicon dioxide surfaces prepared using different treatment methods as a function of pH. 57

53

2.4. Measurements of Interaction Forces

Interactions forces between two surfaces can be measured by various techniques. One of the early methods used to measure van der Waals force involved two polished glass bodies, where one glass surface was fixed and the other was mounted to a spring. 36 The distance between the glass surfaces and the deflection of the spring were measured, and multiplication of the deflection by the spring constant yielded the interaction force. Using another approach,

Derjagun, Rabinovich, and Churaev 39 measured the forces between two metal wires that correlated well with the theoretically calculated van der Waals force for metals. However, there were several experimental limitations including the difficulty of obtaining precise measurements at short separation distances of less than 20 nm and making measurements in liquid media.

In the last 2 to 3 decades, the development of the surface force apparatus

(SFA) and the atomic force microscope (AFM) has provided the means to measure interaction forces between two surfaces at short separation distances.

In particular the development of the atomic force microscope was an important advancement in force measurement because it facilitates direct measurement of the interaction forces between two surfaces with different shapes and in various media.58-59

54

2.5. Overview of Atomic Force Microscope (AFM)

The atomic force microscope (AFM) has emerged as a powerful tool for the measurements of interaction forces between two surfaces with high lateral

(25 nm), 60 vertical (0.1 nm) and force (1 pN) resolution 61 in vacuum, air or liquid media. In the AFM method, interaction forces between a tip or particle and a surface are measured. A schematic representation of the tip-surface interaction is shown in Figure 2.10a. The cantilever materials used in AFM are constructed either from silicon or silicon nitride. An SEM of a typical silicon tip is shown in

Figure 2.10b.

a b b

Figure 2.10: (a) A schematic representation of interaction forces between the surface and the AFM tip. (b) An SEM image of a silicon tip obtained at the Center for Surface and Interface Imaging (KECK) at the University of Arizona.

AFM can be used in different modes depending upon the nature of the materials and surfaces that are being characterized. The most commonly used modes of operation of an AFM are the contact and tapping modes. In the contact 55

mode, the AFM tip is in physical contact with the surface and is typically used for hard samples and when a vertical resolution of greater than 50 nanometers is required. It has been shown that rough samples with extreme change in vertical topography can be scanned more easily in contact mode. A drawback of using the contact mode is that it can damage soft surfaces due to high pressure and high scan speed.

In the tapping mode, the cantilever is driven to oscillate at near its resonance frequency by a small piezoelectric element mounted in the AFM tip holder. At the end of the cantilever, where the tip is, the vibration amplitude is typically 1-10 nm. As the tip approaches the surface with a vibrating cantilever, the frequency amplitude decreases when the tip comes into contact with the surface due to van der Waals, electrostatic or the presence of other forces.

Instead of being scanned at constant deflection or constant height, the surface is scanned at a constant reduction of the vibration amplitude. The force-distance measurements using the vibration mode are determined by the changes in frequency and amplitude. The tapping mode is often less destructive than the contact mode because the contact time is very short and shear is prevented. One disadvantage of the tapping mode is the slightly lower resolution; therefore force measurements between the probe and surface are conducted with contact mode rather than with tapping or vibrating modes. A feedback controller is used to maintain a constant deflection between the tip and the surface, as shown in

Figure 2.11. 56

Figure 2.11: A schematic of AFM controller feedback loop to maintain constant deflection between the tip and the surface.

2.5.1. Principle of Force Measurement in Atomic Force Microscope (AFM)

The cantilever deflection, Z c, converted from the voltage measured by the photodiode, can easily be transformed to the interaction force, F, between the tip and the surface by multiplying with the spring constant of the cantilever Kc and

separation distance, d, according to Hooke’s law:

F = KC d [2.31]

The separation distance between the surface and the tip can be

determined from the piezo position. Separation distance is the summation of

piezo position (Zp) and cantilever deflection (Zc), where Zc is defined as the 57

intercept divided by the slope, as shown in Figure 2.12. The interaction forces are measured by cantilever deflection as a function of the piezo position normal to the surface. The interaction forces are measured in two steps; during approach and during retraction, as displayed in Figure 2.12.

Figure 2.12: A schematic representation of approach and retract force curves measured using atomic force microscope.

In an approach curve, at large separation distances, where the tip and the substrate do not interact, the cantilever is un-deflected because it experiences no forces. At this stage, the displacement of the surface is only a physical separation between two surfaces by that distance. This defines as a zero-force line and is marked as “1” in Figure 2.12. As the surface approaches the tip, the cantilever is deflected due to the interaction between the tip and the sample 58

surface. If the interaction is strongly attractive, where the gradient of the force exceeds the spring constant, the tip suddenly jumps onto the surface

(represented as “2” in Figure 2.12). After the tip jumps onto the surface, the tip and the surface are in contact, represented by “3”, and their movements are coupled so that a piezo displacement causes an equal displacement in the cantilever deflection. This region is also known as the constant compliance, “3” region. The compliance region where the tip and the surface are in contact is used to define the zero distance of separation. Upon retraction of the piezo, the surfaces may continue to move together past the jump-in distance due to the presence of an adhesion force that exists between two surfaces, (Figure 2.12 and point “4”). At the point, “5”, the tip jumps off the surface, and this hysteresis is the adhesion force between the tip and the surface.

The most interesting regions of force-displacement curves are the jump- to-contact and the jump-off-contact. The non-contact region in the approach force curves provides information about attractive or repulsive forces whereas the jump-off contact provides the magnitude of adhesion force that exists between the tip and the surface.

2.6. Literature Review for Interaction Force Measurements using Atomic Force Microscope (AFM). Numerous studies have been published on the interaction force measurements between two surfaces in various media using AFM. 20-21, 27, 58, 62-66 59

Ducker et al .20 first reported the interaction force between a silica particle (40 m)

and silicon dioxide surface in 10 -3 M NaCl solutions. The results showed that

interaction forces between hydrophilic silica surfaces were purely repulsive at all

separation distances. Evidence for an additional repulsive force at small

separation distances (< 3 nm) was also seen and this was ascribed to hydration

forces. The measurements were also conducted using the SFA between silica

surfaces under the same conditions. The results were comparable giving further

confirmation of the use of AFM for the measurements of interaction forces.

Hartley et al. 67 reported the interaction force results between a silica particle (4-6 m) and silica surface as a function of separation distance in 1 x 10 -4

M sodium nitrate solution with pH ranging from 3.1 to 9.4. Repulsive forces were

measured at long separation distances between silica surfaces in all solutions,

whereas attractive forces dominated and the tip jumped onto the surface at a

short separation distance of 2-6 nm. The jump in distance was found to decrease

with increasing pH and at pH 8.8 and higher, no jump was measured. The

experimentally measured forces between silica surfaces correlated well with the

theoretically calculated forces using the van der Waals and electrostatic repulsive

forces.

Toikka and Hayes 68 reported force measurement results between silica

particles (5 m) and silicon dioxide surfaces in 1 x 10 -3 and 1 x 10 -4 M NaCl solutions. Interaction forces were purely repulsive at all separation distances. 60

The repulsive forces were fitted to electrical double layer model and the

magnitude of forces decreased with an increase in solution concentration due to

the compression of the electrical double layer. Larson et al. 69 have reported similar results between silica surfaces in electrolyte solutions. The experimental data were fitted to the non-linear Poisson-Boltzman equation that yielded the

-3 silica surface potential value of -45 mV in 10 M KNO 3 solution at pH 6.3. It must

be noted that van der Waals attractive forces were not incorporated in the fitting

procedure.

Several authors have also published AFM studies relevant to

semiconductor processing.70-72 In one study, interaction forces between a

hydrophilic silica particle (20 µm) and a hydrophilic silica surfaces prepared using

three different cleaning (APM, UV/ozone and H 2O-vapor plasma) procedures

were reported. 73 The approach force curves between a silica particle and wafer

surfaces show repulsive forces at all separation distances. During retraction, the

force-distance curve follows the same profile as that obtained during approach.

The surface potentials were estimated by fitting the approach force curves with

the DLVO theory, and found to be between -65 mV and -75 mV for silica surfaces

using all three cleaning procedures.

Interaction force measurements between a silicon nitride tip (~500 nm)

and hydrophobic silicon surface in DI-water and 0.5 wt% HF solutions at a pH of

1.88 have been reported.71 Figures 2.13a and b show the normalized interaction

forces by the tip radius as a function of separation distance in DI-water and HF 61

solutions. In DI-water, the results showed repulsive forces starting at a separation distance of ~ 40 nm due to the electrostatic interaction forces. At short separation distances of less than 10 nm, the van der Waals interaction becomes dominant and the tip jumps onto the surface. In HF solution, the interaction forces were attractive at all separation distances as can be seen in approach force curve displayed in Figure 2.13b. During retraction, a higher magnitude of adhesion force (6 mN/m) was measured in HF solution than in DI-water.

a b

Figure 2.13: Normalized approach and retract force curves between a silicon nitride tip and a silicon surface as a function of separation distance in (a) DIW and (b) 0.5 wt% HF solution. 71

AFM technique can also be used to measure adhesion forces between particles and substrates using retract force curves.63, 65, 74 For example, adhesion forces between a polystyrene sphere (5 µm) and a SiO 2 surface in 0.03 M KNO 3 solution in the pH range from 2 to 10 have been reported.75 The results showed a strong dependence of adhesion forces on pH. For solutions at pH values lower 62

than 4.0, a strong adhesion force of 127 nN was measured. The decrease in

adhesion force at alkaline pH solutions was attributed to higher silicon surface

roughness caused by etching. The same authors have also reported the

adhesion forces between alumina particles and silicon dioxide surface in DI-

76 water, 0.2 wt% NH 4OH and 0.2 wt% H 2O2 solutions. The results showed that the adhesion forces in NH 4OH and H 2O2 solutions are ~ 2.5 times higher than those in DI-water. This effect was attributed to the change in the surface chemistry of the alumina particle in solutions of different pH.

Adhesion force between silica particles and a copper surface in different cleaning solutions of relevance to post CMP cleaning has been reported.77 In citric acid containing tetramethyl ammonium hydroxide (TMAH), an adhesion force of 9 nN was measured whereas a much lower adhesion force of 0.012 nN was measured in citric acid containing ammonium hydroxide. It was concluded that the appropriate selection of the pH and the chemical additives is important in the control of adhesion force between a particle and surface. In general, the measured adhesion force between a particle and a substrate in a wet cleaning scenario is mainly due to van der Waals force, 30-33 which is given by Equation

2.32.

A R F = − H T [2.32] vdW 6D 2

In this equation, AH is the effective Hamaker constant between the particle and surface in a particular medium, R T is the radius of the particle or tip and D is the closest separation distance between the particle and the substrate. For a 63

system where the precise value of the AH is not known, AFM has been employed to calculate the AH from adhesion force during the retraction of the particle from the surface.16, 31 An alternative method uses the experimentally measured jump- in distance between the tip and the surface during the approach of the particle

16 towards the surface to calculate AH. The tip jump onto the surface occurs when the force gradient exceeds the spring constant (ks) of the cantilever, as shown in

Equation 2.33.

dF ≥ k [2.33] dD s

The total force of interaction between a surface and a tip would typically consist of attractive and repulsive components. In systems where repulsive forces are due to electrical double layer (EDL) interaction and the attractive force is mainly due to van der Waals (vdW) interaction force, then the total interaction force, F, may be expressed as follows:

A R F = k exp( −k D) − H T [2.34] 1 2 6D 2

The first term in this equation represents EDL interaction force, and the second term is the attractive vdW force. The electrical double layer interaction force, which has an exponential relation to distance, can be calculated if zeta potential of interacting surfaces is known. Alternatively, based on the work of

Israelachivili and Adams, 18 repulsive forces can be calculated by fitting an 64

exponential curve of the function k1 exp( −k 2 D) to force values to distance in the range of 5 to 60 nm, where k 1 and k 2 are fitting constants. By differentiating

Equation 3 with respect to separation distance (D) and equating it to the

cantilever spring constant (k s), A H can be calculated as shown in Equation 2.35.

3 jump −in [2.35] [ks + k1k2 exp( −k2 Djump −in )] 3D AH = RT

In the case where only attractive forces are present between a surface and a particle, A H values can be calculated using the van der Waals interaction force as shown in Equation 2.36.

(3 D ) 3 k j ump −in s AH = RT [2.36]

The Hamaker constant values for materials of interest in semiconductor

processing have been reported using an atomic force microscope. 78 The values

were calculated using both the approach and retract (adhesion force) curves in a

vacuum and nitrogen environment. The measured values were compared to

theoretical DLVO theory for comparison and the Hamaker constants using both

methods were in good agreement.

2.12. Literature Review for the Stability of Ammonia-Peroxide Mixture (APM) Hydrogen peroxide decomposition over a wide range of experimental

conditions (temperature, pH, H 2O2 and metal ion concentrations) using different 65

techniques have been reported.79-82 Acid base titration method 83 has shown that hydrogen peroxide decomposition in a conventional 1:1:5 APM solution in the temperature range of 30-80 °C is first order with resp ect to hydrogen peroxide concentration with an activation energy of 82 kJ/mol. The hydrogen peroxide half-lives of 888, 84, 16 and 9.3 min at 30°, 50°, 7 0°, and 80 °C, respectively were calculated in a conventional APM solution. The decomposition was proposed to occur via the following reaction:

1 H 2O2 → H 2O + O2 2 [2.37]

In alkaline solutions, hydrogen peroxide decomposition has been described

84-85 - as a base catalyzed reaction involving its reaction with perhydroxyl ion (HO 2 ) as shown in Equation 2.38.

H O + HO − → OH − + H O + O [2.38] 2 2 2 2 2

The data obtained at pH 11.6 and 35 °C were found t o fit with a rate equation

- -6 -1 of the type, rate = k2 [H 2O2] [HO 2 ]. The values of k2 range from 3.0 x 10 L mol s-1 to 7.4 x 10 -6 L mol -1 s-1. In another publication, peroxide decomposition in 1-7

M KOH and 1-3 M NaOH solution containing 1 M H 2O2 at 20 °C was reported.

The results show that peroxide decomposition rate in these solutions was also of first order with respect to H 2O2. The authors proposed that the hydrogen peroxide reacted with OH - to produce perhydroxyl ions and water, as shown in Equation

2.39. 66

H O + OH − ↔ HO − + H O [2.39] 2 2 2 2

Hydrogen peroxide decomposition can also be significantly enhanced by the presence of metallic contaminants in APM solutions. In particular, iron acts as a catalyst and has the most significant effect on H 2O2 decomposition, with an order of magnitude higher effect than other metals such as Cu. Iron catalyzed decomposition of hydrogen peroxide in acidic conditions has been well- documented in terms of free-radical mechanisms, known as Fenton reaction,86-87 as shown in Table 2.5.

3+ 81 Table 2.5: Mechanism of Decomposition of H 2O2 initiated by Fe .

3+ 2+ • + Fe + H 2O2 → Fe + HO 2 + H

2+ 3+ • − Fe + H 2 O2 → Fe + OH + OH

Fe 2+ + OH • → Fe 3+ + OH −

• • H 2O2 + OH → HO 2 + H 2O

2+ • + 3+ Fe + HO 2 + H → Fe + H 2 O2

3+ • 2+ + Fe + HO 2 → Fe + O2 + H

Under alkaline conditions, iron-induced hydrogen peroxide decomposition is less understood. It has been reported that hydrolyzed iron species are formed in alkaline solutions and are highly active in the decomposition of hydrogen peroxide. At the pH of APM solution (~10.6 at 25 °C) , hydrolyzed iron species 67

begin to form at a critical concentration of 5 x 10 -8 mol L -1, which corresponds to

0.5 ppb of Fe ion.88 It is important to point out that most of the previous studies

have focused on understanding the effect of Fe 3+ on decomposition of peroxide in APM solutions. Since cleaning chemical solutions may contain either Fe 3+ or

Fe 2+ . The presence of Fe 2+ in APM solutions can have a significant effect on catalytic decomposition of peroxide.

A gasometric technique has been used to follow the decomposition rate of

3+ H2O2 in Fe containing (0.08 to 1 ppb) APM solutions based on the measurement of evolved oxygen gas. Increasing the Fe 3+ ion concentration from

0.08 to 1 ppb increased the hydrogen peroxide decomposition and decreased the hydrogen peroxide half-life from 6.8 days to 1.7 h. An activation energy of 103 kJ/mol was calculated for APM solutions containing less than 0.08 ppb Fe 3+

60 ions. In another publication, the decomposition of H 2O2 in 1:1:5 APM solutions using different metal ions (Fe 3+ and Cu 2+ ) in the concentration range of 0.1 to 10 ppb and various temperatures has been reported.17 The reaction order and rate constants were dependent on the type of the metallic contaminants present in the solution. For example, peroxide decomposition induced by the presence of Cu 2+ ions follows first-order reaction kinetics, whereas iron-ion catalyzed decomposition is a mixture of first and second order reaction. The activation energy of 65 and 71 kJ/mol for first and second order reaction kinetics respectively was calculated. Based on the similar activation energies for the first and second order kinetics, it was proposed that the mechanism for peroxide 68

decomposition is similar. Figure 2.14 shows a schematic representation of the proposed mechanism for iron catalyzed decomposition of hydrogen peroxide in

APM solutions.

Figure 2.14: A schematic representation of iron-catalyzed decomposition of hydrogen peroxide in APM solutions. 17

The first order reaction path was explained based on peroxide reaction with a single Fe ion bonded to four hydroxyl groups in complex 1. In complex 2, intra-molecular nucleophilic substitution takes place which results in the formation of complex 3. If the reaction follows first-order reaction kinetics, then complex 3 decomposes and forms O2 and the reduced metal catalyst (complex 69

4). In strong oxidizing conditions, the reduced metal catalyst will be oxidized by

hydrogen peroxide and form an active catalyst, complex 1 again. Therefore, the

described reaction scheme explains for the first order reaction kinetics. It was

concluded that the addition of complexing agents can reduce the peroxide

decomposition and extend the bath life of the APM solution.

Different analytical techniques such as electrochemical, UV absorption spectroscopy 89 and Raman spectroscopy 24 have been used to monitor the composition of APM solutions. However there are limitations using these experimental techniques. One of the drawbacks to using electrochemical techniques is that it requires direct contact of sensing probe with the solution, which increases the contamination risk. In addition, bubble formation from hydrogen peroxide decomposition can introduce measurement errors due to the sensitivity of electrochemical probes. Details of experimental limitations using above mentioned techniques can be found elsewhere. 90 70

CHAPTER 3

MATERIALS, EXPERIMENTAL TECHNIQUES AND PROCEDURES

3.1 Materials

Silicon (100) samples (p-type, 38-50 -cm) were used for all interaction

force experiments using an atomic force microscope. De-ionized water (18 M -

cm) was used to prepare all the experimental solutions for this research. The

different chemicals used in various stages of this work include hydrogen peroxide

(30 wt%), ammonium hydroxide (29 wt%) and hydrofluoric acid (49 wt%), all of

which were purchased from Ashland Chemicals. All the chemicals used were

electronic grade with purity greater than 99%. Anhydrous Fe 2SO 4 salt (99.999%)

was purchased from Sigma-Aldrich and used for metal-ion catalyzed hydrogen

peroxide decomposition experiments. AFM tips (SNL-10) were purchased from

Veeco Instruments (Santa Clara, California).

3.2. Silicon Surface and Silicon Tip Preparation

Si (100) wafers were diced into 1 x 1 cm 2 pieces and cleaned by

sonicating in and methanol for 5 min, respectively. Samples were rinsed

with DI-water and blown dry with nitrogen. The H-terminated silicon surface was

prepared through the removal of the native oxide layer in a mixture of 71

hydrofluoric acid and DI-water at a volume ratio of 1:100. Finally, the samples were rinsed thoroughly with DI-water and dried with ultra-pure N 2 gas. AFM imaging of the silicon surface after etching in a dilute HF solution showed a smooth surface with a root mean square (RMS) roughness value of ~ 0.20 ±

0.05, nm as shown in Figure 3.1. A freshly prepared Si sample was used for each force measurement experiment. Silicon tips were prepared using the same preparation method as that used for silicon wafers.

Figure 3.1: AFM image of silicon surface (2 x 2 m) after etching in dilute HF solution

3.3. Contact Angle Measurements

Contact angle measurements were conducted with a goniometer (Ramé-

Hart Instrument CO., Mountain Lakes, NJ) using the sessile drop. Hydrophobic 72

silicon samples were immersed in DI-water, NH 4OH:H 2O (1:100), H 2O2:H 2O

(1:100) and dilute NH 4OH:H 2O2:H 2O solutions for 2, 10 and 60 min, followed by a rinse with DI-water, and blown dry with N 2 gas. Different liquids used for contact angle measurements include DI-water, formamide (CH 3NO) and diiodomethane

(CH 2I2). Table 3.1 shows the total surface tension and a breakdown of the

LW + - components (γL , γL ,γL ) of surface tension for all three probe liquids. Several

drops of liquid (0.25 µL) were placed on the sample and the average values of

contact angle are reported.

Table 3.1: Surface tension and its components of different liquids used for contact angle measurements. The units are in mN.m -1

LW + - Probe Liquids γL γL γL γL AB + − γL = 2 γ γ

Water 72.8 21.8 25.5 25.5 51.0

Formamide 58 39 2.28 39.6 19.0

Diiodomethane 50.8 50.8 0 0 0

3.4. Surface Force Measurements

Surface forces were measured using a Digital Instrument Nanoscope IIIa

atomic force microscope (Veeco Instruments, CA). A sealed liquid cell (volume

less than 0.2 mL) was used for the measurements which were carried out at 73

room temperature (24 ±° 1°C). The experimental solut ions were injected by

means of a syringe into the liquid cell. In-situ measurements in hydrogen

peroxide solutions were challenging due to bubble formation caused by peroxide

decomposition. Hence, experiments in dilute hydrogen peroxide and ammonia-

hydrogen peroxide solutions were carried out first by injecting the solution for the

desired immersion time and then replacing with DI-water before capturing force-

distance measurements. Force measurements were conducted within 10 minutes

of Si sample and tip preparation. Each experiment was repeated three times and

reported adhesion values are the average values.

Before force measurements between hydrophobic Si tip and Si surface

were conducted, a well-known hydrophilic silica particle and hydrophilic silica

surface system 20, 67 was used to measure interaction forces in order to validate

the experimental technique and procedures. This system was chosen because

interaction forces between silica surfaces in different aqueous media have been

extensively reported in published literature.20, 68, 91 In this experiment, a silica

particle with a radius of 5 m was attached to the AFM cantilever using electronic grade epoxy glue. The silicon dioxide (SiO 2) surface was cleaned by sonication

for 5 min in acetone and methanol followed by rinsing in DI-water. Samples were

blown dry with ultra-pure nitrogen after each sonication step. Silicon samples

were cleaned in H 2SO 4:H 2O2 solution (4:1 by volume) for 10 min followed by a

thorough rinsing with DI-water and blown dry with nitrogen gas. 74

Figure 3.2 shows the normalized force (F/R) by the particle radius (5 m)

as a function of separation distance between the particle and the surface in a 5 x

10 -4 sodium hydroxide solution. The force measurement results show a purely repulsive interaction force at all separation distances. Measured interaction forces were fitted using the well-known DLVO theory. The electrostatic repulsive forces were fitted using the constant surface potential model as shown in equation 2.30. The published surface potential value of -65 mV 92 and the

-21 Hamaker constant value of A 131 = 8 x 10 J was used. It can be seen from

Figure 3.2 that the measured forces correlated well with the calculated forces

using the DLVO theory. These results confirm that the experimental technique

and procedures were accurate and reproducible using the atomic force

microscope.

Figure 3.2: Measured interaction forces between silica particle and silicon dioxide surface as a function of separation distance in 5 x 10 -4 NaCl solution. 75

3.5. Measurements of Ammonium Hydroxide (NH 4OH) and Hydrogen Peroxide (H 2O2) Concentrations using the Horiba SC-1 Composition Monitor.

A Horiba CS-100C optical monitor was used to continuously and

simultaneously measure the concentrations of ammonium hydroxide (NH 4OH)

and hydrogen peroxide (H 2O2) in APM solutions. Figure 3.3 shows a schematic of the concentration monitor coupled with heating jacket interfaced with a temperature controller (Model # E5CK, Omron Inc).

Figure 3.3: A schematic representation of an experimental set-up to measure NH 4OH and H 2O2 concentrations.

76

The concentrations of individual components of APM solutions were obtained from the intensity of characteristic absorption peaks in the spectra measured at intervals of minimum 2 seconds .

3.5.1. Monitor Specification

This monitor uses the near infrared (NIR) technique. The CS-100C monitor can be operated in three different concentration ranges for ammonium hydroxide and hydrogen peroxide, depending on the APM solution composition, as shown in Table 3.2. For example, concentration data for a conventional 1:1:5

APM solution were collected using range “1” for both NH4OH and H2O2. The CS-

100C monitor can also be used to measure APM solution concentrations at different temperatures; however, heated APM solution is cooled between 24-

27°C through an internal cooling fan before entering the optical cell.

Table 3.2: Recommended measurements ranges for the concentration of ammonium hydroxide, hydrogen peroxide and water in Horiba CS-100C APM composition monitor.

NH 4OH H2O2 H2O

Range 1 0-5% 0-10% 94-100%

Range 2 0.2-0.8 0.2-0.8% 94-100%

Range 3 0-0.3% 0-0.5% 94-10%

77

Hydrogen peroxide and ammonium hydroxide concentrations were also

calculated to compare with the measured concentrations using the optical

monitor. Figure 3.4 shows the comparison between calculated and measured for

1:1:5 APM solutions at 24°, 40°, 50° and 65 °C. Publ ished literature values of

hydrogen peroxide and ammonium hydroxide density at a given temperature

were used to calculate concentrations. The highest error for H2O2 and NH 4OH concentrations were ~15% and 20%, respectively at 65 °C.

Figure 3.4: Measured vs. calculated hydrogen peroxide and ammonium hydroxide concentrations in 1:1:5 APM solutions at different temperatures.

3.5.2. Data Acquisition

CS-measure software (v 6.0.2), which allows the setting of such parameters as concentration, range and time were used to acquire data. A time interval of 2 seconds was set for all measurements in this study. Figure 3.5 78

shows a typical graphical representation of concentrations of ammonium

hydroxide, hydrogen peroxide and water as a function of time in a conventional

1:1:5 APM solution at 65°C. It can be seen from this figure that there was a

change in NH 4OH concentration (70%) as well H2O2 concentration (80%), respectively in four hours.

H2O2

NH 4OH

DI-water

Figure 3.5: Graphical representation of ammonium hydroxide, hydrogen peroxide, and DI-water concentrations measured from a 1:1:5 APM solution at 65°C using the Horiba CS-100C concentration monitor.

79

3.5.3. Experimental Procedure for NH 4OH and H 2O2 Concentration Measurements

All experiments were carried out in 300 mL of DI-water contained in a 500 mL PTFE vessel. A resistively heated jacket interfaced with a temperature controller, as shown in Figure 3.3, was used to heat the solution. Ammonium hydroxide and hydrogen peroxide were then injected by means of a medical grade polypropylene syringe just below the surface of continuously stirred water.

The solution temperature was maintained constant to ± 1°C of the desired temperature within 5 min of adding ammonium hydroxide and hydrogen peroxide.

Experimental solutions were pumped and re-cycled through the monitor using a peristaltic pump (Cole Palmer, Model # EW-07523-80) at a flow rate of 20 mL/min. In the case of iron contaminated APM solution measurements, iron sulfate (FeSO 4) solution was added to DI-water before heating such that the concentration of the Fe 2+ ion was 5 or 10 ppb in the APM solution. Each experiment was repeated at least three times and average values are reported.

Solution pH measurements were performed with a glass electrode using the

OAKTON® pH 5 meter coupled with automatic temperature compensation (ATC) probe. Experiments for hydrogen peroxide decomposition at a given pH value were conducted by adding ammonium hydroxide to adjust the solution pH.

80

CHAPTER 4

RESULTS AND DISCUSSION

This chapter of the dissertation is divided into two sections. In the first section, silicon surface wettability and interaction force measurements between silicon surface and silicon tip in different aqueous solutions (DI-water, aqueous

NH 4OH, H 2O2 and APM solutions) are described. In addition, interaction forces between Si surface and Si tip were theoretically calculated using the electrostatic double layer theory and the JKR model.

In the second section, stability of ammonium hydroxide and hydrogen peroxide in APM solutions is discussed. The concentrations of ammonium hydroxide and hydrogen peroxide were measured as a function of temperature dilution ratio and solution pH. The effect of metal (Fe 2+ ) ion on hydrogen peroxide decomposition is also discussed in this section.

4.1 Interaction Force Measurements between Hydrophobic Silicon Surface and Silicon Tip using Atomic Force Microscopy.

As a first step in this work, wettability of HF treated silicon surface after treatment with DI-water, aqueous ammonium hydroxide, hydrogen peroxide and ammonium hydroxide-hydrogen peroxide (APM) solutions was characterized using contact angle measurements. Figures 4.1a and b show contact angle of different solutions on silicon surfaces as a function of contact time. Water contact angle values of 76° ± 2° and 64° ± 3° were measured after 2 and 10 min of 81

immersion time, respectively, indicating that the surface was hydrophobic in nature. As treatment time increased from 2 to 60 min, the contact angle decreased from 76° ± 2° to 41°± 3°, as a result of nat ive oxide growth. In the case of NH 4OH:H 2O (1:5) solution, contact angle value of 65°± 4° was me asured after 2 min of contact time. Increasing the time to 10 and 60 min resulted in lower contact angle values of 61° ± 3° and 39° ± 7°, respect ively. Similar trends in contact angle were observed for surfaces treated with dilute ammonium hydroxide (1:50 and 1:100) solutions.

Contact angle data collected with aqueous hydrogen peroxide solutions show lower values when compared to DI-water and ammonium hydroxide solutions. The results, displayed in Figure 4.1b, show that the contact angle values of 10° ± 4°, 37° ± 2° and 40° ± 2° were measur ed for 1:5, 1:50 and 1:100 peroxide solutions, respectively after 2 min. As treatment time increased to 10 and 60 min, lower contact angle values, between 25° ± 3° and 20° ± 4° were measured for both 1:50 and 1:100 solutions, whereas 0° (complete wetting) was measured in 1:5 peroxide solution. This decrease in contact angle is due to the initially rapid oxidation (1 Å/sec) of silicon surfaces in aqueous hydrogen peroxide solution. The wettability of hydrophobic silicon surface by ammonium hydroxide-peroxide solutions (APM) ranging from 1:1:5 to 1:1:500 was measured at different contact times (2, 10 and 60 min). Complete wetting was observed in all APM solutions after 2 min, indicating hydrophobic silicon surface was rapidly transformed to a hydrophilic state. 82

a

b

Figure 4.1: Contact angle values for silicon surfaces treated with (a) aqueous ammonium hydroxide and (b) hydrogen peroxide solutions as a function of treatment time.

4.1.1 Interaction Force Measurements between Si Surfaces in DI-water.

In the first series of force measurement experiments, interaction forces were measured between Si surface and Si tip in DI-water (pH ~ 5.8) as a function of immersion time. The approach force curves as a function of separation distance after 2, 10 and 60 min are shown in Figure 4.2a. Clearly, only attractive forces exist between the surface and the tip after 2 and 10 min. The tip jump onto

Si surface occurs at distances of roughly 4.9 and 2.8 nm after 2 and 10 min respectively, as indicated by down arrows in Figure 4.2a. When the immersion time was increased to 60 min, a weak repulsive force was measured starting at a distance of ~ 15 nm. However, ultimately the force becomes attractive and the tip 83

suddenly jumps onto the surface at a distance of ~ 2.0 nm. As shown earlier, measured water contact angle values of hydrophobic silicon surface were 76° and 64° after 2 and 10 min of immersion time. Theref ore, it is reasonable to expect that the hydrophobic Si-H sites are not completely replaced by hydrophilic

Si-OH sites after 2 and 10 min of immersion time. Hence, attractive forces exist between silicon and silicon tip after 2 and 10 min of contact time. After 60 min of immersion time, a contact angle value of 40° was measur ed indicating silicon surface is more hydrophilic in nature due to the formation of native oxide film.

This native oxide film would acquire a negative charge by dissociation of silanol groups (Si-OH) to SiO - and H +.93 Such a changing phenomenon is confirmed by approach force curves results for 60 min of immersion time in DI water that show weak repulsive forces between the surface and the tip.

Adhesion forces between silicon surface and silicon tip in DI-water were measured from the retract curves as shown in Figure 4.2b. It can be seen from this figure that a strong adhesion force of 10.0 nN was measured after short immersion time of 2 min. The results also show that as the immersion time increased from 2 to 10 and 60 min, the adhesion force decreased from ~10.0 nN to 3.0 nN and ~ 1.0 nN respectively. This decrease in adhesion force is due to the oxidation of silicon surface. 84

Figure 4.2: Interaction forces as a function of separation distance between Si surface and Si tip in DI-water (a) Approach force curves and (b) Retract force curves after 2, 10 and 60 min of immersion time.

4.1.2. Interaction Force Measurements between Si Surfaces in NH 4OH:H 2O (1:100) Solution The next set of experiments was carried out in aqueous ammonium hydroxide solutions. The approach force curves between the surface and the tip in NH 4OH:H 2O (1:100) solution (pH ~ 10.4) after 2, 10 and 60 min of immersion time are shown in Figure 4.3a. Repulsive forces were measured starting at a distance of ~ 10 nm after 2 and 10 min. At distances of less than 5 nm, the force becomes attractive, and the tip suddenly jumps onto the silicon surface, as indicated by down arrows in Figure 4.3a. This is in contrast to DI-water where 85

only attractive forces were measured at these immersion times. The repulsive forces in dilute ammonium hydroxide solutions at shorter immersion times can be explained based on higher surface density of Si-O- groups in alkaline ammonia solutions as compared to DI-water.94 After 60 min, presence of repulsive forces between the surface and the tip was measured. At short separation distances of less than 4 nm, the tip suddenly jumps onto surface for all immersion times as indicated by down arrows in Figure 4.3a.

Figure 4.3a: Measured interaction force as a function of distance during approach of a Si surface towards a Si tip in dilute NH 4OH:H 2O (1:100) solution.

Figure 4.3b shows the retract force curves during the retraction of Si tip from the Si surface in NH 4OH:H 2O (1:100) solution as a function of immersion 86

time. A lower adhesion force of 2.0 nN was measured after 2 min of contact time

in dilute ammonia solution when compared to DI-water (~ 10.0 nN). It may be

seen from this figure that there was no significant change in measured adhesion

force values (2.3 and 1.9 nN) after 10 and 60 min of immersion time.

Figure 4.3b: Retract force curves measured in aqueous NH4OH:H 2O (1:100) solution after 2, 10 and 60 min of immersion time.

4.1.3. Interaction Force Measurements between Si Surfaces in H 2O2:H 2O (1:100) Solution

Similar set of experiment between Si surface and Si tip in H 2O2:H 2O

(1:100) solution was also conducted. The approach force results, as displayed in

Figure 4.4a, show that the addition of hydrogen peroxide to DI-water significantly 87

increased the magnitude of repulsive forces when compared with DI-water and

NH 4OH:H 2O (1:100) solution at all immersion times. Repulsive forces were measured at long separation distances after all immersion times. Hydrogen peroxide is a stronger oxidizing agent than aerated ammonium hydroxide and DI water; hence the nature and surface charging behavior of the chemical oxide formed in hydrogen peroxide solution is different compared to that oxide formed in other two aqueous solutions. This is responsible for the higher magnitude of repulsive forces measured between Si surfaces in H 2O2:H 2O (1:100) solution.

However, at separation distances of less than 4 nm, the tip suddenly jumps onto the surface as indicated by down arrows in Figure 4.4a.

Figure 4.4a: Force during approach as a function of separation distance in aqueous H 2O2:H 2O (1:100) solution after 2, 10 and 60 min of immersion time. 88

The retract force curves in a H 2O2:H2O (1:100) solution are shown in

Figure 4.4b. It can be seen that the adhesion force between the surface and the tip decreased from 7.8 nN to 0.8 nN after 60 min of contact time. This decrease in adhesion force is consistent with contact angle measurements that show increased wettability of hydrophobic silicon surface with time in peroxide solutions.

Figure 4.4b: Retract force curves as a function of separation distance in aqueous H2O2:H 2O (1:100) solution after 2, 10 and 60 min of immersion time.

4.1.4. Interaction Force Measurements between Si Surfaces in NH 4OH:H 2O2:H 2O Solutions. In order to understand the combined effect of both components of APM mixture, measurements were also conducted in dilute APM solutions. Figure 4.5a shows the approach force curves as a function of separation distance between Si 89

surface and Si tip in 1:1:100 APM solution after 2, 10 and 60 min of immersion time. Repulsive forces exist at all separation distances for the three different immersion times. The retract curves shown in Figure 4.5b show no adhesion force between the surface and the tip. This behavior is not surprising considering the surface and the tip are completely hydrophilic due to oxide formation.

Figure 4.5: Interaction forces as a function of separation distance in dilute

NH 4OH:H 2O2:H 2O (1:100) solution. (a) Approach force curves and (b) Retract force curves after 2, 10 and 60 min of immersion time.

Figure 4.6 (a) and (b) display approach and retract force curves as a function of separation distance in APM solutions ranging from 1:1:50 to 1:1:500.

Only repulsive forces were measured between silicon surface and silicon tip at all separation distances in the presence of APM solutions of different composition. 90

During retraction, there was no adhesion force measured between silicon surface and silicon tip in APM solutions as dilute as 1:1:500 solution. These results indicate that repulsive forces responsible for the prevention of particle re- deposition during cleaning can be obtained even in very dilute APM solutions.

a b

Figure 4.6: (a) Approach force curves and (b) Retract force curves as a function of separation distance between Si surfaces in APM solutions with different composition ratios after 2 min of immersion time.

4.2. Analysis of Measured Interaction Forces between Silicon Surface and Si Tip.

The consistency in force measurements made during approach and retract curves can be verified by the calculation of effective Hamaker constant

(AH). If it is assumed that the adhesion between the surface and the tip during retraction is due to van der Waals interaction, then it is given by Equation 4.1. 91

A R F = − H T [4.1] vdW 6D 2

where A H is the Hamaker constant, R T is the tip radius, D is the separation

of distance between the tip and the surface at contact. Due to the difficulty in

determining the exact tip radius, the product A H.R T was calculated and used as a metric for determining the measurement consistency. Considering the case of DI- water, it was shown earlier that the adhesion forces between the surface and the tip decreased with time. The product A H.R T was calculated from the measured

values of adhesion force and by assuming a separation distance of 0.5 x 10 -9 m at contact. The adhesion force values and A H.R T are listed in Table 4.1.

Table 4.1: Measured adhesion force and calculated product of the Hamaker constant and tip radius (A H. R T) between silicon surface and silicon tip as a function of immersion time in DI-water.

2 Time (min) Fadhesion (N) AH.R T (N.m )

2 10.5 x 10 -9 1.6 x 10 -26

10 3.0 x 10 -9 4.5 x 10-27

60 1.2 x 10 -9 1.8 x 10 -27

It is also possible to estimate the product A H.R T using experimentally determined jump-in distance in approach force curves. The tip jump onto the 92

surface occurs when the force gradient exceeds the spring constant ( ks) of the

cantilever. The total force of interaction between a surface and a tip is typically

consists of repulsive and attractive components as shown in equation 2.33. By

differentiating the total force with respect to separation distance and equating to

the cantilever spring constant ( ks), the Hamaker constant (AH) can be calculated

as shown in equation 4.2.

3 [k + k k exp( −k D )] 3D jump −in s 1 2 2 jump −in AH = [4.2] RT

In the case where only attractive forces are present between a surface and a tip, A H values can be calculated using van der Waals interaction force, as shown in equation 4.3.

(3 D ) 3 k j ump −in s AH = [4.3] RT

In the case of DI-water, only attractive forces exist, therefore the tip jump onto the surface occurs when the force gradient exceeds the spring constant ( ks) of the cantilever as shown in Equation 4.2. In this equation, the AH.R T is a function of jump-in distance and cantilever spring constant. In approach force curves, the tip jump-in occurs rather abruptly on the surface, as indicated by down arrow in Figure 4.7. It may also be noted that there is only one data point available after jump-in occurs and before the tip making contact with the surface. 93

Therefore, average values of data points represented as “a” and “b” in Figure 4.7 are used in the calculations of jump-in distance.

Figure 4.7: Representation of an abrupt jump-in distance between the silicon surface and silicon tip marked as “a”. The only data point available after tip jump- in and before making contact with the surface is marked as “b”. The average value of point “a” and “b” is used for the calculating the product of the Hamaker constant and tip radius.

Using the jump-in distance values of 4.9, 2.8 and 2.1 nm for 2, 10 and 60 min of immersion time in DI-water respectively, and cantilever spring constant

-1 (k s) value of 0.12 N. m , values of A H.R T were also calculated. Table 4.2 compares AH.R T values calculated from approach and retract force curves. It can 94

be seen from this table that the values of the product A H.R T calculated using both methods are roughly the same and they decrease with an increase in DI-water contact time due to the oxidation of silicon surface.

Table 4.2: Comparison of measured adhesion force and calculated product of the Hamaker constant and tip radius (A H.R T) between silicon surface and silicon tip as a function of immersion time in DI-water.

2 2 Time (min) AH.R T (N.m )-adhesion AH.R T (N.m )-approach

2 1.6 x 10 -26 4.2 x 10 -26

10 4.5 x 10 -27 7.9 x 10 -27

60 1.8 x 10 -27 3.3 x 10 -27

Similar computations were performed for the data collected during

approach in ammonium hydroxide and hydrogen peroxide solutions. In both

solutions, repulsive forces were measured between silicon surfaces at long

separation distances at all immersion times. Therefore, extraction of A H.R T

values calculated from approach force curves need recognition of the fact that

the measured force has both electrical double layer and van der Waals

components. The electrical double layer interaction force, which has an

exponential relation to distance, can be calculated if zeta potential of silicon is

known. Alternatively, based on the work of Israelachivili and Adams, 18 an

exponential line of the function form k exp( −k D) can be fitted to data points in 1 2 95

the range of 5 to 60 nm, where k 1 and k 2 are constants. The total force will then

by given by the expression 4.4.

A R F = k exp( −k D) − H T [4.4] 1 2 6D2

The first term in this equation represents electrostatic double-layer interaction force, and the second term is the attractive van der Waals force. The measured repulsive forces between silicon surface and silicon tip were fitted with an exponential line with correlation coefficient (R 2) values ranging from 0.962 to

0.985. An example of resulting fit to experimental data is shown as solid line in

Figure 4.8.

0.9

0.8

0.7

0.6

0.5 -0.0774x 0.4 y = 1.2072e 2 Force (nN) R = 0.9625 0.3

0.2

0.1

0 0 10 20 30 40 50 60 Distance (nm)

Figure 4.8: An exponential fit to measured repulsive forces between silicon

surface and silicon tip in H 2O2:H 2O (1:100) solution after 2 min of immersion time. Open circles represents the experimental data. A solid line is the fitted exponential line. 96

Calculated values of the product (AH.R T)approach are tabulated in Table 4.3.

Also given in this table are the values of the product (AH.R T)retract calculated from

the retract force curve (adhesion data). Considering the error involved in

estimating the jump-in distance from the approach force curves, the values of

AH.R T calculated using both methods agree well. This validates the consistency

of experimental data. Additionally, the values of A H.R T decrease with increasing

immersion time in both solutions. This may be expected since the effective

Hamaker constant in both solution systems would decrease due to oxidation.

Table 4.3: Comparison of the calculated product of the Hamaker constant and tip radius using the measured adhesion force and total interaction force (attractive and repulsive) between silicon surface and silicon tip as a function of immersion time in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions.

2 2 Time (min) AH.R T (N.m ) retract . AH.R T (N.m )-approach

NH 4OH:H 2O(1:100)

2 3.3 x 10 -27 9.9 x 10 -27

10 3.4 x 10 -27 4.2 x 10 -27

60 2.7 x 10 -27 3.8 x 10 -27

H2O2:H 2O(1:100)

2 1.2 x 10 -26 2.2 x 10 -26

10 4.5 x 10 -27 8.0 x 10 -27

60 1.9 x 10 -27 3.9 x 10 -27

97

Even though the product A H.R T is calculated, the individual values of the

Hamaker constant (A H) and tip radius (R T) are not known. If A H can be estimated, the tip radius can be calculated. At a short immersion time of 2 min in DI water, the substrate can be considered to be nearly oxide-free silicon surface. In this case, the effective Hamaker constant for silicon-water-silicon system can be

-20 calculated to be 9.9 x 10 J from the Hamaker constant of silicon (A 11 : 25.6 x

-20 -20 46 10 J) and water (A 22 : 3.7 x 10 J) using the geometric mean rule. This yields an effective tip radius of ~ 150 x 10 -9 m. This value of tip radius was cross- checked using the data collected in dilute H 2O2 solution after 60 min of contact time, when the surface had developed an oxide layer. In this case, the effective

Hamaker constant for silica-water-silica is calculated to be 8.0 x 10 -21 J. The effective tip radius was then computed to be 150 x 10 -9 m; confirming the consistency of experimental data.

4.3. Comparison of Measured Repulsive Forces to Calculated Forces using Electrostatic Double Layer Theory The measured repulsive forces between silicon surface and silicon tip in aqueous ammonium hydroxide and hydrogen peroxide solutions were also calculated using the electrostatic double-layer (EDL) interaction. A constant potential model was used to calculate the repulsive forces between silicon surfaces. The surface potential values of -40 mV and -70 mV were used for calculations in ammonium hydroxide and hydrogen peroxide solutions, respectively. Based on chemical concentrations, the double layer thickness was 98

-9 calculated to be 26.5 x 10 m for NH 4OH:H 2O (1:100) solution. In the case of dilute hydrogen peroxide solution, measurements were carried out by first injecting H 2O2:H 2O (1:100) solution, which was then replaced with DI-water before capturing force-distance curves. This procedure was used because measurements in dilute hydrogen peroxide solutions were challenging due to the bubble formation caused by peroxide decomposition. Hence, the double layer thickness was calculated to be 40 x 10 -9 m, which corresponds to an electrolyte concentration of 6 x 10 -5 M in DI-water. A comparison of calculated repulsive forces between silicon surface and silicon tip using the electrostatic double-layer force and experimentally measured repulsive forces is shown in Table 4.4.

Table 4.4: Comparison of the calculated electrostatic forces using the electrical double layer model and experimentally measured repulsive forces between silicon surface and silicon tip as a function of immersion time in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions

Time (min) FEDL (nN) Fexp.measured (nN)

NH 4OH:H 2O(1:100)

2 2.6 x 10 -1 1.4 x 10 -1 10 2.9 x 10 -1 2.1 x 10 -1 60 3.7 x 10 -1 4.1 x 10 -1

H2O2:H 2O(1:100)

2 2.8 x 10 -2 8.5 x 10 -1 10 5.2 x 10 -1 2.0 x 10 -1 60 8.5 x 10 -1 3.0 x 10 -1

99

4.4. Comparison of Measured Adhesion Forces to Calculated Forces using JKR Adhesion Force Model. The Johnson-Kendall-Roberts (JKR) 50 force model was used to calculate adhesion force between silicon surface and silicon tip, as shown in Equation 4.5.

F = −3πγ [4.5] R SL

In this equation, γSL is the interfacial tension between solid (S) and liquid

(L). In order to calculate the interfacial tension, the contact angles using DI-water,

diiodomethane (MI) and formamide (FM) were measured and Lifshitz-van der

Waals/acid-base approach was used. Table 4.5 summarizes the contact angle

results for HF-treated silicon surfaces treated with DI-water, NH 4OH:H 2O (1:100),

and H 2O2:H 2O (1:100) solutions.

Table 4.5: Contact angles ( θ) for Si surface treated with DI-water, NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) solutions measured with water ( θw), formamide (θFM ) and diiodomethane ( θMI ) for silicon surfaces.

Time (min) DI-water treated NH 4OH:H 2O(1:100)treated H2O2:H 2O (1:100) treated θW θFM θMI θW θFM θMI θW θFM θMI 2 76±1 45±2 19±1 64±4 43±3 10±7 42±3 19±3 27±2 10 64±2 38±3 25±2 65±3 31±5 19±3 26±3 18±2 28±4 60 41±1 29±2 27±2 39±3 26±2 21±4 22±3 16±4 32±1

These measured contact angle data were further used to calculate the

values of surface free energy components, non-polar and polar (both acidic and

basic) by using equations 2.4 to 2.10, and these are tabulated in Table 4.8. It can 100

be seen from this table that there was no significant change in values of non-

LW + polar (γS ) and acidic component (γS ) of silicon surface free energy at different

treatment times (2 to 60 min). However, the basic component of surface energy

- (γS ) increased from 4 mN/m to 50 mN/m with an increase in treatment time.

- Similar γS values have been reported in literature for HF-treated silicon surfaces

95 treated with other oxidizing solutions such as HNO 3 and H 2SO 4:H2O2. Based on calculated surface energy components (polar and non-polar), the interfacial tension between silicon surface and different solutions was calculated using equation 4.6.

2 LW LW + − + − + − − + γ SL = ( γ S − γ L ) + (2 γ S γ S + γ L γ L − γ S γ L + γ S γ L ) [4.6]

The calculated values are shown in Table 4.6. It can be seen from this table that interfacial tension between silicon surface and aqueous solutions decreased with time due to the oxidation of silicon surface. 101

LW + - Table 4.6: Calculated surface free energy components (γS , γS , γS ) and interfacial tension (γSL ) between silicon surface and different solutions as a function of treatment time. The units of calculated values are in mN.m-1.

DI-water LW + - Time (min) γS γS γS γSL

2 48 2.5 4 32

10 46 4.0 14 12

60 45 3.0 28 2

NH 4OH:H 2O (1:100)

LW + - Time (min) γS γS γS γSL

2 46 4.6 12 19

10 47 8.3 13 17

60 47 2.3 35 0

H2O2:H 2O (1:100)

LW + - Time (min) γS γS γS γSL

2 45 1.0 28 2.0

10 43 6.0 32 1.5

60 43 4.0 49 0

For silicon surfaces treated with DI-water, the interfacial tension values of

32, 16 and 2.0 mN.m -1 for 2, 10 and 60 min of immersion time, respectively were used to calculate the adhesion force using the JKR adhesion force model. Table 102

4.7 compares the calculated and measured adhesion force adhesion force

values in DI-water. The measured adhesion force was normalized with respect to

the effective tip radius of 150 x 10 -9 m. It can be seen from this table that the calculated adhesion forces using the JKR model are roughly the same as the measured adhesion forces.

Table 4.7: Comparison of the calculated adhesion force (F JKR /R) using the JKR model and measured force (F adhesion /R) between silicon surface and silicon tip in DI-water as a function of immersion time.

-1 -1 Time (min) Fadheshion /R (N.m ) FJKR /R (N.m )

2 1.5 x 10 -1 3.0 x 10 -1

10 3.0 x 10 -2 9.0 x 10 -2

60 1.5 x 10 -2 1.8 x 10 -2

Similar computations were performed to calculate the adhesion force

between silicon surface and silicon tip in ammonium hydroxide and hydrogen

peroxide solutions. A comparison of calculated adhesion force values in these

solutions are shown in Table 4.8. It may be noted that the calculated adhesion

forces are ~ 10 times larger than experimentally measured adhesion forces in

ammonium hydroxide solution. This discrepancy is most likely due to a higher

silicon surface roughness in aqueous ammonium hydroxide solutions. Surface

roughness causes the contact between the tip and surface to decrease resulting

in a decrease in the measured interaction forces between two surfaces. 76 In 103

hydrogen peroxide solution, the calculated adhesion forces using the JKR adhesion model are in good agreement with the measured force after 2 and 10 min of contact time. After 60 min, the JKR model predicted no adhesion force, whereas a small adhesion force of 0.8 nN was measured between silicon surface and silicon tip.

Table 4.8: Comparison of the calculated adhesion force (F JKR /R) using the JKR model and measured force (F adhesion /R) between silicon surface and silicon tip in NH 4OH:H 2O (1:100) and H 2O2:H 2O (1:100) as a function of immersion time

Forces Measured in NH 4OH:H 2O (1:100)

-1 -1 Time (min) Fadhesion /R (N.m ) FJKR /R (N.m )

2 1.5 x 10 -2 1.7 x 10 -1 10 1.3 x 10 -2 1.5 x 10 -1 60 1.2 x 10 -2 3.6 x 10 -1

Forces Measured in H2O2:H 2O (1:100)

2 5.0 x 10 -2 2.5 x 10 -2 10 1.3 x 10 -2 1.6 x 10 -2 60 5.3 x 10 -3 (0.8 x 10 -9 N) 0

104

4.6. Brief Summary of Interaction Force Measurements. Using atomic force microscope (AFM), interaction forces between silicon surface and silicon tip in the presence of DI-water, dilute NH 4OH, H 2O2 and

NH 4OH:H 2O2:H 2O (1:1:50 to 1:1:500) solutions were measured after 2, 10 and 60 min of immersion time. The approach force curves results showed attractive forces at short separation distances of less than 10 nm between Si surfaces in

DI-water, NH 4OH and H 2O2 solutions. A strong adhesion force of 10.5 nN was measured in DI-water after 2 min of contact time. Lower adhesion force values were measured in aqueous ammonium hydroxide and hydrogen peroxide solutions. The magnitude of adhesion force decreased with an increase in solution contact time. Theoretically calculated adhesion force using the van der

Waals and the JKR adhesion force models agree reasonably well with the measured adhesion forces between silicon surfaces in different solutions.

105

4.6. Characterization of the Stability of APM Solutions using the Optical Concentration Monitor.

4.6.1. Effect of Temperature on the Stability of APM Solutions.

In the first series of experiments, the concentration of ammonium hydroxide (NH 4OH) and hydrogen peroxide (H 2O2) was measured in 1:1:5 APM solutions as a function of time in the temperature range of 24 to 65 °C. Figures

4.9a and b show the measured ammonium hydroxide and hydrogen peroxide

0 concentrations normalized with respect to initial concentrations ([NH 4OH] and

0 [H 2O2] ) as a function of time. The initial NH 4OH and H 2O2 concentrations were measured to be 0.94 ± 0.1 and 1.65 ± 0.05 mol/L, respectively at all temperatures. These measured concentrations agree well with the calculated concentrations of NH 4OH (0.96 mol/L) and H 2O2 (1.55 mol/L) for 1:1:5 APM solutions. As shown in Figure 4.10a, there was no significant change in NH 4OH concentration at the ambient temperature of 24 °C. Ho wever, increasing the temperature from 24° to 40 °C resulted in an approx imately 30% decrease in ammonium hydroxide concentration in four hours. A similar level of decrease in

NH 4OH concentration was also seen in 1:6 NH 4OH:H 2O at 40 °C, indicating that this change is most likely due to the evaporation loss of ammonium hydroxide.

The decrease in hydrogen peroxide concentration was much higher than that of ammonium hydroxide at all temperatures. As displayed in Figure 106

4.10b, the highest peroxide decomposition of ~80% was measured at an

elevated temperature of 65 °C in a four hour period .

Figure 4.9: Measured concentrations of (a) ammonium hydroxide and (b) hydrogen peroxide in a conventional 1:1:5 APM solutions at 24°, 40°, 50° and 65 °C as a function of time.

4.6.2. Effect of Dilution on the Stability of APM Solutions.

The next set of experiments was carried out in 1:1:50 APM solutions (pH ~

10.5 at 24 °C) at different temperatures. Figures 4.1 0a and b show the measured

concentrations of NH 4OH and H 2O2 in a dilute (1:1:50) APM solution as a function of time at different temperatures. At lower temperatures of 24° and 40 °C, no significant change in hydrogen peroxide concentration (less than 10%) was measured in four hours. However, increasing the solution temperature to 50° and 107

65 °C resulted in a higher change in peroxide concent ration (20-35%) as well as ammonium hydroxide concentration (~70%) in four hours, as displayed in Figure

4.10a. Comparing these results with those obtained for 1:1:5 APM solution, it is clear that the peroxide decomposition and ammonium hydroxide loss in 1:1:50

APM solution was decreased by 15-20 and 3-6 times respectively at 50 and 65

°C.

Figure 4.10: Measured concentrations of (a) ammonium hydroxide (b) hydrogen peroxide in 1:1:50 APM solutions at 24°, 40°, 50° and 65 °C.

4.6.3. Effect of pH on Hydrogen Peroxide Decomposition.

In order to understand the effect of pH on hydrogen peroxide decomposition, measurements were conducted at different pH values. The 108

measurements were conducted by using solutions, which were made by mixing 1

part of NH 4OH with varying amounts of H 2O2 and water in the ratio of 1:5. Figure

4.11 shows the normalized hydrogen peroxide concentration as a function of time at solution pH values of 8.0, 9.0, 9.25, 9.5 and 9.7 at a temperature of 65 °C.

These pH values correspond to APM solutions in the range of 1:1:5 to 1:20:100.

It can be seen from Figure 4.11 that pH (hydroxyl ion concentration) has a significant effect on H 2O2 decomposition in four hours. Increasing the solution pH from 8.0 to 9.7 increased the hydrogen peroxide decomposition from 5% to almost 80% in four hours.

Figure 4.11: Hydrogen peroxide decomposition at 65 °C as a function of time at different pH values.

109

It is pertinent to note that there was a minimal change in pH measured for

all APM solutions at all temperatures in a four hour of period. Additionally, the pH

was also calculated based on relevant chemical equilibria and charge balance,

as shown in equations 4.7 to 4.10.

Kb + - + - NH 4OH NH 4 + OH , where Kb = [NH4 ] [OH ]/[NH 4OH] [4.7]

Ka - + - + H2O2 HO 2 + H , where Ka = [HO 2 ] [H ]/[H 2O2] [4.8]

Kw - + - + H2O OH + H , where Kw = [OH ][H ]/[H 2O] [4.9]

+ + - - [NH4 ] + [H ] = [HO 2 ] + [ OH ] [4.10]

In these equations, [X] denotes the concentration of chemical species and

Kb, Ka and Kw are the equilibrium constants. Solving Equations 4.7 to 4.10 resulted in an expression for the concentration of OH - at a given time as shown in

Equation 4.11, provided the chemical equilibrium values are known.

K K [NH OH ] − b w [OH ] = 4 K [H O ] a 2 2 [4.11]

33 The published literature values of the chemical equilibrium constants ( Kb,

Ka and Kw) and experimentally measured concentrations of NH 4OH and H 2O2 were used to calculate [OH -]. Figures 4.12a and b shows the measured and 110

calculated OH - at different temperatures. It may be noted from eq. 4.11 that the

hydroxide ion concentration at any given time depends on the ratio of NH 4OH

concentration to H 2O2 concentration at that time. Because of this simultaneous

- decrease in the concentrations of H 2O2 and NH 4OH, calculated OH

concentration remained constant in APM solutions at all temperatures

investigated in this study. It can also be seen from this figure that there is

discrepancy between measured and calculated pH values at 65 °C. One possible

reason for this discrepancy is that measuring the pH of APM solution at high

temperature is challenging due to the bubble formation on pH electrode.

6.00E-04 6.00E-04 5.00E-04 5.00E-04

4.00E-04 24C 4.00E-04 24C 40C 40C 3.00E-04 3.00E-04 50C 50C 2.00E-04 65C 2.00E-04 65C calculated [OH-] measured [OH-] 1.00E-04 1.00E-04

0.00E+00 0.00E+00 0 5000 10000 15000 0 5000 10000 15000 Time (sec) Time (sec)

Figure 4.12: Measured and calculated [OH -] for 1:1:5 APM solutions in four hour.

4.6.4. Effect of Fe 2+ ions on the Stability of APM Solutions.

Hydrogen peroxide concentration in APM solutions containing Fe 2+ ions

was also measured as a function of time at different temperatures. The results

obtained at 50 and 65 °C, as displayed in Figures 4.12 a and b, show that the 111

addition of Fe 2+ in APM solutions significantly increased the hydrogen peroxide decomposition. It can also be seen from Figure 4.12b that increasing the Fe 2+ concentration and temperature resulted in an 80% change in peroxide concentration within one hour. Although not shown in these plots, H 2O2

decomposition in iron containing APM solutions at lower temperatures of 24° and

40 °C was higher when compared to APM solutions without Fe 2+ ions. The

2+ addition of Fe had no effect on the decrease of NH 4OH concentration at all temperature investigated.

Figure 4.13: Decomposition of hydrogen peroxide at different Fe 2+ concentrations in APM solutions maintained at 50 and 65 °C.

4.7. Kinetic Analysis of Hydrogen Peroxide Decomposition in APM Solutions. A kinetic analysis was performed to determine the reaction order and rate constants for H 2O2 decomposition in APM solutions. In this analysis, the rate of 112

hydrogen peroxide decomposition at different times was first calculated using the differential method. The experimentally measured H 2O2 concentration data as a function of time were first fitted to an expression of the type

2 2 [H 2O2 ]= at + bt + c , with correlation coefficient (R ) values ranging from 0.988 to 0.996 for all fitted data, as displayed in Figure 4.14.

Figure 4.14: An example of fitted data of hydrogen peroxide concentration vs. time. Open circles represent the experimental data. The solid line is the fitted second-order polynomial.

The rate of peroxide decomposition was then calculated by differentiating this equation with respect to time. The next step was to determine the reaction order and rate constant for hydrogen peroxide decomposition. Results obtained 113

clearly show that peroxide decomposition increased with temperature as well as

pH. If decomposition of hydrogen peroxide in alkaline solution occurs through the

reaction of hydroxyl ions (OH -) with hydrogen peroxide (Equation 2.35), an empirical rate equation can be written as:

− d[H O ] 2 2 = k[H O ]α [OH − ]β [4.11] dt 2 2

In this equation, k is the rate constant and α and ß are the reaction order

- - with respect to H 2O2 and OH , respectively. The concentration of OH was

determined by experimentally monitoring the pH of APM solutions. Since the

hydroxyl ion concentration remained constant in a four hour period, the empirical

rate expression for hydrogen peroxide decomposition can be approximated as

follows:

− d[H2O2 ] α = k [' H2O2 ] dt [4.12]

- ß where k’ is k[OH ] . Plots of log of H 2O2 decomposition rate vs . log of H 2O2 concentration in the time range of 0 to 7200 sec at different pH values, as displayed in Figure 4.15, resulted in straight lines with a slope in the range of 0.9 to 1.2, indicating that the peroxide decomposition follows a first order reaction kinetics with respect to hydrogen peroxide concentration.

114

Figure 4.15: Log-log plots of rate of peroxide decomposition (mol. L-1 sec -1) versus peroxide concentration (mol. L-1) at different solution pH values at 65 °C.

Values of k’ were obtained from the intercept of the fitted experimental data and are shown in Table 1. The order of the reaction with respect to [OH -], β, was calculated from the k’ values and OH - concentration. It may be noted that the ratio of k’ at two different pH values is simply the ratio of [OH -] concentration

raised to the power of β. The calculations yielded β values in the range of 0.96 to

1 with respect to [OH -], as tabulated in Table 4.9.

115

Table 4.9: Rate constant ( k’), [OH -], ratios of rate constants and hydroxyl ions as a function of pH in APM solutions at 65 °C.

-1 - - pH k’(sec ) [OH ] k’ratio [OH ]ratio β

9.0 2.8 x 10 -5 1.0 x 10 -5 2.1 x 10 -1 2.0 x 10 -1 1.0

9.25 5.0 x 10 -5 1.8 x 10 -5 3.5 x 10 -1 3.5 x 10 -1 1.0

9.50 6.3 x 10 -5 2.5 x 10 -5 4.8 x 10 -1 5.0 x 10 -1 0.96

9.70 1.3 x 10 -4 5.0 x 10 -5 - -

Therefore, the overall decomposition of hydrogen peroxide in APM

solutions can be described by the following rate equation:

− d[H2O2 ] 0.1 − 0.1 = k[H2O2 ] [OH ] dt [4.13]

The half-live of hydrogen peroxide in different APM solutions was calculated and is shown in Table 4.10. It can be seen from this table that the half- life values decreased from 150 min to 80 min with an increase in solution pH from 9.5 to 9.7. However, at lower pH values of 9.0 and 9.25, the peroxide decomposition was lower than 50% in four hours.

116

Table 4.10: Hydrogen peroxide half-lives at different pH values and APM solutions at 65°C.

0 pH APM dilution ratio [H 2O2] (mol/L) half life (min)

9.0 1:6:30 1.68 -

9.25 1:3:15 1.65 -

9.50 1:2:10 1.63 150

9.70 1:1:5 1.64 80

Addition of Fe 2+ to 1:1:5 APM solution accelerates the decomposition of

H2O2. If it is assumed that iron acts as a catalyst then the empirical rate law for the decomposition of hydrogen peroxide can be written as:

− d[H2O2 ] γ = k ['' H2O2 ] dt [4.14]

In this equation, k’’ is a function of Fe 2+ concentration and temperature,

and γ is the reaction order with respect to [H 2O2]. Figure 4.17a shows the fitted

data for hydrogen peroxide decomposition versus hydrogen peroxide

concentration in the presence and absence of Fe 2+ ions at 65 °C. Plots are

straight lines with a slope of 1, indicating that the hydrogen peroxide

decomposition follows a first order reaction kinetics with respect to H 2O2

concentration at all Fe 2+ concentrations and temperatures studied. Rate

constants for hydrogen peroxide decomposition were also obtained and they

increased with an increase in Fe 2+ concentration and temperature, as shown in 117

Figure 4.16b. From the rate constant values of different temperature, the

activation energy for H 2O2 decomposition in 1:1:5 APM solution was calculated to

65 kJ/mol in the absence of Fe 2+ and 50 kJ/mol in the presence of Fe 2+ ions.

Figure 4.16: (a) Log-log plots of rate of hydrogen peroxide decomposition and hydrogen peroxide concentration at 0, 5 and 10 ppb Fe2+ in 1:1:5 APM solutions at 65 °C. (b) First order reaction rate constant ( k’’) as a function of Fe 2+ concentration at different APM solution temperatures.

In published literature, data for hydrogen peroxide decomposition in 1:1:5

APM solutions have been fitted with first, second order and a combination of first and second order reaction kinetics. 17, 83 For example, Knotter et al. 17 measured the decomposition of hydrogen peroxide in a conventional 1:1:5 APM solution at different temperatures (30 to 90 °C) and Fe 3+ ion concentrations (0.1 to 10 ppb). 118

In their study, data were taken one hour after adding hydrogen peroxide and

ammonium hydroxide because of an initial increase in solution temperature

resulting from the exothermic nature of peroxide decomposition reaction. They

regressed the data obtained in the presence of Fe 3+ to fit an equation of this type:

d[H 2 O2 ] 2. -1 − = k [H O ] + k [H O ] . The values of the rate constants for k1 (s ) dt 1 2 2 2 2 2

-1 -1 -4 -7 and k2 (L mol .s ) were in the range of 10 to 10 depending on temperature and Fe 3+ ion concentration. In the absence of Fe 3+ ion, the decomposition of peroxide followed a second order kinetics. In this present study, the rate constants for H 2O2 decomposition in 1:1:5 APM at different temperatures were in the range of 10-5 to 10 -6 s-1 and 5 x 10 -5 to 5 x 10 -4 s-1 in the presence of Fe 2+ ion concentrations. These rate constants are in agreement with the values reported in published literature.

The activation energy for peroxide decomposition in 1:1:5 APM solution has been reported in the range of 80 to 170 kJ/mol. In the case of iron catalyzed decomposition, activation energies of 65 and 70 kJ/mol were calculated for first and second order reactions, respectively. In this study, the apparent activation energy of H 2O2 decomposition was calculated to be 65 ± 3 kJ/mol. In the presence of Fe 2+ ion, the activation energy was reduced to 50 ± 5 kJ/mol. 119

4.8. Brief Summary for the Stability of APM Solutions.

The stability of APM solutions was investigated by simultaneously monitoring the concentrations of ammonium hydroxide and hydrogen peroxide as a function of temperature, dilution ratio, solution pH and Fe 2+ concentration. The results show hydrogen that peroxide decomposition increased with an increase in temperature, solution pH and Fe 2+ concentration. The kinetic analysis showed that the peroxide decomposition follows first order reaction kinetics with respect

- to both H2O2 and OH concentrations in all APM solutions. In the presence of iron, peroxide decomposition was first order with respect to peroxide concentration. 120

CHAPTER 5

CONCLUSIONS AND FUTURE WORK

5.1. Interaction Force Measurements using Atomic Force Microscope (AFM)

Using atomic force microscope (AFM), interaction forces between silicon

surface and silicon tip were measured in the presence of DI-water, dilute

NH 4OH:H 2O (1:100), H2O2:H 2O (1:100) and NH 4OH:H 2O2:H 2O (1:1:50 to 1:1:500) solutions after 2, 10 and 60 min of immersion time. Measurements made during approach force showed attractive forces at short separation distances of less than 10 nm between Si surfaces in DI-water, NH 4OH and H 2O2 solutions. A strong adhesion force of ~10.0 nN was measured in DI-water after 2 min of contact time. Lower adhesion force values were measured in aqueous ammonium hydroxide and hydrogen peroxide solutions. The magnitude of adhesion force in DI-water, ammonium hydroxide and hydrogen peroxide solutions decreased with an increase in contact time. Theoretically calculated adhesion force using the van der Waals and the JKR adhesion force models correlate well with the measured adhesion forces between silicon surfaces in different solutions.

The silicon-silicon interaction in APM solutions showed purely repulsive forces at all separation distances within 2 min of immersion time. At all separation distances, the magnitude of repulsive forces was roughly the same in 121

dilute APM solutions in the range of 1:1:50 to 1:1:500. This indicates that

repulsive forces responsible for the prevention of particle re-deposition during

cleaning can be obtained in APM solutions as dilute as 1:1:500 solutions.

5.2. Stability of Ammonium Hydroxide-Hydrogen Peroxide Solutions (APM) using an Optical Spectroscopy.

The stability of ammonia-peroxide mixtures (APM) was investigated by

simultaneously monitoring the concentrations of ammonium hydroxide and

hydrogen peroxide as a function of temperature (24 - 65 °C), dilution ratio (1-1-5 -

1:2:100) and Fe 2+ ion concentration (0 - 10 ppb) using an optical concentration monitor. The results show hydrogen that peroxide decomposition increased with an increase in temperature, Fe 2+ ion. The decomposition rate of hydrogen peroxide increased with an increase in solution pH in the range of 8.0 to 9.7. The kinetic analysis showed that the H 2O2 decomposition reaction follows a first order

- with respect to both H 2O2 and OH concentrations. In the presence of iron,

hydrogen peroxide decomposition follows first order reaction kinetics with respect

to H 2O2 concentration. The calculated rate constant increased with an increase in

2+ temperature and Fe ion concentrations. The apparent activation energy of H 2O2 decomposition was calculated to be 65 ± 3 kJ/mol. In the presence of Fe 2+ ion,

the activation energy was reduced to 50 ± 5 kJ/mol.

122

5.3. SUGGESTIONS FOR FUTURE WORK On the basis of the research that was conducted for this dissertation, the following areas for future research are suggested:

1. Investigate the interaction forces between silicon surface and silicon tip

in dilute APM solutions at high temperature ranging from 40° to 65 °C.

2. Study the interaction forces between different materials such as a

silicon nitride tip (commonly used contaminant standard) and silicon

surface in dilute APM solutions at different solution temperatures and

immersion times.

3. An attempt should be made to configure the Horiba CS-100C APM

solution monitor for the measurements of ammonium hydroxide and

hydrogen peroxide in further dilute APM solutions ranging from 1:1:200

to 1:1:500.

4. Investigate the effect of chelating agents on the stability of ammonium

hydroxide and hydrogen peroxide. 123

REFERENCES

1. Moore, G., Cramming more components onto integrated circuits Electronics 1965, 38 (8).

2. Saraswat, K.; Chui, C. O.; Krishnamohan, T.; Kim, D.; Nayfeh, A.; Pethe, A., High performance germanium MOSFETs. Material Science and Engineering 2006, B 135 , 242-249.

3. Reinhardt, K. A.; Kern, W., Handbook of Semiconductor Cleaning Technology . 2007.

4. Keswani, M.; Raghavan, S.; Deymier, P.; Verhaverbeke, S., Megasonic cleaning of wafers in electrolyte solutions: Possible role of electro-acoustin and cavitation effects. Microelectronic Engineering 2009, 86 , 132-139.

5. Moringa, H.; Itou, A.; Mochizuki, H.; Ikemoto, M. In Additive technologies for sub 100 nm device cleaning , Electrochemical Society 2004; pp 370-377.

6. Yamamoto, K.; Nakamura, A.; Hase, U., Control of cleaning performance of an ammonia and hydrogen peroxide mixture (APM) on the basis of a kinetic reaction model. IEEE Transaction of Semiconductor 1999, 12 (3), 288-294.

7. Kern, W., The evolution of silicon wafer cleaning technology. Journal of Electrochemical Society 1990, 137 , 1887-1892.

8. ITRS, The International Technology Roadmap for Semiconductor 2007. 9. Nishi, Y.; Doering, R., Handbook of semiconductor manufacturing technology . Marcel Dekker New York, 2000.

10. Keswani, M. Megasonic cleaning of wafers in electrolyte solutions: Possible role of electro-acoustic and cavitation effects. Ph.D, University of Arizona, Tucson, 2008.

11. G.Bersuker; J.Guan; G.Gale; P.Lysaght; Riley, D.; H.Huff, Parametric reliability test: wafer surface contamination study. IEEE Transaction of Semiconductor 2002 , 28-31.

12. Liu, Y.-J.; Yu, H.-Z., Effect of organic contamination on the electrical degradation of H-terminated Silicon upon exposure to air under ambient conditions. Journal of The Electrochemical Society 2003, 150 (12), G861-G865.

124

13. Kern, W.; Puotinen, A. D., Cleaning solutions based on hydrogen peroxide for use in silicon semiconductor technology. RCA Review 1970, 31 , 187-206.

14. Keswani, M. Megasonic cleaning of wafers in electrolyte solutions: Possible role of electro-acoustic and cavitation effects. Unviersity of Arizona, Tucson, 2008.

15. Lee, K. T.; Raghavan, S., Etch rate of silicon and silicon dioxide in ammonia-peroxide solutions measured by quartz crystal microbalance. Electrochemical and solid-state letters 1999, 2 (4), 172-174.

16. Qin, K.; Li, Y., Mechanisms of particle removal from silicon wafer surface in wet cleaning process. Journal of Colloid and Interface Science 2003, 261 , 569- 574.

17. M.Knotter; Gendth, S. d.; Mertens, P.; Heyns, M. M., Silicon surface roughening mechanisms in ammonia hydrogen peroixde mixtures Journal of Electrochemical Society 2000, 147 (12), 736-740.

18. Israelachvili, J.; Adams, G., Measurement of forces between two mica surfaces in aqueous electrolyte solutions in the range of 0-100 nm Journal of Chemical Society Faraday Transactions 1 1977, 74 (1), 975-1001.

19. Parker, J. L.; Christenson, H. K.; Ninham, B. W., Device for measuring the force and separation between two surfaces down to molecular separations. Review Scientific Instruments 1989, 60 (10), 3135-3138.

20. W.Ducker; Senden, T. J.; Pashley, R., Measurement of forces in liquids using atomic force microscope. Langmuir 1992, 8, 1831-1836.

21. Butt, H. J.; Cappella, B.; Kappl, M., Force measurements with the atomic force microscope technique, interpretation and applications. Surface Science Report 2005, 59 , 1-152.

22. Drummond, C. J.; Senden, T. J., Examination of the geometry of long- range tip-sample in atomic force microscopy. Colloids and Surfaces A: Physicochemical and Engineering Aspects 1994, 87 , 217-234.

23. Knotter, M.; Gendt, S. d.; Mertens, P.; Heyns, M., In-homogeneous precipitation of Iron from SC-1 solutions. Material Research Society Symposium Proceeding 1997, 477 , 63-68.

125

24. Pelletier, M. J.; Davis, K. L.; Carpio, R. A., Application of Raman spectroscopy for SC-1 processing bath concentration control. Electrochemical Society Symposium Proceeding 1995, 95 (4), 282-291.

25. Ohmi, T. In Advanced wet chemical cleaning for future ULSI fabrication , Electrochemical Society Symposium Ruzyllo, J.; Novak, R. E., Eds. 1994; pp 3- 14.

26. Walker, P.; Tarn, W. H., Handbook of etchants for metals and metallic compounds . Boca Raton, 1990.

27. Christenson, K. K.; Butterbaugh, J. W.; Wagener, T. J.; Lee, N. P.; Schwab, B.; Fussy, M.; Diedrick, J., All wet stripping of implanted photoresist. UCPSS 2006 .

28. Kim, Y. J.; Lee, J. H.; k.J.Seo; C.R.Yoon; Roh, E. S.; Cho, J. K.; Hattori, T., Stripping and cleaning of high dose ion-implant photoresists using a single- wafer, single chamber dry/wet hybrid system. Solid State Phenomena 2009, 145-146 , 269-272.

29. Chabal, Y. J.; Higashi, G. S.; Raghavachari, K., Infrared spectroscopy of Si (111) and Si (100) surfaces after HF-treatment: Hydrogen termination and surface morphology. Journal of Vacuum Science Technology 1989, 7 (3), 2104- 2109.

30. Trucks, G. W.; Raghavachari, K.; Higashi, G. S.; Chabal, Y. J., Mechanism of HF etching of silicon surfaces: A theoretical understanding of hyrogen passivation. Physics Review Letter 1990, 65 (4), 504-507.

31. Okorn-Schmidt, H. F., Characterization of silicon surface preparation processes for advanced gate dielectrics. IBM Journal of Research and Development 1999, 43 (3), 351-365.

32. Weinberg, B. R.; Peterson, G. G.; Eschrich, T. C.; Krasinski, H. A., Surface chemistry of HF passivated silicon:X-ray photoelectron and ion scattering spectroscopy results. Journal of Applied Physics 1986, 60 (9), 3232- 3235.

- 33. Verhaverbeke, S.; Parker, J. W.; McConnell, C. F., The role of H 2O in SC- 1 cleaning solutions. Material Research Society Symposium Proceeding 1997, 477 , 47-56.

126

34. Kashkoush, I.; Brause, E.; Grant, R.; Novak, R., Particulate removal from silicon substrates in megasonic-assisted dilute SC1 chemistry. Material Research Society Symposium Proceeding 1997, 477 , 21-26.

35. Hattori, T., Ultraclean surface processing of silicon wafers . 1 ed.; Springer Publishing: New York, 1998.

36. Tomita, N.; Adachu, S., Characterization of Si (111) surfaces treated in NH 4F and NH 4HF 2 solutions. Journal of Electrochemical Society 2002, 149 (4), G245-G250.

37. Uyama, Y.; Inoue, H.; Ito, K.; Kishida, A.; Ikada, Y., Comparison of different methods for contact angle measurement. Journal of Colloid and Interface Science 1990, 141 (1), 275-279.

38. Adamson, A. W.; Gast, A. P., Physical Chemistry of Surfaces . Sixth ed.; John Wiley & Sons, Inc.: New York, 1997.

39. Oss, C. J. V.; Chaudhury, M. K.; Good, R. J., Interfacial Lifshitz-van der Waals and polar interactions in macroscopic systems. Chemical Reviews 1988, 88 , 927-941.

40. Oss, C. J. V., Interfacial forces in aqueous media . Marcel Dekker Inc.: New York, 1994.

41. Chyan, O. M. R.; Wu, J.; Chen, J. J., Comparative studies of H-termination on single crystal silicon surfaces by FT-IR and contact angle measurements. Applied Spectroscopy 1997, 51 (12), 1905-1909.

42. Guhathakurta, S.; Subramanian, A., Effect of hydrofluoric acid in oxidizing acid mixtures on the hydroxylation of silicon surface. Journal of Electrochemical Society 2007, 11 (154), P136-P146.

43. vanderWaals, J. On the continuity of the gas and liquid state. Ph.D, University of Leiden, 1873.

44. Visser, J., Adhesion of Colloidal Particles in Surface and Colloid Science . Wiley: New York, 1976.

45. Hamaker, H. C., The London-Van der Waals attraction between spherical particles. Physica IV 1937, 10 , 1058-1070.

46. Israelachvili, J., Intermolecular and surface forces . Elsevier Science and Technology Books: London, 1992. 127

47. Hiemenz, P. C.; Rajagopalan, R., Principles of colloid and surface chemistry . Third Edition ed.; Mercel Dekker: New York 1997.

48. R.Vos; Lux, M.; Xu, K.; Fyen, W.; Kenens, C.; Conard, T.; Mertens, P.; Heyns, M.; Hatcher, Z.; Hoffman, M., Removal of submicrometer particles from silicon wafer surfaces using HF based cleaning mixtures. Journal of Electrochemical Society 2001, 12 (148), G683-G691.

49. Krupp, H., Particle adhesion theory and experiment. Advances in Colloid and Interface Science 1967, 1 (2), 111239.

50. Johnson, K. L.; Kendall, K.; Roberts, A. D., Surface energy and the contact of elastic solids. Proceeding of the Royal Society A 1971, 324 , 301-313. 51. Rumpf, H., Particle Technology . Chapman and Hall: London, 1990.

52. Rabinovich, Y.; Adler, J.; Ata, A.; Singh, R.; Moudgil, B., Adhesion between nanoscale rough surfaces. I. role of asperity geometry. Journal of Colloid and Interface Science 2000, 2323 , 10-16.

53. Cooper, K.; A.Gupta; S.Beaudoin, Simulation of particle adhesion- implications in chemical mechanical polishing and post chemical mechanical polishing cleaning. Journal of Electrochemical Society 2001, 148 (11), G662- G667.

54. R.Sprycha, Electrical double Layer at alumina/electrolyte interface: I. surface charge and zeta potential. Journal of Colloid and Interface Science 1989, 127 (1), 1-11.

55. Siu, W. M.; Cobbold, R., Basic properties of the electrolyte-SiO 2-Si system: Physical and theoretical Aspects. IEEE Transaction of Semiconductor 1979, 26 (11), 1805-1815.

56. Hogg, R.; Healy, T. W.; Fuerstenau, D. W., Mutual coagulation of colloidal dispersions. Transactions Faraday Society 1965, 62 , 1638-1651.

57. Chilkunda, R. Fundamental aspects of particulate contamination of tungsten and thermal oxide wafers during chemical mechanical polishing. Ph.D, University of Arizona, Tucson, 1997.

58. Butt, H.-J.; Cappella, B.; Kappl, M., Force measurements with the atomic force microscope: Technique, interpretation and applications. Surface Science Report 2005, 59 , 1-152. 128

59. Briggs, S.; Mulvaney, P., Measurement of the forces between gold surfaces in water by atomic force microscopy. Journal of Chemical Physics 1994, 100 (11), 8501-8505.

60. Rotsch, C.; Radmacher, M., Mapping local electrostatic forces with the atomic force microscope. Langmuir 1997, 13 , 2825-2832.

61. Aoki, T.; Hiroshima, M.; Kitamura, K.; Tokunaga, M.; Yanagida, T., Non- contact scanning probe microscopy with sub-piconewton force sensitivity. Ultramicroscopy 1997, 70 , 45.

62. R.Jones; Pollock, H.; Cleaver, J.; Hodges, C., Adhesion forces between glass and silicon surfaces in air studied by AFM:Effects of relative humidity, particle size, roughness and surface treatment. Langmuir 2002, 18 , 8045-8055.

63. Sokolov, I.; Ong, Q. K.; Shodiev, H.; Chechik, N.; James, D.; Oliver, M., AFM study of forces between silica, silicon nitride and polyurethane pads. Journal of Colloid and Interface Science 2006, 15 (2), 475-481.

64. Gotzinger, M.; Peukert, W., Dispersive forces of particle-surface interactions:direct AFM measurements and modelling. Powder Technology 2003, 130 (1-3), 102-109.

65. Kappl, M.; Butt, H.-J., The colloidal probe technique and its application to adhesion force measurements. Particle and Particle Systems Characterization 2002, 19 , 129-143.

66. Tabrizi, M.; Kappl, M.; Cheng, Y.; Gutmann, J.; Butt, H.-J., On the adhesion between fine particles and nanocontacts:An atomic force microscope study Langmuir 2006, 22 , 211-2184.

67. Hartley, P. G.; Larson, I.; Scales, P. J., Electrokinetic and direct force measurements between silica and mica surfaces in dilute electrolyte solutions. Langmuir 1997, 13 , 2207-2214.

68. Toikka, G.; Hayes, R. A., Direct measurement of collidal forces between mica and silica in aqueous electrolyte. Journal of Colloid and Interface Science 1997, 191 , 102-109.

69. Larson, I.; Drummond, C. J.; Chan, D. Y. C.; Greiser, F., Journal of Physical Chemistry 1995, 99 (2114).

70. Park, J.-G.; Lee, S.-H.; Ryu, J.-S.; Hong, Y.-K.; Kim, T.-G.; Busnaina, A. A., Interfacial and electrokinetic characterization of IPA solutions related to 129

semiconductor wafer drying and cleaning. Journal of The Electrochemical Society 2006, 153 (9), G811-G814.

71. Chen, Z.; Singh, R. K., Mechanism of particle deposition on silicon surface during dilute HF cleans. Journal of The Electrochemical Society 2003, 150 (11), G667-G672.

72. Basim, B.; Vakarelski, I. U.; Moudgil, B. M., Role of interaction forces in controlling the stability and polishing performance of CMP slurries. Journal of Colloid and Interface Science 2003, 263 , 506-515.

73. Donose, B. C.; Taran, E.; Vakarelski, I. U.; Shinto, H.; Higashitani, K., Effects of cleaning procedure of silica wafers on their frictioin characteristics. Journal of Colloid and Interface Science 2006, 299 (11), 233-237.

74. Freitas, A. A.; Sharma, M. M., Effect of surface hydrophobicity on the hydrodynamic detachment of particles from surfaces. Langmuir 1999, 15 , 2466- 2476.

75. K. Cooper , A. G. a. S. B., Substrate Morphology and Particle Adhesion in Reacting System. Journal of Colloid and Interface Science 2000, 228 , 213-219.

76. Cooper, K.; Gupta, A.; Beaudoin, S., Substrate morphology and particle adhesion in reacting system Journal of Colloid and Interface Science 2000, 228 , 213-219.

77. Hong, Y.-K.; Eom, D.-H.; Lee, S.-H.; Kim, T.-G.; Park, J.-G.; Busnaina, A., The effect of additives in post-Cu CMP cleaning on particle adhesion and removal. Journal of Electrochemical Society 2004, 151 (11), G756-G761.

78. Eichenlaub, S.; Chan, C.; Beaudoin, S., Hamaker constants in integrated circuit metalization. Journal of Colloid and Interface Science 2002, 248 , 389-397.

79. Abbott, J.; Brown, D. G., Kinetics of iron-catalyzed decomposition of hydrogen peroxide in alkaline solution. International Journal of Chemical Kinetics 1990, 22, 963-974.

80. Abbot, J.; Brown, D. G., Stabilization of iron-catalyzed hydrogen peroxide decomposition by magnesium. Canadian Journal of Chemistry 1990, 68 , 1537- 1543.

81. Laat, J. D.; Gallard, H., Catalytic decomposition of hydrogen peroxide by Fe(III) in homogeneous aqueous solution: mechanism and kinetic modeling. Environmental Science Technology 1999, 33 , 2726-2732. 130

82. Lin, S. S.; Gurol, M. D., Catalytic decomposition of hydrogen peroxide on iron oxide: Kinetics, mechanism and implications. Environmental Science Technology 1998, 32 , 1417-1423.

83. Meerakker, J. v. d.; Straaten, M. v. d., A mechanistic study of silicon etching in NH 3/H 2O2 cleaning solutions. Journal of Electrochemical Society 1990, 137 (4), 1239-1243.

84. Evans, D. F.; Upton, M. W., Studies on singlet oxygen in aqueous solution. Part 4. The sponteaneous and catalysed decomposition of hydrogen peroxide. Journal of Chemical Society Faraday Transactions 1985 , 2525-2529.

85. Galbacs, Z. M.; Csanyi, L. J., Alkali-induced decomposition ofhydrogen peroxide. Journal of Chemical Society Dalton Transactions 1983 , 2353-2357.

86. Haber, F.; Weiss, J., The catalytic decomposition of hydrogen peroxide by iron salts. Proceedings of the Royal Society of London 1934, 147 (861), 332-351. 87. Barb, W. G.; Baxendale, J. H.; George, P.; Hargrave, K. R., 1951 .

88. Gale, G. W.; Rath, D. L.; Cooper, E. I.; Estes, S.; Okorn-Schmidt, H. F.; Brigante, J.; Jagannathan, R.; Settembre, G.; Adams, E., Enhancement of Semiconductor Wafer Cleaning by Chelating Agent Addition. Journal of The Electrochemical Society 2001, 148 (9), G513-G516.

89. Caprio, R.; Soucy, J.; Peterman, S.; Jahanbani, M., Contamination control and defect reduction in semiconductor manufacturing. Electrochemcial Society Symposium Proceedings 1994, III .

90. Pelletier, M. J.; Davis, K. L.; R.A.Caprio, Applications of Raman spectroscopy for SC-1 processign bath concentration control. Electrochemcial Society Symposium Proceedings 1995, 95 (4), 282-291.

91. Larson, I.; Drummond, C. J.; Chan, D. Y. C.; Grieser, F., Direct force measurements between silica and alumina. Langmuir 1997, 13 , 2109-2112.

92. Donose, B. C.; Taran, E.; Vakarelski, I. U.; Shinto, H.; Higashitani, K., Effects of cleaning procedures of silica wafers on their friction charectristics. Journal of Colloid and Interface Science 2006, 299 , 233-237.

93. Stumm, W., Aquatic chemistry: Chemical processes at the particle-water interface . John Wiley and Sons Inc: New York, 1987.

131

94. Seidel, H.; Cspregi, L.; Heuberger, A.; baumgartel, H., Anisotropic etching of crystalline silicon in alkaline solutions. Journal of The Electrochemical Society 1990, 137 (11), 3626-3632.

95. Guhathakurta, S.; Subramanian, A., Effect of hydrofluoric acid in oxidizing acid mixtures on the hydroxylation of silicon surface. Journal of The Electrochemical Society 2007, 154 (11), P136-P146.