<<

KLA Investor Day 2019 New York City

September 17, 2019 Safe Harbor

This presentation contains certain forward-looking statements within the meaning of Section 27A of the Securities Act of 1933 and Section 21E of the Securities Exchange Act of 1934. Except as otherwise specifically indicated herein, the information included in this presentation is as of the date it is delivered. These forward-looking statements, including statements regarding (i) calendar 2019 and/or calendar 2019-2023 estimates of: total revenues, EPS and diluted EPS, gross margin, operating margin, return on invested capital, adjusted EBITDA, R&D as a percentage of sales and operating expenses, revenue growth, data center and automotive semiconductor growth CAGR, SAM, R&D investments, free cash flow, revenue CAGR from semiconductor process control, process control revenue and revenue mix, WFE industry CAGR, service revenue, revenue mix, revenue CAGR and operational statistics, service revenue, addressable market for service, Orbotech revenue, revenue CAGR, revenue split and R&D as a percentage of revenue, PCB, FPD and SPTS revenue and revenue CAGR, Orbotech’s total addressable market, advanced packaging SAM, SPTS EFEM annualized cost savings, auto electronics semiconductor revenue growth and CAGR, process control growth in auto electronics and associated CAGR, growth opportunity in auto electronics, ratio of gross debt to EBITDA, uses of cash and dividend increases, achievement of synergy targets, and (ii) the number of high tech jobs to be generated in the state of Michigan over the next five years, which are subject to risks and uncertainties. For the factors that may cause actual results to differ materially from those projected and anticipated in the forward-looking statements in this presentation, please refer to the Company’s annual report on Form 10-K for the year ended June 30, 2019, subsequently filed quarterly reports on Form 10-Q and other filings with the Securities and Exchange Commission (including, without limitation, the risk factors described therein). The Company assumes no obligation to, and does not currently intend to, update these forward-looking statements.

2 KLA Non-Confidential | Unrestricted Today’s Agenda

8:30 a.m. WELCOME & OPENING REMARKS 9:45 a.m. SERVICES OVERVIEW Ed Lockwood Brian Lorig Senior Director, IR EVP, Global Support & Services

KLA OVERVIEW & STRATEGIC INITIATIVES ORBOTECH OVERVIEW Rick Wallace Oreste Donzella CEO & President EVP & CMO

SYSTEMS OVERVIEW OPPORTUNITIES IN AUTOMOTIVE ELECTRONICS Ahmad Khan Oreste Donzella President, KLA Semiconductor Process Control EVP & CMO

9:35 a.m. Break FINANCIAL FRAMEWORK & OUTLOOK Bren Higgins EVP & CFO

CLOSING REMARKS Rick Wallace CEO & President

11:45 a.m. Q&A

12:30 p.m. Lunch

3 KLA Non-Confidential | Unrestricted KLA Overview and Strategic Initiatives Rick Wallace | CEO and President Key Messages

Global leader in process control and supplier of process-enabling solutions 1. for the data era

Sustained technology leadership allows us to remain at forefront of industry trends with new capabilities and technologies, enabling our 2. profitable growth strategy

Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, significant R&D investments, and differentiated solutions 3. to solve our customers’ most complex challenges

Experienced and energized leadership team utilizing the KLA Operating Model to instill a high-performance culture driving 4. efficiency and operating performance

Track record of strong cash flow generation supported by diversification of revenue streams; balanced capital allocation delivering superior 5. shareholder value

5 KLA Non-Confidential | Unrestricted Well Positioned as a Global Leader in the “Data” Era

PC ERA NETWORK ERA INTERNET ERA MOBILE ERA DATA ERA $600

$500

$400

$300

$200

$100 Semiconductor Industry Revenue ($B) Revenue Industry Semiconductor

$-

1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023

1990 2000 2010 2020

6 KLA Non-Confidential | Unrestricted Sources: Industry data and Company estimates. More Complexity & Semiconductor Devices Drive Greater Demand

MEGA TRENDS ACROSS… …KEY INDUSTRIES

ARTIFICIAL 5G MOBILE DATA CENTER AUTOMOTIVE INTELLIGENCE CONNECTIVITY

▪ Core engine of KLA ▪ Embedded in technologies ▪ #1 consumer of ▪ High growth industry ▪ Secular transformation with products’ performance in the next 5 years semiconductor ($100B+ / year) ▪ Strong driver of leading- connectivity/autonomous/ ▪ Clear opportunities as a ▪ Building infrastructure ▪ >30% growth of edge semiconductor electrification user and supplier increases demand for KLA semiconductor content in ▪ End customers designing ▪ Fastest growing ▪ Expanding the scope of ▪ Mobile implementation in future 5G phones their own chips semiconductor segment advanced logic nodes 2021E-2023E, driving new ▪ Leading with new ▪ Strategic collaborations to ▪ Highest semiconductor ▪ Significant inflections in smartphone cycle technology inflections influence semiconductor quality and reliability packaging via multi-chip ▪ Significant opportunities ▪ Highest process control supply chain standards integration across our entire portfolio intensity industry

KLA is Differentiated with Solution Centric and Product Agnostic Approach

7 KLA Non-Confidential | Unrestricted Sources: Gartner, Yole and Company estimates. KLA at a Glance (NASDAQ: KLAC)

KEY STATISTICS1 2019E REVENUE SPLIT FOUNDED 1976 by Business by Region

HEADQUARTERS Milpitas, CA by Market 6%4% 26% 7% 12% EMPLOYEES ~10,000 13% 25% 75% 13% COUNTRIES 18 26% 80% 13% MARKET-CAP2 $23.8B

REVENUE3 $5.1B Systems Semiconductor Process Control Taiwan Mainland China Services Printed Circuit Board, Display, Components Korea U.S. 3 Semiconductor Specialty Process ADJ. EBITDA $1.9B Japan EU

R&D (% of Sales) 15% SEA

Diversified Global Leader in Electronics Value Chain

8 KLA Non-Confidential | Unrestricted 1 As of 6/30/19 unless otherwise noted; 2 As of 9/12/19; 3 Based on 2019E consensus estimates as of 9/12/19. Our Shared Purpose Across KLA Continues to Define Us

MISSION STRATEGY OBJECTIVES

Improve customer yield, Provide leading technologies, Achieve world-class results in performance and time-to- differentiated solutions and market leadership, product market, enabling the superior customer experiences differentiation and operational data era through collaboration, excellence with our diverse innovation and execution and inclusive global organization

9 KLA Non-Confidential | Unrestricted Corporate Social Responsibility and Sustainability is in Our DNA Reducing overall environmental impact by focusing on improving yield and efficiency for our customers

Sustainable Environmental Initiatives Community Programs & Initiatives Corporate Governance ▪ Transportation: Avoiding wasted space and ▪ KLA Foundation: Inspires individual ▪ Board of Directors: 12 members saving fuel by utilizing custom tailored and philanthropy and supporting programs ▪ Diversity: Seasoned board comprised of collapsible containers in product shipments focusing on health/wellness, social services, independent business leaders, 25% female 1 and educational programs and institutions ▪ Energy & Emissions : Saving 80,000 metric ▪ Board Tenure: Well balanced tenure of tons of CO2 over the next five years from ▪ CSR Award: KLA Singapore received CARES independent directors, with six directors recent switch to third-party provider of energy Award from American Chamber of Commerce serving < 10 years, and six directors serving with nearly 100% sourced from renewable for our efforts in charity and volunteering > 10 years energy ▪ Key Initiatives: ▪ Management Aligned with Shareholders: ▪ Waste: Improved diversion rate to over 80%, ▪ StandUp For Kids Compensation of management and board up from 50% a decade ago ▪ SEMI Hi Tech U at KLA aligned with shareholder interests ▪ Supply Chain Environmental & Social ▪ Hsiang-Tien Elementary School Programs Responsibility Management: Requiring ▪ STEM Robotics Competition suppliers to meet more stringent sustainability criteria as a member of Responsible Business ▪ Disaster Relief Alliance (RBA) ▪ Urbanek Scholarships

10 KLA Non-Confidential | Unrestricted 1 Note: statistics are for the Milpitas, CA facility. Seasoned, Internally-Developed Leadership Team Driving Results

RICK WALLACE BREN HIGGINS ORESTE DONZELLA AHMAD KHAN BRIAN LORIG CEO & President EVP, CFO EVP, CMO President, KLA Semiconductor EVP, Joined: 1988 1999 1999 Process Control Global Support & Services 2004 1998

BEN TSAI TERI LITTLE JOHN VAN CAMP BOBBY BELL EVP, CTO Corporate Alliances EVP, Chief Legal Officer & Corp. Secretary Chief Human Resources Officer EVP, Chief Strategy Officer 1984 2002 2006 1994

11 KLA Non-Confidential | Unrestricted Strategic Objectives | Continue to Win in Our Markets

Market Product Leadership Differentiation

Operational Attract and Excellence Develop Talent

12 KLA Non-Confidential | Unrestricted Market Leadership

Highly Valued and Trusted Partner Process Control Market Share

60%

50% Listen and Partner, Follow Up Measure and Confirm Commit and and Ensure Continuously 40% NEAREST COMPETITOR Understanding Deliver Value Improve 30% 4x ACROSS ALL REGIONS 20% Collaborate Innovate Execute 10% Partnering Centers Solving industry’s 0% with customers of excellence most complex onsite worldwide challenges 2009 2012 2015 2018 KLA Comp A Comp B Comp C

13 KLA Non-Confidential | Unrestricted Source: Gartner April 2019. Product Differentiation Leadership in Design, Technology, Performance and Customer Success

R&D INVESTMENTS ($M)

~$800 Embedded in Working two Continued $625 R&D with generations leadership in $567 customers ahead innovation $481 $499

~15% Avg. R&D as a % of Sales

2015 2016 2017 2018 2019 Incl. Orbotech

Investing to Sustain Technology Leadership

14 KLA Non-Confidential | Unrestricted Operational Excellence

FREE CASH FLOW ($M) Investment in Growth $1,400 $1,303

$1,200 $1,141 and New ~$1,000

$1,000 Products $819 $823

$800 1

$600 FCF Conversion : 102% Avg.

$400 Market Cash $200 Cycle of Leadership and Returns to $- Differentiated Stockholders Success Solutions 2015 2016 2017 2018 2019E COMMENTARY ▪ Introducing new products at 2x the pace of our competitors Strong ▪ Achieving market share of 4x our nearest competitor Margins and ▪ Strong gross margins and FCF conversion via differentiation FCF ▪ ~70% of FCF returned to shareholders through dividends and share repurchases

15 KLA Non-Confidential | Unrestricted 1 FCF Conversion = FCF / Net Income. High-Performance Culture to Attract and Develop Talent

▪ High-caliber graduates from top-tier universities EMPLOYEE TURNOVER across the world Well below average1 ▪ Career development opportunities through multi- turnover in faceted and broad-based programs

▪ Extensive education benefits and advanced- 5.5% 5.2% degree tuition reimbursement programs 4.8% 4.9% 4.2% ▪ Investing in Ann Arbor, Michigan Innovation Center to attract new talent in a cost-efficient labor market and collaborate with top universities

▪ 80% goal of Vice President promotions from within – tracking at ~85% 2014 2015 2016 2017 2018

Global Holistic Approach with Emphasis on Diversity and Inclusion

16 KLA Non-Confidential | Unrestricted 1 Company estimate of ~10%. Strategic Talent Sourcing HQ2 and R&D Facility in Ann Arbor, Michigan ~$175M ▪ Talent Pipeline: Attractive talent pool with relatively lower cost of living, higher quality of life Total Capital and proximity to Detroit Metropolitan Airport Investment

▪ Long-term Partnership: Strong collaborative relationship with University of Michigan, including research and continuing education for employees 600 New High-Tech ▪ Proximity to Growing Automotive Electronics: Supporting semiconductor manufacturing requirements for improved device reliability and defect control Jobs Over Next 5 Years ▪ Economic Incentives: $39M in projected incentives from both state and local sources 2021 Completion of New Innovation Center

17 KLA Non-Confidential | Unrestricted Introducing the KLA Operating Model

CONSISTENT STRATEGY AND EXECUTION ▪ Application of common processes and discipline ▪ Cascades throughout the organization ▪ Strong focus on talent development MANAGEMENT BY METRICS ▪ Culture of performance and accountability ▪ Expectation of continuous improvement ▪ Superior margins driven by market leadership and differentiation

FINANCIAL DISCIPLINE AND RIGOR ▪ Exert efficiency and operating discipline in our investments ▪ Strong track record of high returns ▪ Focused on enhancing shareholder value

Focused on Driving Sustainable Profitability and Growth

18 KLA Non-Confidential | Unrestricted KLA Operating Model Enables Sustainable Competitive Benefits

Integrating Significant R&D investments Strong track record Growing service business strategic acquisitions drives technology leadership of effectively deploying provides strong, to diversify revenue streams and creates capital and meeting recurring revenue and enhance profitable differentiated products deleveraging commitments growth opportunities

Low capital Leadership positions KLA Operating Model instills Home-grown, experienced requirements with focus on penetration culture of excellence management team and share gains within to efficiently operate with deep customer focus the business new markets

19 KLA Non-Confidential | Unrestricted Strategic Transformation through Disciplined Acquisitions for 20+ Yrs

1997: KLA-Tencor 2019: Orbotech ▪ Merger of two leading semiconductor ▪ Extends technology and market reach inspection and metrology companies Transformative Acquisitions into electronics value chain Strong Strategic Rationale ▪ Complementary geographic and customer mix ▪ Exposure to fast growing markets

1997 Other Acquisitions Further Expanding Our Scope 2019

1999 2001 2007 2014 2018 ▪ Acme Systems ▪ Phase Metrics ▪ OnWafer Technologies ▪ Luminescent ▪ Nanomechanics ▪ SensArray Corporation Technologies ▪ MicroVision 2000 2006 ▪ Therma-Wave Corporation 2010 ▪ Fab Solutions ▪ ADE ▪ Ambios Technology ▪ FINLE 1998 2017 2019 ▪ ▪ Amray Inc. ▪ Zeta Instruments MicroSense ▪ Nanopro ▪ Filmetrics ▪ Quantox 2004 2008 ▪ Capres ▪ VARS ▪ Candela Instruments ▪ ICOS Vision Systems ▪ Qoniac ▪ Ultrapointe ▪ Wafer Inspection Systems ▪ MIE BU, Vistec Semicondutor Systems

20 KLA Non-Confidential | Unrestricted The Evolution of Our Transformational Story PRE-1997 1997-2018 2019-2023

KLA Instruments KLA-Tencor $0.8B $4.3B >$7.0B REVENUE (1996) REVENUE (2018) REVENUE (2023E) $1.5B $6.6B1 >$10B SAM (1996) SAM (2018) SAM (2023E)

NEW END MARKETS NEW END MARKETS NEW END MARKETS IC Fabs Wafer Suppliers Advanced Packaging Mask Suppliers Semiconductor OEMs Printed Circuit Board (PCB) Flat Panel Display (FPD)

NEW OFFERINGS NEW OFFERINGS NEW OFFERINGS Wafer, Mask Inspection Film and CD Metrology Semiconductor Process Equipment Overlay Metrology Computational Litho PCB Inspection and Repair Wafer Geometry PCB Process Tools Component Inspection FPD Inspection and Test 21 KLA Non-Confidential | Unrestricted 1 Excludes Services SAM. Expanding Presence and Leadership in Served Addressable Markets PROCESS CONTROL Orbotech Inspection Metrology Data Analysis Process Electrical Test Repair

Advanced Semiconductors1 $3,400M $2,200M $200M

Specialty 3 Semiconductor2 $400M $200M $700M ~$8.5B $200M SAM IC Components, 4 Printed Circuit Board $600M $50M $100M $2.0B Increase in SAM from Orbotech Flat Panel Display $250M $200M $100M

#1 KLA (ex-Orbotech) Markets of $6.6B #1 Orbotech Markets of $1.9B

22 KLA Non-Confidential | Unrestricted SAM Source: Gartner and Internal KLA Research excluding service; 1 Includes WLP, Wafer and Masks; 2 Power, MEMS, RF; 3 Etch, Deposition; 4 Digital Imaging, laser drilling. 2023E Financial Targets

$7.0B - $7.5B $14.50 - $15.50 REVENUE EARNINGS PER SHARE

23 KLA Non-Confidential | Unrestricted Key Takeaways

Global leader in process control and supplier of process-enabling 1 solutions for the data era

Sustained technology leadership allows us to remain at forefront of industry trends with new capabilities and 2 technologies, enabling our profitable growth strategy

Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, significant 3 R&D investments, and differentiated solutions to solve our customers’ most complex challenges

Experienced and energized leadership team utilizing the KLA Operating Model to 4 instill a high-performance culture driving efficiency and operating performance

Track record of strong cash flow generation supported by diversification of revenue streams; 5 balanced capital allocation delivering superior shareholder value

24 KLA Non-Confidential | Unrestricted Systems Overview Ahmad Khan | President, KLA Semiconductor Process Control Key Messages

Consistently creates and deploys highly differentiated inspection and 1. metrology products to support customers’ growth

Cultivate and maintain intimate relationships with key customers to 2. sustain leading market share

Foresee and understand the most complex industry technical challenges; 3. continuously optimize our innovative product portfolio

Collaborate with services business to enhance our complete product 4. delivery and service model

Delivering on the KLA Operating Model for sustainable profitability and 5. growth; strategic growth opportunities both internally and externally

26 KLA Non-Confidential | Unrestricted KLA Semiconductor Process Control Systems at a Glance

KEY STATISTICS 2019E REVENUE MIX

REVENUE CAGR (‘19E - ‘23E) 6% - 7% Main Growth Driver Semiconductor mfg. 15% Reticle Metrology GROSS MARGIN ~63% ~$3.1B 25% Including service 60% Inspection

MARKET SHARE 4x Nearest competitor COMPREHENSIVE SOLUTIONS 10 out of 12 ▪ Analyze defectivity and metrology issues at critical points in the wafer, reticle, IC BREADTH OF PORTFOLIO Gartner segments by manufacturing and packaging processes end of 20191 ▪ Locate source of defects and metrology variation to resolve underlying process issues and % OF MAJOR SEMI enable our customers to improve control over their manufacturing 100% CUSTOMERS SERVED ▪ Key supplier of yield management solutions for next gen products

Strong Through Cycle Performance and Profitable Growth

27 KLA Non-Confidential | Unrestricted 1 Source: Gartner April 2019. KLA Systems Markets Overview

#1 IN 8 OUT OF 10 SERVED MARKETS1 PRODUCT OVERVIEW

Inspection Mask Metrology Optical Pattern Wafer Inspection

Mask Inspection and Review Unpatterned Wafer Inspection Defect Metrology

Overlay Metrology Macro Defect Inspection

Control Software Thin Film Metrology Control Software

Strategic Portfolio Addressing Customers’ Critical Needs

28 KLA Non-Confidential | Unrestricted 1 Sources: Gartner; other served markets include wafer level packaging inspection and SEM Review. KLA Operating Model Enables Sustainable Competitive Benefits

Intimate relationships with Differentiation through Early access to tomorrow’s key customers technical innovation hardest problems

Largest installed Process Continued large Global organization with Control portfolio R&D investments strong talent base

Revenue Growth > WFE while Maintaining Strong Gross Margins

29 KLA Non-Confidential | Unrestricted Semiconductor Process Control | 2010 – 2019E Revenue Bridge

$140M ~$3.1B $230M 2010 – 2019E $920M

▪ Increasing device complexity ▪ Higher adoption of Process 5% Control in memory $1.8B WFE Industry ▪ Gen5 ▪ In-line with CAGR WFE ▪ Bare Wafer 6% KLA Semi PC CAGR

1

Outperformed WFE in a Memory Intensive Cycle

30 KLA Non-Confidential | Unrestricted 1 Intensity = PC revenue / total equipment revenue. More Complexity & Semiconductor Devices Drive Greater Demand

MEGA TRENDS ACROSS… …KEY INDUSTRIES

ARTIFICIAL 5G MOBILE DATA CENTER AUTOMOTIVE INTELLIGENCE CONNECTIVITY

KLA IS WELL POSITIONED AS WE ARE CREATING UNIQUE TOOLS FOR INFLECTIONS EUV NANOSHEET ADVANCED MEMORY AUTOMOTIVE ELECTRONICS

MARKET READINESS FOR TECHNICAL CHALLENGES ▪ Reticle EUV pattern quality ▪ Highly accurate 3D structure control ▪ High aspect ratio structures ▪ Increasing silicon content

▪ Reticle cleanliness in mask shop and wafer ▪ Material composition control ▪ New failure mechanisms ▪ Complex systems fab ▪ Buried defects ▪ Buried defects ▪ Logic, memory, advanced packaging

KLA is Differentiated with Solutions to Support Semiconductor Growth & Technology Inflections

31 KLA Non-Confidential | Unrestricted Well Positioned to Expand Systems SAM MARKET DRIVERS

Inflections ~$7.5B ▪ EUV ~$6B 2023E SAM ▪ Nanosheet + Inflections ▪ Advanced Memory 2019E SAM Intensity / Share ~$4B ▪ Gen5 EUV extension ~$3.1B 2023E Revenue ▪ EUV Reticle 2019E + Intensity ▪ X-Ray Revenue + Share Gain ▪ EBEAM

Inflections and Increasing Complexity Drive Process Control Market Growth

32 KLA Non-Confidential | Unrestricted Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward

Market Product Operational Leadership Differentiation Excellence

33 KLA Non-Confidential | Unrestricted Complete EUV Qualification Solution

MASK WAFER

Optical Inspection for EUV Implementing Gen5 EUV Extension TODAY

EBEAM based Multi-Column FUTURE Wavelength: λ : 190-260nm (N + 1)* Algorithms: High Signal / Noise

MASK SHOP WAFER FAB EUV ▪ Extension of existing proven optical technology ▪ Leveraging Gen5 platform SOLUTIONS ▪ Complementary multi-column reticle inspection ▪ Unique wavelength range ▪ Custom algorithms

Driving Intensity Growth through High End EUV Solutions

34 KLA Non-Confidential | Unrestricted * Variability based on node transition timing. Case Study | Solving 3D Challenges with Leading Memory Customer

New device architecture; Close collaboration; alpha tool Win/Win: tool concept validated, Intensity immeasurable in production built and shipped to customer and customer gets inline monitor Gain

BACKGROUND KLA SOLUTION OUTCOME

Customer yielding issue ▪ Developed XRAY (SAXS) technology Enabled yield enhancement related to inability to for customer ▪ Partnered with customer and provided alpha learning monitor effectiveness in tool to obtain unique data Improved inline control high-aspect ratio etching in advanced 3D NAND and Shortened time to market DRAM for next gen devices

Improving Yields for Customers and Increasing Memory Intensity

35 KLA Non-Confidential | Unrestricted 36 KLA Non-Confidential | Unrestricted Case Study | KLA EBEAM + Optical Opportunity

CHALLENGE KLA UNIQUE SOLUTION OPPORTUNITY

Traditional EBEAM tools ▪ KLA EBEAM unique connectivity with KLA Optical Reduce time cycle of cannot run at high speed tools enables fast detection for ultra small defects learning for customer while maintaining high resolution Unique Connectivity Accelerates yield ramp KLA AI-Assisted EBEAM KLA Optical Inspectors Missing critical defects in Early customer small inspected area engagements have been promising; full product release expected in 2020 ▪ Highest resolution at highest ▪ Full wafer coverage at HVM1 speed throughput ▪ Fastest time to wafer signature in ▪ Full AI based detection and EUV era classification ▪ All defects captured

KLA Provides a Seamless Single Inspection Solution for All Defect Types

37 KLA Non-Confidential | Unrestricted 1 High Volume Manufacturing (HVM). Semi Process Control | 2019E – 2023E Revenue Bridge

$400M - $500M ~$4.0B 2019E – 2023E $400M - $500M

~$3.1B Intensity (New Products) ▪ ▪ EUV Gen5 EUV extension 4% - 5% ▪ WFE Industry ▪ Nanosheet EUV reticle ▪ CAGR ▪ New memory X-Ray architecture ▪ Automotive Electronics Share ▪ EBEAM 6% - 7% KLA Semi PC CAGR

Revenue Growth > WFE through Intensity and Share Grains

38 KLA Non-Confidential | Unrestricted Key Takeaways

Consistently creates and deploys highly differentiated products to support 1 customers’ growth

2 Cultivate and maintain intimate relationships with key customers to sustain leading market share

Foresee and understand the most complex industry technical challenges; continuously optimize 3 our innovative product portfolio

Collaborate with services business to enhance our complete product 4 delivery and service model

Delivering on the KLA Operating Model for sustainable profitability and growth; strategic 5 growth opportunities both internally and externally

39 KLA Non-Confidential | Unrestricted BREAK Services Overview Brian Lorig | EVP, Global Support and Services Key Messages

Strong customer value proposition: we help customers maximize 1. the value of their KLA assets

Increasingly important business and growing faster than the 2. installed base

Unique portfolio of resources enables a broad range of service 3. offerings and professional services

Industry-leading service model with >70% of revenue coming from 4. subscription-like service contracts

5. Leverage KLA Operating Model to drive operational excellence

42 KLA Non-Confidential | Unrestricted KLA Services at a Glance

2019E KEY STATISTICS 2019E REVENUE MIX REVENUE1 ~$1.3B

CAGR (2019E-2023E) 9% - 11% Systems ATTACH RATE >70% 25% 75% Services RENEWAL RATE >90%

INSTALLED BASE >44,000

SERVICE CALLS >150,000 COMPREHENSIVE OFFERINGS CUSTOMERS2 >1,400 ▪ Training ▪ Ramp Management ▪ Software Applications ▪ Enhancements and Upgrades SPARE PARTS/ASSEMBLIES >120,000 ▪ Optimization ▪ Lifecycle Management CSEs3 >2,000 ▪ Predictive Maintenance Services ▪ Certified Refurbished Systems

43 KLA Non-Confidential | Unrestricted 1 Includes Orbotech; 2 Fab End User; 3 Customer Service Engineers. Orbotech and SPTS Service Optimization Plan

LEVEL I LEVEL II LEVEL III KLA Operating Model Sharing Operations Optimization Go-to-Market

▪ 5-year growth/operating plans ▪ Depots and logistics ▪ Optimize sales processes and tools ▪ Standardized KPIs ▪ Training and documentation ▪ Shared professional services ▪ Benchmarking sessions ▪ Call center ▪ Standardized new product offerings ▪ Quarterly reviews ▪ Service processes and methods ▪ Parts planning ▪ Resource management ▪ Escalation management

0 – 6 Months 6 – 12 Months 12 – 24 Months

44 KLA Non-Confidential | Unrestricted Case Study | KLA Operating Model: Services

BACKGROUND KLA SOLUTION OUTCOME/IMPACT Direct partnership with A key factor in M&A is Utilizing KLA Operating Model to create, expand and customers to drive the existence of a optimize legacy services businesses maximum value of KLA service business asset ▪ Brought services in-house versus through Increased Service Significant distributors, increasing customer satisfaction with revenue CAGR from low opportunity to consistent and higher quality service support single digits to >15% leverage our KLA ▪ Expanded service offerings and resources driving Drove revenue from Operating Model to greater services revenue subscription-like service improve acquired contracts from <10% to businesses ▪ Improved scale of operations with larger footprint, >70% better infrastructure and enhanced data analytics Increased customer satisfaction and KLA profitability

KLA Operating Model Improves Acquired Service Businesses

45 KLA Non-Confidential | Unrestricted More Complexity & Semiconductor Devices Drive Greater Demand

MEGA TRENDS ACROSS… …KEY INDUSTRIES

ARTIFICIAL 5G MOBILE DATA CENTER AUTOMOTIVE INTELLIGENCE CONNECTIVITY

KLA SERVICES WELL POSITIONED BY

Aiding leading-edge development Maintaining tool uptime and Extending lifetime of older tools in ramping production performance and improving performance of existing tools Improving yield among leading Increasing utilization of Offering fab optimization edge manufacturers mature fabs services

KLA Service Provides Differentiated Solutions and Fleetwide Support

46 KLA Non-Confidential | Unrestricted Sources: Gartner, Yole and KLA research. Expanding Our Total Addressable Market

Market Leading Edge Trailing Edge Dynamics Development Manufacturing Manufacturing $1.6B Size ~$650M ~$450M 2019E TOTAL MARKET Growth Rate 9% - 11% End Market ▪ 5G ▪ 5G Drivers ▪ Artificial Intelligence ▪ Internet of Things ▪ Mobile ▪ Automotive $1.1B ▪ Data Centers 2019E KLA SERVED1 ▪ Automotive

Growth from Leading Edge Plus Increasing Penetration of Trailing Edge

47 KLA Non-Confidential | Unrestricted 1 Excludes Orbotech Service revenue. KLA Operating Model Enables Sustainable Competitive Benefits

Global Network of Unmatched Availability Professional Services with Certified and Experienced and Breadth of Access to More Data and Customer Service Spare Parts Better Analytics Engineers (CSEs)

Comprehensive Training Leverage Diverse Technology and Product and Certification Program Installed Base Effectively Complexity Never for All Products and Efficiently Diminishes

Well Positioned as Global Service Aggregator for Diversified Product Lines & Broad Portfolio

48 KLA Non-Confidential | Unrestricted Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward

Market Product Operational Leadership Differentiation Excellence

49 KLA Non-Confidential | Unrestricted Strong Customer Focus

CUSTOMER GOAL KLA STRATEGY RESULTS

Ramp Faster Develop, ramp and transfer new New product introduction and Customers accelerate transitions to technology to high volume service solutions to maximize Leading-edge High Volume Manufacturing Development manufacturing uptime of KLA tools

Higher Yield Optimized production monitoring Customers achieve higher yield, at Maximize good wafers out at while reducing customer lower costs, through predictable Leading-edge lowest possible cost Manufacturing cost of ownership system availability

Lifecycle Mgmt. Lifecycle management through fab Customers extend the useful life of Return on assets optimization, enhancements Trailing-edge their KLA assets Manufacturing and services

Helping Customers Maximize the Value of their KLA Assets

50 KLA Non-Confidential | Unrestricted Three Components to Our Differentiated Services

PEOPLE TO PERFORM TASK ▪ 1,200+ highly educated, trained and experienced Customer Service Engineers (CSEs) ▪ Tech support engineer per every 6 CSEs, primary and secondary CSE for each tool ▪ Tracker for proficiency and tool hours to allocate parts and CSE resources based on demand

PARTS TO SOLVE ISSUE ▪ Quick access to global network of 90,000+ spare parts ▪ Stocking plan enables service of machines within 24 hours ▪ Mature logistics infrastructure allows for efficient execution repeatedly

KNOWLEDGE TO COMPLETE TASK ▪ 1,000+ tools with data for predictive maintenance and machine learning ▪ Award-winning training programs held at our 4 regional facilities ▪ Comprehensive 9-18 months training process per tool to develop expertise ▪ Access to a network of highly skilled Tech Support Engineers (TSEs)

Strong Foundation to Exceed Customer Needs

51 KLA Non-Confidential | Unrestricted Longevity of Installed Base Enhances Productivity

Phase I: Services business designed to support tool Product Class Waves I shipments and assure customers tools will be available (Shipments by Year)

25,000

20,000

Phase II: Build out infrastructure as a larger, 15,000 II global company 10,000

Installed Base Installed 5,000

0

Phase III: Grow Service business top line

1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018

III and profitability 1995

EVOLUTION KLA KLA SERVICES 1990 1995 2000 2005 2010 2015 Product Class IV Phase IV: Integral part of KLA business model providing Average Tool Age Increasing from ~4 years in 2000 to ~14 years in 2019 differentiated value to our customers as our Installed Base Increased by ~2.7x

Over the Life of Tool, Services Revenue ~0.5x Sales Price

52 KLA Non-Confidential | Unrestricted Productivity and Resiliency of Our Services Business

KLA SERVICES REVENUE1 ($M) COMMENTARY $1,200 ▪ 9% CAGR3 since 2002 $1,000 ▪ Resilient revenue growth with only $800 YoY decline of ~15% in 2009 ▪ >70% revenue from subscription-like $600 service contracts

$400 ▪ Growing installed base of 22,000 tools

$200

$0 2

2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E

More Consistent, Less Volatile Long-term Revenue Growth

53 KLA Non-Confidential | Unrestricted Note: Calendar year figures; 1 Excludes Orbotech Service revenue; 2 Includes first year warranty in accordance with adoption of ASC606; 3 Represents KLA Semi Process Control only. Revenue Bridge and Diversification1

~$150M ~$1.5B ~$50M ~$250M ▪ Extend lifetime: ▪ Comprehensive asset ~$1.1B relocation services ▪ Enhancements ▪ Applications support ▪ Upgrades ▪ Highly differentiated products and services ▪ Enhanced tool ▪ Customized service performance offerings ▪ Accelerate customers time-to-market ▪ Fab optimization

54 KLA Non-Confidential | Unrestricted 1 Excludes Orbotech Service revenue. Key Takeaways

Strong customer value proposition: we help customers maximize the 1 value of their KLA assets

2 Increasingly important business and growing faster than the installed base

3 Unique portfolio of resources enables a broad range of service offerings and professional services

Industry-leading service model with >70% of revenue coming from 4 subscription-like service contracts

5 Leverage KLA Operating Model to drive operational excellence

55 KLA Non-Confidential | Unrestricted Orbotech Overview Oreste Donzella | EVP, Chief Marketing Officer Key Messages

Leading supplier of process and process control equipment for the 1. global electronics manufacturing industry

Exposure to fastest growing industries benefitting from strong 2. secular trends

Focus on customer success and innovation within new or adjacent 3. markets, expanding our total addressable market

Leveraging broad product portfolio and capturing co-development 4. opportunities to create differentiated technology

Opportunity to optimize shared sales channels and supply chain 5. cost synergies to drive profitable growth

57 KLA Non-Confidential | Unrestricted Orbotech at a Glance

KEY STATISTICS1 2019E REVENUE SPLIT3

4 FOUNDED 1981 By Market By Geography

2% 6% 5% HEADQUARTERS Yavne, 23% 6% 38% 8% 46% EMPLOYEES ~2,900 12%

COUNTRIES 18 37% 17%

Printed Circuit Board Mainland China U.S. REVENUE2 ~$1.0B Specialty Semiconductor Process (SPTS) Taiwan Europe

Flat Panel Display (FPD) 5 Japan Korea R&D SPEND (as % of revenue) 14% Other SEA

Global Leader in PCB, FPD and Specialty Semiconductor

58 KLA Non-Confidential | Unrestricted 1 As of 6/30/19 unless otherwise noted; 2 2019E as of 2/20/19; 3 Includes Service; 4 Based on 1H’19 data; 5 PCB, FPD and Other belongs to PCB, Display and Component Inspection in accordance to SEC filing. Sustainable Competitive Benefits Aligned with KLA

Market leadership within Exposure to fastest Track record of innovation PCB and specialty growing industries with and new product semiconductor process niche applications development

Longstanding, deep Culture of operational High technological customer relationships excellence differentiated products

59 KLA Non-Confidential | Unrestricted Printed Circuit Board (PCB) Overview

REVENUE ($M) BROAD PRODUCT OFFERING 400 ~$360M+1 #1 Position in 6 of 7 Markets Served

300

200 9% CAM Direct Imaging AOS AOI CAGR

100

0 Laser Plotting Inkjet 3D Printing Via Drilling 2015 2016 2017 2018 2019E ▪ Strong service business with double-digit growth KEY ACQUISITION AND JV MILESTONES ▪ >40% revenue; >90% subscription based Proven Ability to Grow New Market via Partnership and Acquisition ▪ Highly fragmented markets with over 500 customers 1981 1996 1999 2007 2018 ▪ Strong connection with end-users (e.g., smartphone suppliers) Established Jenoptics JV Frontline, New Systems Frontline AOI Direct Valor JV Acquisition Acquisition Israel Imaging CAM InkJet Germany Israel Italy

60 KLA Non-Confidential | Unrestricted 1 Includes Service. First-to-Market JV / M&A Flat Panel Display (FPD) Overview

REVENUE ($M) BROAD PRODUCT OFFERING 400 #1 Position in Inspection and Test

300 ~$250M+1

200 Test AOI Repair

5% 100 CAGR

0 Yield Management Software 2015 2016 2017 2018 2019E ▪ Consolidated market with 15 customers KEY ACQUISITION AND JV MILESTONES ▪ Full-loop process control solution (inspection, test, repair) 1991 1999 2008 ▪ Capacity-driven business Established KLA Acrotec Acquisition PDI Acquisition ▪ Occasional, high-volume technology inflections (e.g., OLED, foldable AOI AOI Test & Repair displays, etc.) Israel Japan U.S.

61 KLA Non-Confidential | Unrestricted 1 Includes Service. First-to-Market JV / M&A Specialty Semiconductor Process (SPTS) Overview

REVENUE ($M) BROAD PRODUCT OFFERING 400 ~$350M+1 #1 Position in Specialty Semi Markets

300

200 10% Versalis Etch Release Etch CAGR

100

0 MVD PVD CVD 2015 2016 2017 2018 2019E ▪ “More than Moore” applications within the broad WFE KEY ACQUISITION AND JV MILESTONES ▪ Differentiated IP portfolio for plasma-based process solutions 1979 1982 1993 1998 2007 2015 ▪ Highly customized solutions to meet loyal customers’ requirements Introduced Introduced Co- First-to- Entered 3D Introduced 1st cassette- 1st cassette- developed market with TSV 1st PVD tool to-cassette to-cassette Bosh process ultra low applications for advanced plasma tool PVD tool for Mems CVD packaging dielectric

62 KLA Non-Confidential | Unrestricted 1 Includes Service. First-to-Market JV / M&A Areas of Expertise within Specialty Semiconductor Markets

MEMS LEDs Power Devices RF Advanced Packaging

Micro Electro- LED-backlit TVs, Control / reduce / Transmitters to Packaging innovations mechanical Systems general indication, generate power used increase the speed / to extend in automobiles, and automotive / in electronic and performance of semiconductor smart-phones, game industrial / domestic power systems communication roadmap beyond consoles, tablets lighting between devices conventional scaling

63 KLA Non-Confidential | Unrestricted From Your Smart Mobile Device… 30+ Electronic Components Utilized in Production of Latest Generation Smartphones

FPD PCB Flat Panel Display Printed Circuit Board

Automated Optical Inspection Automated Optical Automated Direct Inspection Optical Shaping Imaging Test

Repair UV CAM Drilling SPTS Semiconductor

Physical Vapor Chemical Vapor Plasma Deposition (PVD) Deposition (CVD) Etch

Vapor Molecular Vapor Release Etch Deposition (MVD)

64 KLA Non-Confidential | Unrestricted …To Your Smart Vehicle Mission-Critical Solutions for the New Automotive Revolution FPD Flat Panel Display PCB Printed Circuit Board

Automated Test Repair Optical Inspectiont

Automated Automated Direct Optical Optical Imaging Inspection Shaping

UV CAM Drilling

SPTS Semiconductor POWER LED MEMS RF Physical Vapor Chemical Vapor Plasma Vapor Molecular Vapor Micro Electronic Radio Deposition (PVD) Deposition (CVD) Etch Release Etch Deposition (MVD) Mechanical Systems Frequency

65 KLA Non-Confidential | Unrestricted High Exposure to Mega Trends and Fast-Growing Industries

MEGA TRENDS ACROSS… …KEY INDUSTRIES

ARTIFICIAL 5G MOBILE DATA CENTER AUTOMOTIVE INTELLIGENCE CONNECTIVITY

▪ AI based defect ▪ High RF content in base ▪ Accelerated transition to 2nd ▪ Transition from ▪ Transition from classification in PCB and stations and handsets wave of mSAP1 in PCB conventional PCB to conventional to High FPD inspection tools advanced IC Substrate Density PCB ▪ Packaging innovations ▪ Foldable phones (and ▪ Significant inflections in driving customized process wearable) driving transition ▪ Strategic collaborations to ▪ More displays in cars (dash, packaging via multi-chip solutions to flex PCB and displays influence semiconductor head-on and infotainment) integration and PCB supply chain ▪ High content of MEMS, ▪ Transition to new material sensors, RF devices (SiC, GaN) in EV

66 KLA Non-Confidential | Unrestricted 1 SAP = Semi Additive Process. Expanding Orbotech’s Total Addressable Market

TOTAL ADDRESSABLE MARKET ~$2.8B SPTS PCB FPD 2023E TOTAL MARKET 2019E ~$700M ~$750M ~$550M 2023E ~$1,150M ~$1,000M ~$650M CAGR ~13% ~7% ~4%

~$2.0B ▪ Increase exposure to fast growing markets (RF, Auto, AP) 2019E TOTAL MARKET ▪ Leverage KLA channel and technology

▪ Enter new Advanced Packaging and IC Substrate segments

67 KLA Non-Confidential | Unrestricted Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward

Market Product Operational Leadership Differentiation Excellence

Extending Technology and Market Reach; Capitalizing on Revenue and Cost Synergies

68 KLA Non-Confidential | Unrestricted Case Study | Direct Imaging (DI) Business Creation and Expansion

BACKGROUND SOLUTION ▪ Mid 1990s: PCB moved to ▪ Collaboration with top optics supplier higher density to develop imaging technology ▪ 1996: Formed JV with ▪ Collaboration with smartphone maker Jenoptics to co-develop DI to promote/validate DI value technology ▪ Innovation in multi-wave light source, ▪ 2000: Assumed 100% REVENUE TREND large scan optics, fast image acquisition Strong Track Record of Business Creation and Expansion ownership and algorithms ▪ 2004: Launched 1st product ▪ Resilient Service business with >90% (high-end PCB) recurring revenue ▪ 2011: DI becomes industry ▪ ~$200M business that we can grow

standard for smartphone PCB with differentiated solutions

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E

Collaboration and Innovation Drove the Direct Imaging Success

69 KLA Non-Confidential | Unrestricted Case Study | Advanced Packaging Business Development

BACKGROUND SOLUTION ▪ Mid 2010s: Lateral Scaling ▪ Targeted key underserved applications slowed down or become too ▪ expensive Customize existing technology to serve SPTS Etch advanced packaging market ▪ Advanced packaging enabled SPTS PVD ▪ performance at lower cost Collaborate with industry leaders to validate differentiated performance ▪ New technologies were REVENUE TREND ▪ Strong Track Record of Business Development developed in mobile and Technology choice for Fan Out wafer computing chip packaging level package at #1 foundry ▪ Inflection opened new market opportunity for SPTS ▪ Market leader in key etch and film applications

2014 2019E

Customer Collaboration, Technology Flexibility and Execution

70 KLA Non-Confidential | Unrestricted Targeting New SAM | Advanced Packaging

KLA: ICOS KLA: SWIFT, ICOS +$1.0B KLA: All Semi PC Products Incremental Orbotech: PCB Orbotech: PCB, SPTS Addressable Orbotech: SPTS Market4

SAM $900M $350M → ~$1,350M (2023E) $1,300M $5,400M 2019E

1 3 2 $100M → ~$400M (2023E) $620M5 $3,650M

KLA $430M 2019E 2019E

PCB, Substrate, Components Advanced Packaging, Advanced Substrate Trailing Semi Advanced Semi

Wafer Level Packaging

Component Panel Level Packaging

PCB ICS Advanced IC Substrates 10µm 5µm 2µm 1µm 0.1µm

Advanced Packaging: Interconnect 1µm to 5µm, Panel and Wafer Opportunities

71 KLA Non-Confidential | Unrestricted 1 Revenue, which includes Service; 2 PCB + ICOS CI; 3 SPTS AP + SWIFT BE + ICOS Zeta, F160; 4 8% CAGR + 3D WLP + Adv ICS + SPTS ECD; 5 SPTS + SEMI PC System + SEMI PC Service. Optimizing Sales Channel in Packaging Industry

Products 2018 Packaging Revenue by Process Control Die Sorting Inspection and Process (SPTS) Customer (KLA SWIFT) (KLA ICOS) Imaging (PCB) Top 5 Semi KLA KLA SPTS PCB Packaging Customers (partial) (partial)

Specialty Semi Packaging KLA KLA SPTS

OSAT1 KLA KLA SPTS

Top 5 Markets Packaging (partial) (partial) (partial) Other Semi Companies 65% 35% IC KLA PCB Substrate (partial)

▪ Expand customer penetration through existing relationships

▪ Reduce costs consolidating organizations

72 KLA Non-Confidential | Unrestricted 1 OSAT = Outsourced Semiconductor Assembly & Test. Opportunity Area Orbotech | Developing Differentiated Technology

DI (Direct Imaging) AOI (Automated Optical Inspection) 3D Inspection 3mm and 5mm, Advanced ICS and Panel 3mm, Advanced ICS and Panel Wafer Level Packaging

▪ Large Scale Optics ▪ Multi-Wave Illumination ▪ Market Validation ▪ Large Depth of Focus ▪ On-the-Fly 2D Metrology ▪ Product Requirement Specs ▪ Advanced Algorithms ▪ New 3D Module ▪ Feasibility Study

Leverage Technology to Better Address Market Needs

73 KLA Non-Confidential | Unrestricted Synergy Opportunities through Supply Chain and Logistics

OPPORTUNITIES SPTS EFEM1 Cost Reduction Already Materialized

✓ Mutual supplier rebate Supply Chain Optimization ✓ Mutual cost reduction ✓ Enlarge base

✓ Terms comparison for current contract manufacturing clean room Manufacturing ✓ Consolidate common contract manufacturing supply base ✓ Manufacturing site consolidation

✓ Freight Logistics ✓ Warehouse Annualized Cost Savings (50 bps Gross Margin Improvement)

74 KLA Non-Confidential | Unrestricted 1 EFEM = Equipment Front End Module. Orbotech Long-term Revenue Plan ($M)

$200M - $250M $1.4B - $1.5B

$200M - $250M

~$1.0B

Outperforming Broad WFE at Double-Digit Growth

75 KLA Non-Confidential | Unrestricted Key Takeaways

Leading supplier of process and process control equipment for the 1 global electronics manufacturing industry

2 Exposure to fastest growing industries benefitting from strong secular trends

Focus on customer success and innovation within new or adjacent markets, expanding our total 3 addressable market

Leveraging broad product portfolio and capturing co-development 4 opportunities to create differentiated technology

5 Opportunity to optimize shared sales channels and supply chain cost synergies to drive profitable growth

76 KLA Non-Confidential | Unrestricted Opportunities in Automotive Electronics Oreste Donzella | EVP, Chief Marketing Officer Automotive Electronics Video

▪ Semiconductor drivers ▪ Automotive is fastest growing segment

▪ Automotive semiconductor content ▪ Number of semiconductors increasing ▪ Safety and reliability are required

▪ Latent defect overview

▪ KLA is leading the way ▪ Find latent defects before they escape the fab

78 KLA Non-Confidential | Unrestricted

Key Messages

Secular transformation within industry driving significant increase 1. of semiconductor content per vehicle

Well positioned in fastest growing semiconductor segment at 2. >2x industry rate

Develop, define and provide new process control standards to 3. meet industry demands for quality and reliability

Leverage innovative portfolio of solutions to meet yield and 4. reliability goals of the industry

5. Target growth opportunities across the entire KLA portfolio

80 KLA Non-Confidential | Unrestricted Why Automotive Electronics?

Semiconductors at Audi a necessary new core competency I believe the auto industry will change more in the next five to ten years than it has in the last 50

Mary Barra, CEO and Chairman of GM

>100 6,000 – 10,000 2 yrs. vs. 7 yrs. >80% Connected Electronic Semiconductors Development of of Innovations Enabled Control Units (ECU) per Vehicle Semiconductors vs. Auto by Semiconductors

Data and Connectivity Will Change the Automotive Industry Forever

81 KLA Non-Confidential | Unrestricted Source: Audi AG, Semiconductor Strategy PSCP, SEMICON Korea, 2018. Semiconductors Will Enable the Automotive Revolution Connectivity Electrification Autonomous

▪ Higher RF/Modem content ▪ Introduction of new and unproven ▪ Shift to more advanced technologies ▪ Linked to 5G mega trend materials such as SiC and GaN

Apply Test and Metrology Expertise for Broad Range of Industry Components

Power Processor Memory RF MEMS 82 KLA Non-Confidential | Unrestricted Auto Electronics is the Fastest Growing Semiconductor Segment

2018 – 2023E Semiconductor Revenue CAGR COMMENTARY

▪ ~10% CAGR, >2x semiconductor industry average

▪ Electric car with L2 automation has 4x more Semiconductor content than a fuel injection car Average: 4.9% ▪ Average Semiconductor content per car expected to grow ~2x by 2023

Military/Civil Industrial Data Processing Consumer Communication Automotive

Autonomy and Electrification Driving Significant Growth

83 KLA Non-Confidential | Unrestricted Source: Gartner. Our Targeted, Strategic Initiatives within Auto Electronics 2020+

2019+ Capitalize on Secular Trends

2018+ Fortify Industry ▪ Leverage strengths of KLA portfolio of differentiated Leadership solutions 2010+ Strengthen Industry ▪ Define industry standards Position ▪ Expand Automotive Semiconductor solutions Initial Entry into ▪ Development of internal through the acquisition of Industry capabilities: I-PAT1 in-line Orbotech (Feb 2019) quality screening methodology ▪ Provide process control systems and services to ▪ Formal industry outreach: Semiconductor Wafer Fabs host workshops and build (i.e., ICs) awareness

84 KLA Non-Confidential | Unrestricted 1 I-PAT: In-Line Parts Average Testing. We Are an Integral Partner with OEMs and Automotive ICs

DELIVERING COMMITMENT AND PROVIDING QUALITY CONTROL COLLABORATIONS WITH EXPERTISE TO OEMs SOLUTIONS FOR AUTOMOTIVE ICs INDUSTRY LEADERS

▪ Strategically located R&D center in Ann ▪ Defects reduction Arbor, MI with proximity to U.S. auto ▪ Surfscan® Series capital ▪ Zero-defect screening ▪ Consult on best practices with industry ▪ 8 Series (certified and relaunched) experts ▪ Advanced node transition ▪ Attend and/or host workshops and ▪ 39xx and 29xx Series; eDR7xxx™ Series conferences ▪ IC packaging ▪ Building awareness through articles, ads, ▪ ICOS™ Series social media and tradeshows ▪ New material (SiC, GaN) ▪ Candela® CS920; SPTS Omega Etch; SPTS Sigma PVD

Industry is More Willing to Collaborate, Powering the Future of Automotive

85 KLA Non-Confidential | Unrestricted Defining Standards to Address Industry Challenges

Failure Sources >50% of Failure Sources Are Due to Electronic Defects KLA Opportunities to Address

▪ Advancing design rules

▪ New “exotic” materials (e.g., Si Carbide) 29% Systematic Failures 35% ▪ Extreme operating environment Random Failures ▪ Rigorous in-line screening methodology Test Coverage Failures

Wire Bonding ▪ Optimized electrical test coverage

Other 1 4% 18% 14%

Critical to Meet ‘Zero-Defect’ Quality and Reliability Industry Standards

86 KLA Non-Confidential | Unrestricted Source: Auto OEMs; 1 Other includes: NTF (no trouble found), EIPD (electrically induced physical damage) and delamination. Complementing Industry Screening Methods to Improve Reliability

▪ Current screening methodology is a statistical New Automotive Process Control Methodology technique that was introduced by Automotive “Zero-Defect” Pyramid Electronics Council (AEC) in 1997 and based on an Electrical Test (EWS1) ▪ 2016: 5M vehicle recall after defects found within AI corroding airbag semiconductors, resulting in a Die Screening Prevent potential cost of ~$10B to manufacturers reliability failures from I-PAT escaping the fab ▪ 2019: KLA defines new screening methodology to minimize failures in automotive semiconductor Screening technology Analytics (what matters) Recipe Quality Process (sensitivity) Control Optimize fab Strategy and Methods quality reducing (sampling, layers, BKMs) overall defectivity Capability and Capacity (tools, tool health)

87 KLA Non-Confidential | Unrestricted 1 EWS = Electrical Wafer Sorting. Key Takeaways

Secular transformation within industry driving significant increase of 1 semiconductor content per vehicle

2 Well positioned in fastest growing semiconductor segment at >2x industry rate

Develop, define and provide new process control standards to meet industry demands for 3 quality and reliability

Leverage innovative portfolio of solutions to meet yield and reliability goals of 4 the industry

5 Target growth opportunities across the entire KLA portfolio

88 KLA Non-Confidential | Unrestricted Financial Framework and Outlook Bren Higgins | EVP, CFO Affirms Q3-CY19 Guidance

$1.31B - $1.39B $2.04 - $2.34 REVENUE NON-GAAP DILUTED EPS

90 KLA Non-Confidential | Unrestricted Key Messages

Ability to achieve significant revenue growth with diversified 1. revenue stream

Superior margin profile driven by strong customer value 2. proposition and operational discipline

Strong operating leverage and cash flow generation to fuel 3. investments and returns

Disciplined balance sheet and balanced capital allocation strategy 4. to support growth initiatives

Well positioned for profitable growth to deliver superior 5. shareholder value

91 KLA Non-Confidential | Unrestricted Maintaining Financial Rigor as We Execute Our Strategy

WHAT REMAINS THE SAME? WHAT IS CHANGING?

Continued Focus Heightened Emphasis ▪ R&D spend to maintain technological leadership and ▪ Expanding presence and leadership in served add value to the markets we serve addressable markets (e.g., Orbotech) ▪ Management by metrics focused on market leadership, ▪ Investments in broader range of growth opportunities productivity, talent and cash flow generation ▪ Leveraging KLA technology and engineering competencies ▪ 40%-50% incremental operating margin ▪ Deploying KLA Operating Model to drive value creation ▪ Cash fuels high return investments, strategic M&A with from investments market leaders, dividends and buybacks (balanced ▪ Improving operating leverage in acquired positions in approach with ~70% combined target payout) new markets ▪ Disciplined capital structure management

Continued Focus on Increasing Shareholder Value

92 KLA Non-Confidential | Unrestricted Revenue Growth and Diversification ($M)

$5,095 1

$4,304

$3,798

$3,259 $3,165 $3,127 $2,813 $2,885 $2,848 $2,486 8% CAGR

2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E Other Semi PC Services Wafer Inspection Reticle Inspection Metrology SPTS PCB, Component and Display

93 KLA Non-Confidential | Unrestricted Note: Calendar year figures; 1 Based on consensus estimates as of 9/12/19. Strong Financial Performance

GROSS MARGIN1 OPERATING MARGIN1 DILUTED EPS1 ROIC2

$9.14 45% 40% 39% 63% 63% 64% 37% 38% 40% 59% 60% $8.13 32% $7.03 35% 29% 29% 30% 28% $5.60 26%

25% 19% $3.58 20%

15%

10%

5%

0% 2015 2016 2017 2018 2019E 3 2015 2016 2017 2018 2019E 3 2015 2016 2017 2018 2019E 3 2015 2016 2017 2018 TTM 4

▪ GM% ranked among leaders in Semi ▪ Superior margin profile vs. peer group5 ▪ Operating model focus while investing ▪ Design strategy to meet differentiation industry ▪ Drive critical investments in new for long run requirements and protect recurring revenue ▪ Disciplines returns based pricing inflection opportunities ▪ Growing accretive recurring revenue ▪ ▪ Global footprint and supply chain to ▪ Actively manage portfolio to optimize ▪ Prudent capital structure Leverage balance sheet to maintain optimize for cost competitive position flexibility to meet customer ▪ Long-term secular earnings growth commitments ▪ Leverage service offerings to drive ▪ Cost discipline drives operating leverage accelerated by acquisitions and buybacks ▪ efficiencies Opportunity to improve cash conversion of acquired businesses

94 KLA Non-Confidential | Unrestricted Note: Calendar year figures;1 Non-GAAP measure, please see Appendix for reconciliation. 2 ROIC = TTM Non-GAAP operating profit after tax / Average invested capital; Invested Capital = Total Debt + Total Shareholder Equity. 3 Based on consensus estimates as of 9/12/19. 4 TTM as of 6’30’19. 5 Peers include: ASML, AMAT and LRCX. Margins Among the Best in the Semiconductor Industry

GROSS MARGIN1 OPERATING MARGIN1 70% 40%

65% 35%

60% 30% 55% 25% 50% 20% 45% 15% 40% 10% 35%

30% 5%

25% 0% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E 2 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E 2 KLAC Semi Cap 3 Leading Semi 4 KLAC Semi Cap 3 Leading Semi 4

95 KLA Non-Confidential | Unrestricted Source: Bloomberg / FactSet; 1 Non-GAAP measure, please see Appendix for reconciliation. 2 Based on consensus estimates as of 9/12/19; 3 Includes AMAT, ASML, LRCX; 4 Includes ADI, TXN, XLNX, MXIM, MCHP. R&D and Applications ~70% of Operating Expenses ($M)

$1,600 80%

$1,400 70%

$1,200 60%

$1,000 50%

$800 40%

$600 30%

$400 20%

$200 10%

$0 0% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E

SG&A 1 Applications R&D R&D + Applications (% of Total)

Investments Drive Market Leadership

96 KLA Non-Confidential | Unrestricted 1 Excluding Applications. Market Leadership Sustained by New Product Introductions

2012 2013 2014 2015 2016 2017 2018 2019 3900 / 3905 3920 / 3925 2900 / 2905 2910 / 2915 2920 / 2925 2930 / 2935 2950 / 2955 Puma™ 9650 Puma™ 9850 Puma™ 9980 Voyager™ 1015 CIRCL™ BDR300™ 8920 CIRCL™5 8930 eDR®-7100 eDR7380™ eS800™ eDR®-7110 eDR7280™ eS805™ / eS810™ eSL10™ Surfscan® SP3 450 Surfscan® SP5 Surfscan® SP5XP Surfscan® SP7 Archer™ 600 Archer™ 700 / 750 Archer™ 500 Archer™ 500LCM ATL100™ ATL150™ Aleris® 8510 SpectraFilm™ LD10 SpectraFilm™ F1 SpectraShape™ 9000 SpectraShape™ 10K WaferSight™ 2+ WaferSight™ PWG WaferSight™ PWG2 PWG3™ FlashScan® 200 X5.2™ Teron™ SL650 Teron™ SL655 Teron™ 630 X5.3™ Teron™ 640e Teron™ SL670e Teron™ 611 LMS IPRO6 Teron™ 640 LMS IPRO7 PROLITH™ X4.2 PROLITH™ X5.1 PROLITH™ X4.1 PROLITH™ X6.0 PROLITH™ 2019b PROLITH™ X5 PROLITH™ X5.2 K-T Analyzer® 9.0 ProDATA™ V2.0 RDC 5D Analyzer® X1 ProDATA™ V2.1 WI-2280 CIRCL™-AP Kronos™ 1080 ICOS™ T3 Series ICOS™ CI-T640 ICOS™ T830 ICOS™ F160 ICOS™ T7 Series

97 KLA Non-Confidential | Unrestricted High Level of R&D Investment Powers Market Leadership

2018 PROCESS CONTROL REVENUE ($M)

KLA Revenue

Applied Materials

Hitachi High-Technology

NANO / Rudolph

ASML

Lasertec

KLA R&D Investment

$- $500 $1,000 $1,500 $2,000 $2,500 $3,000 $3,500

98 KLA Non-Confidential | Unrestricted Source: Gartner April 2019 and Company estimates. Operational Excellence in Action | Product Development ROI

EXAMPLE 1 | WAFER INSPECTION EXAMPLE 2 | METROLOGY

2.0 8% 2.0 1.9x 8% 1.8x

1.8 1.8 Gross Gross Margin Improvement % 1.6x 7% Gross Margin Improvement % ~750 bps 7% ~600 bps 1.6 1.6 1.8x 6% 1.6x 6% 1.4 1.4 1.2x 1.2x 5% 5% 1.2 1.2 1.0x 1.0x 1.0 4% 1.0 4%

0.8 0.8 3% 3% 0.6 0.6

2% 2% Average Selling Price (ASP) Index Price Selling (ASP) Average Average Selling Price (ASP) Index Price Selling (ASP) Average 0.4 0.4 1% 1% 0.2 0.2

0.0 0% 0.0 0% Iteration 1 Iteration 2 Iteration 3 Iteration 4 Iteration 1 Iteration 2 Iteration 3 Iteration 4 Iteration 5

ASP Incremental Gross Margin (%) ASP Incremental Gross Margin (%)

Enhancing Gross Margin from Platform Extendibility

99 KLA Non-Confidential | Unrestricted Operational Excellence in Action | Margin Improvement from Acquired Business

1.3x OUTCOMES & BENEFITS 0.4x 0.9x AVERAGE SELLING PRICE 1.0x 1.6x Gross Margin Improvement AVG. COST OF GOODS SOLD Half from Pricing from Market Leadership

1.6x Half from Operational Excellence Leveraging KLA Global Supply and Manufacturing 2008 2018 Gross Margin Material / Parts Install / Wty. Mfg. / Logistics

Leveraging KLA Operating Model and Portfolio to Drive Margin Expansion

100 KLA Non-Confidential | Unrestricted Disciplined, Flexible Balance Sheet

STRONG DE-LEVERAGING PROFILE CONSOLIDATED BALANCE SHEET1 ($M) (Gross Debt to EBITDA) Cash $ 1,739 3.8x 1.5x-2.0x Working Capital $ 2,797 LT Target Total Assets $ 9,009 Debt $ 3,4232 Total Shareholders’ Equity $ 2,678

1.8x BOND MATURITY PROFILE

1.2x Bonds Outstanding $ 3,450M Weighted Average Coupon 4.47% Weighted Average Life 9.1 years INVESTMENT GRADE CREDIT RATINGS 2014 2018 2019E Moody’s Baa1 $3,250 $2,250 $3,450 S&P BBB Total Gross Debt ($M) Fitch BBB+

101 KLA Non-Confidential | Unrestricted 1 As of 6/30/19; 2 Difference between debt of $3.423B and gross debt of $3.450B is un-amortized debt issuance discounts and costs. Balanced Capital Allocation Strategy

USE OF CASH PRIORITIES STRONG TRACK RECORD OF ANNUAL DIVIDEND INCREASES

2016-2019E 2020E-2023E ($/per share) Current Annual Run Rate of $3.40 ~$12B ~$14B-$15B $3.10 $2.84 PRIMARY 22% 20%-23% ~35% ▪ Working Capital1 Target Dividend $2.26 Payout Ratio $2.04 $2.10 ▪ $1.90 20% R&D 23%-26% $1.70 ▪ Acquisitions2 $1.50 15% $1.20 8%-11% ▪ Capex (PP&E) CAGR 27% 3%-4% $0.80 $0.60 $0.60 3% 23%-26% SECONDARY $0.54 14% ▪ Share Repurchases 14% 16%-18% ▪ Dividends 2007 2008 2009 2010 2011 2012 2013 20143 2015 2016 2017 2018 2019E

$0.10 Quarterly Dividend Increase to $0.85; Additional Share Repurchase Authorization of $1.0B

102 KLA Non-Confidential | Unrestricted 1 Working Capital (as of 6/30/2019) = Current Assets – Current Liabilities; 2 Acquisitions includes $1.3B equity issued for Orbotech share exchange; 3 Excludes $16.50 per share special dividend. Disciplined and Strategic Approach to M&A

Sustainable operating leverage Growth and differentiation FINANCIAL CRITERIA and differentiation Aligned with KLA growth strategy ✓ Long run risk adjusted High utilization and long useful life net income return > systems share repurchase alternative Leverages ✓ IRR > cost of equity KLA Operating Model

#1 in key markets ✓ Earnings accretion within STRATEGIC first 6-12 months FILTERS ✓ Sustainable cost synergies that exceed control premium

Proprietary technology ✓ Long run ability to drive Complementary IP position to drive corporate level operating Broaden portfolio in new product opportunities leverage on incremental complementary areas Product complexity revenue growth Recurring revenue opportunities Complex systems integration

103 KLA Non-Confidential | Unrestricted M&A Scorecard

ADE SENSARRAY / ICOS ORBOTECH STRATEGIC FOCUS ONWAFER SPTS PCB FPD ADE 2006 2007 2008 2019 ▪ New product line ▪ Scale with wafer customers Differentiated Products ✓ ✓ ✓ ✓ ✓ ✓ ▪ Service opportunity Attractive Markets ✓ ✓ ✓ ✓ ✓ ✓ SENSARRAY / ONWAFER ▪ New product lines Market Leadership ✓ ✓ ✓ ✓ ✓ ✓ ▪ Consumable revenue streams ▪ Higher growth, lower volatility Profitability ✓ ✓ ✓ ✓ ✓ ✓

ICOS STRATEGIC Diversification and Growth ✓ ✓ ✓ ✓ ✓ ✓ ▪ Expansion outside of WFE ▪ Establish backend presence Scale, Synergies Alignment ✓ ✓ ✓ ✓ ✓ ✓ ORBOTECH Margin Improvement Since Purchase ✓ ✓ ✓ In Progress ▪ New product lines in semi ▪ TAM expansion into broader IRR > Cost of Equity ✓ ✓ ✓ In Progress electronics ecosystem ▪ Service opportunity

FINANCIAL Earnings Accretion within First 6-12 Months ✓ ✓ ✓ In Progress

✓ Met and/or Exceeded Filter ✓ Partially Met ✓ Did Not Meet (with Lessons Learned)

104 KLA Non-Confidential | Unrestricted Orbotech Cost Synergies Progress Ongoing Focus on Operational Excellence to Drive Synergies ACHIEVE TARGETED RUNRATE BY FOCUS AREA 2019 2020 2021+

Public Company Costs $6M - $8M

Corporate Overhead $20M - $23M

Direct Supply Chain & Procurement $10M - $15M

Global Footprint $10M

Business Rationalization $10M

On Track for ~$50M Synergies in First 12-24 Months; Opportunity for Upside

105 KLA Non-Confidential | Unrestricted 2010-2019E Market Forecasts

SEMICONDUCTOR CAPITAL INTENSITY1 ($M) PROCESS CONTROL GROWS IN-LINE WITH WFE1 ($M)

$500,000 30% $70,000 $7,000

25% $60,000 $6,000 $400,000

$50,000 $5,000 Process Control 20% $300,000 $40,000 $4,000

15% WFE $200,000 $30,000 $3,000 10% $20,000 $2,000 $100,000 5% $10,000 $1,000

$0 0% $0 $0 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E 2 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E 2 Semiconductor Revenue Capex Capital Intensity WFE (includes WLP) Process Control

2010-2019E CAGR 2014-2019E CAGR 2010-2019E CAGR 2014-2019E CAGR

WFE (including WLP) 5% 7% Semi Revenue 4% 5% Process Control 6% 7%

106 KLA Non-Confidential | Unrestricted 1 Source: KLA Model; 2 Based on Company estimates. Long-term Revenue Model Update

2017 MODEL GROWTH DRIVERS 2023E MODEL

Industry Growth ~2% 6-8% ▪ Diversification of end markets 7-9% 1% - 2% ▪ Flat-to-increasing capital intensity ▪ New applications Intensity Improvement / Share Gain 1% - 2% ~1% ▪ New product pipeline ▪ Higher Process Control intensity Service Growth ▪ Growth in installed base ▪ High fab utilization 4% - 5% 4% - 5% ▪ Service opportunities in acquired businesses

Through-Cycle Revenue Growth Targets

107 KLA Non-Confidential | Unrestricted ◼ Industry Growth ◼ Intensity Improvement / Share Gain ◼ Service Growth 2023E Target Model Revenue Bridge

$250M - $350M $7.0B - $7.5B $200M - $250M $200M - $250M $400M - $500M $400M - $500M ~7% CAGR ~11% CAGR $400M - $500M 9% - 11% CAGR ~$5.1B1 1% - 2% Growth 4% - 5% CAGR

108 KLA Non-Confidential | Unrestricted 1 Based on consensus estimates as of 9/12/19. Orbotech Updated Normalized Business Model (Revenue Scenarios)

ASSUMPTIONS Revenue $5.0B - $5.5B $5.5B - $6.0B $6.0B - $6.5B $6.5B - $7.0B $7.0B - $7.5B ▪ Reflects continuation of Semi Process Control business model and addition Gross Margin 59% - 60% 60% - 61% 60% - 61% 60% - 61% 61%+ of new businesses ▪ Through cycle performance ▪ Aggregate new M&A of R&D 15% - 16% 14% - 15% 14% - 15% 13% - 14% ~13% ~$300M at ~20%+ operating margin (%), including SBC ▪ 40% - 50% incremental SG&A 12% - 13% 11% - 12% 10% - 11% 10% - 11% ~10% operating margin ▪ $50M+ in combined company synergies from Operating Margin 32% - 33% 33% - 34% 34% - 35% 35% - 36% 36%+ Orbotech acquisition

Leverage to Growth and Resiliency in Downturns

109 KLA Non-Confidential | Unrestricted Note: Non-GAAP. 2023E Long-term Targets

2023E ONGOING FINANCIAL MACRO ASSUMPTIONS TARGETS FRAMEWORK ▪ Semiconductor industry CAGR of 4% - 5% ▪ Capital intensity ~flat SALES $7.0B - $7.5B 7% - 9% CAGR ▪ ~60% memory / ~40% foundry/logic mix ▪ Process Control Market grows with WFE OPERATING MARGIN 36%+ 40% - 50% (Incremental) BUSINESS ASSUMPTIONS ▪ Intensity/market share gain at leading edge ~1.5x EARNINGS PER SHARE $14.50 - $15.50 ▪ Revenue Growth Rate Greater exposure to trailing edge markets ▪ New market penetration Target Payout Balanced Between CAPITAL ALLOCATION Dividend and ▪ M&A with synergy execution ~70% of FCF Share Repurchase

Driving Profitable Growth and Delivering Shareholder Value

110 KLA Non-Confidential | Unrestricted Key Takeaways

1 Ability to achieve significant revenue growth with diversified revenue stream

2 Superior margin profile driven by strong customer value proposition and operational discipline

3 Strong operating leverage and cash flow generation to fuel investments and returns

Disciplined balance sheet and balanced capital allocation strategy to 4 support growth initiatives

5 Well positioned for profitable growth to deliver superior shareholder value

111 KLA Non-Confidential | Unrestricted Closing Remarks Rick Wallace | CEO and President Investment Summary

Global leader in process control and supplier of process- 1 enabling solutions for the data era

Sustained technology leadership allows us to remain at forefront of industry trends 2 with new capabilities and technologies, enabling our profitable growth strategy

Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, 3 significant R&D investments, and differentiated solutions to solve our customers’ most complex challenges

Experienced and energized leadership team utilizing the KLA Operating Model 4 to instill a high-performance culture driving efficiency and operating performance

Track record of strong cash flow generation supported by diversification of 5 revenue streams; balanced capital allocation delivering superior shareholder value

113 KLA Non-Confidential | Unrestricted Q&A Session All Presenters Appendix Reconciliation of GAAP & Non-GAAP Financial Measures

($M) CY 2010 CY 2011 CY 2012 CY 2013 CY 2014 CY 2015 CY 2016 CY 2017 CY 2018 Total Revenues $ 2,486 $ 3,165 $ 3,127 $ 2,813 $ 2,885 $ 2,848 $ 3,259 $ 3,798 $ 4,305 GAAP Gross Income $ 1,475 $ 1,868 $ 1,789 $ 1,633 $ 1,644 $ 1,653 $ 2,051 $ 2,406 $ 2,768 % Margin 59% 59% 57% 58% 57% 58% 63% 63% 64%

Acquisition-related Charges 23 21 15 7 10 8 3 4 4 Restructuring, Severance and Other Related Charges - 2 - 3 1 11 0 - - Merger-related Charges - - - - - 0 1 1 - Non-GAAP Gross Income $ 1,498 $ 1,891 $ 1,804 $ 1,643 $ 1,655 $ 1,672 $ 2,055 $ 2,411 $ 2,711 % Margin 60% 60% 58% 58% 57% 59% 63% 63% 64%

GAAP Operating Expense 718 799 846 905 946 880 882 985 1,082 GAAP Operating Income $ 757 $ 1,069 $ 943 $ 728 $ 698 $ 773 $ 1,168 $ 1,421 $ 1,685 % Margin 30% 34% 30% 26% 24% 27% 36% 37% 39%

Acquisition-related Charges 10 10 9 9 6 5 2 1 18 Restructuring, Severance and Other Related Charges 6 5 3 4 9 24 - - - Merger-related Charges - - - - - 9 16 9 - Debt Extinguishment Loss and Recapitalization Charge - - - - 3 - - - - Restatement-related Charges 5 6 ------Non-GAAP Operating Expense 697 778 834 892 928 843 865 976 1,065 % of Revenue 28% 25% 27% 32% 32% 30% 27% 26% 25%

Non-GAAP Operating Income $ 801 $ 1,113 $ 970 $ 751 $ 727 $ 829 $ 1,190 $ 1,435 $ 1,706 % Margin 32% 35% 31% 27% 25% 29% 37% 38% 40%

116 KLA Non-Confidential | Unrestricted Reconciliation of GAAP & Non-GAAP Financial Measures

($M) CY 2015 CY 2016 CY 2017 CY 2018 6/30/19 TTM Total Revenues $ 2,848 $ 3,259 $ 3,798 $ 4,305 $ 4,569 GAAP Gross Income $ 1,653 $ 2,051 $ 2,406 $ 2,768 $ 2,700

Acquisition-related Charges 8 3 4 4 125 Restructuring, Severance and Other Related Charges 11 0 - - - Merger-related Charges 0 1 1 - - Non-GAAP Gross Income $ 1,672 $ 2,055 $ 2,411 $ 2,711 $ 2,825

GAAP Operating Expense $ 880 $ 882 $ 985 $ 1,082 $ 1,310

Acquisition-related Charges 5 2 1 18 91 Restructuring, Severance and Other Related Charges 24 - - - - Merger-related Charges 9 16 9 - - Non-GAAP Operating Expense $ 843 $ 865 $ 976 $ 1,065 $ 1,219

GAAP Provision for Income Tax $ 130 $ 204 $ 671 $ 195 $ 121 Income Tax Effect of Non-GAAP Adjustments (17) (6) (5) (1) (55) Discrete Tax Items - (3) 442 (18) (9) Non-GAAP Provision for Income Tax $ 147 $ 213 $ 234 $ 215 $ 185

GAAP Income Used in Calculation of ROIC $ 642 $ 965 $ 750 $ 1,490 $ 1,268 Non-GAAP Income Used in Calculation of ROIC $ 682 $ 977 $ 1,201 $ 1,492 $ 1,421 TTM Total Invested Capital $ 3,567 $ 3,766 $ 4,077 $ 3,833 $ 5,013 GAAP ROIC 18% 26% 18% 39% 25% Non-GAAP ROIC 19% 26% 29% 39% 28%

117 KLA Non-Confidential | Unrestricted Reconciliation of GAAP & Non-GAAP Financial Measures

($M, except per share amounts) CY 2015 CY 2016 CY 2017 CY 2018 GAAP Net Income $ 531 $ 864 $ 656 $ 1,421 Adjustments to Reconcile GAAP Net Income to Non-GAAP Net Income Acquisition-related Charges 12 4 5 21 Restructuring, Severance and Other Related Charges 35 0 - - Merger-related Charges 9 17 9 - Income Tax Effect of Non-GAAP Adjustments (17) (6) (5) (1) Discrete Tax Items - (3) 442 (18) Non-GAAP Net Income $ 570 $ 876 $ 1,108 $ 1,423

Shares Used in Diluted Shares Calculation 159.3 156.4 157.6 155.6 GAAP Diluted EPS $ 3.34 $ 5.50 $ 4.15 $ 9.13 Non-GAAP Diluted EPS $ 3.58 $ 5.60 $ 7.03 $ 9.14

118 KLA Non-Confidential | Unrestricted Speaker Biographies Rick Wallace | Chief Executive Officer & President

Rick Wallace, serves as the CEO and President of KLA Corporation as well as a member of the Company’s Board of Directors. He began as an applications engineer at KLA Instruments in 1988 and has held various general management positions throughout his 30+-year tenure with the Company. Earlier in his career, he held positions with Ultratech Stepper and Cypress Semiconductor.

Wallace currently serves on the board of directors for Proofpoint, Inc., a security and compliance company.

Wallace earned his Bachelor of Science degree in electrical engineering from the University of Michigan and his Master of Science degree in engineering management form Santa Clara University.

120 KLA Non-Confidential | Unrestricted Bren Higgins | EVP, Chief Financial Officer

Bren Higgins is Executive Vice President and Chief Financial Officer of KLA Corporation. Higgins, who has been CFO since 2013, oversees and manages the Company’s finance, accounting and control functions, global manufacturing and procurement operations, corporate business development, and investor relations.

Higgins began his tenure at KLA in 1999 and held a variety of financial roles across the Company. These roles include multiple division and business group controller assignments; senior director of financial planning and analysis; and vice president of corporate finance, where he had responsibility for treasury operations, investor relations, and supported corporate business development activities.

Higgins earned his bachelor’s degree from the University of California, Santa Barbara, and his master’s degree in finance from the University of California, Davis.

121 KLA Non-Confidential | Unrestricted Oreste Donzella | EVP, Chief Marketing Officer

Oreste Donzella is an Executive Vice President at KLA Corporation, where he currently serves as Chief Marketing Officer.

In his 20 years at KLA, he has held leadership roles in the field of customer engagement, process control solutions, application development, strategic marketing and product development. Before joining KLA, Donzella worked at and Micron, where he held various engineering and management positions in process integration and yield enhancement.

Donzella currently serves in SEMI North America advisory board.

Donzella earned his master’s degree in electrical engineering from the University La Sapienza in Rome, Italy.

122 KLA Non-Confidential | Unrestricted Ahmad Khan | President, KLA Semiconductor Process Control

Ahmad Khan serves as President of KLA Semiconductor Process Control, where he ensures product and business success for all inspection and metrology markets.

Khan joined KLA Corporation in 2004 with responsibilities for Business Development before moving into management for multiple product divisions. He was named General Manager of the Optical Film Metrology division in 2007, and more recently was appointed Group Vice President for the Patterning Division, which included reticle inspection, optical CD, overlay and 5D Process Control. Prior to joining KLA, Khan held various product engineering and management roles at .

Khan earned his Bachelor of Science in electronics engineering technology from DeVry University.

123 KLA Non-Confidential | Unrestricted Brian Lorig | EVP, Global Support and Services

Brian Lorig is Executive Vice President and has served as general manager of KLA Corporation’s Global Support and Services organization since 2016. This organization includes the Company’s services group and KLA Pro Systems, which enable customers to maintain high performance and productivity through multiple tool generations.

Lorig joined the Company in 1998 and has held a number of leadership positions in Manufacturing Operations and Service, including Vice President of U.S. Manufacturing and Operations Group, and Vice President of Global Support and Services Field Operations.

Lorig earned his Bachelor of Science in supply chain management from Arizona State University and his MBA from Santa Clara University.

124 KLA Non-Confidential | Unrestricted