<<

POLITECNICO DI TORINO Repository ISTITUZIONALE

A Quantum Computation Model for Molecular Nanomagnets

Original A Quantum Computation Model for Molecular Nanomagnets / Cirillo, Giovanni Amedeo; Turvani, Giovanna; Graziano, Mariagrazia. - In: IEEE TRANSACTIONS ON NANOTECHNOLOGY. - ISSN 1536-125X. - 18(2019), pp. 1027-1039. [10.1109/TNANO.2019.2939910]

Availability: This version is available at: 11583/2761452 since: 2019-12-05T12:10:15Z

Publisher: IEEE

Published DOI:10.1109/TNANO.2019.2939910

Terms of use: openAccess This article is made available under terms and conditions as specified in the corresponding bibliographic description in the repository

Publisher copyright

(Article begins on next page)

04 October 2021 1

A quantum computation model for molecular nanomagnets Giovanni Amedeo Cirillo, Student Member, IEEE, Giovanna Turvani, and Mariagrazia Graziano, Member, IEEE

Abstract—Molecular nanomagnets can be considered as serious states (encoding 0 and 1) at the same time [2]. Superposition candidates for the definition of a scalable and reliable quantum permits to define an equivalent parallel computation model, computing technology: information is encoded on their spins involving quantum algorithms faster than the corresponding and they ensure relaxation and decoherence times sufficiently long for the execution of tens of quantum operations. Among classical ones, in terms of computational costs. For example, these devices, Cr7Ni supramolecular complexes are extremely of a quantum computer is able to execute in polynomial time interest since they implement a universal set of quantum gates, prime factoring, which requires exponential time in Boolean- made of single- gates and the two-qubit Controlled-phase based computation [3]. gate. A model for analyzing Cr7Ni molecules and a potential Many technologies have been proposed for implementing a quantum computer architecture are proposed: starting from the energy parameters required for manipulations, these systems quantum computer based on superconductivity [4]–[7], trapped have been proved to implement elementary quantum algorithms. ions [8], photons [9], [10], technologies (ultracold atoms Within this paper, two, three and four-qubit systems have been in optical lattices, thin-film superconductors, Semiconductor analyzed: the simulation of quantum operations and the analysis Heterostructures) for topological [11], of dynamical non-idealities have been done in parallel. Our [12] and spin systems as quantum-dots [13], [14]–[16] approach enables the definition of an operating point - in terms of magnetic driving fields and latency - in which the execution of and molecules [17]–[21], which can be as organic as inorganic elementary quantum algorithms is characterized by negligible [22]. Molecular is encoded on spin orien- errors. The proposed model has been entirely implemented tations and are manipulated through magnetic resonance in MATLAB, thus obtaining a software infrastructure for the techniques. Many quantum algorithms have been executed on analysis of Cr7Ni molecules that can be extended to quantum apparatus for liquid-state NMR spectroscopy [23]–[30]. The systems with analogous Hamiltonian and behavior. molecules typically employed for NMR quantum information Index Terms—Molecular Nanomagnets, Quantum Computing processing usually encode information on nuclear spins of Architectures, Quantum Algorithms, Innovative Technology hydrogen, carbon and fluorine atoms. The main limitation of this technology is related to the scaling of the number of I.INTRODUCTION qubits, through the synthesis of more complex molecules with more spin qubits; for this reason, the analysis of alternative The high-power consumption due to leakage currents and molecular technologies for quantum computing would permit the technological limits of lithography-based techniques used to overcome these limitations. Among spin-qubit technologies, for fabrication are making the development of Complementary we focused our attention on molecular nanomagnets, that result Metal-Oxide-Semiconductor (CMOS) technology increasingly extremely interesting not only for the capability of scaling harder, with the consequent risk that the computation per- the number of qubits through supramolecular chemistry tech- formance scaling in the next decades cannot be ensured. In niques, but also for their long relaxation and decoherence order to overcome these limitations, two different approaches timescales, enabling the implementation of tens of quantum have been considered: on the one hand, research can focus operations with negligible errors. In particular with this work, on technologies which can inherit the CMOS role of leading Cr7Ni-Co-Cr7Ni molecular nanomagnets, which has been technology for the production of microprocessors based on proved to implement a universal set of quantum gates [31]- deterministic binary information and boolean logic [1] (the have been analyzed. Starting from these properties, we present so-called classical computers); on the other, new computing here a simulation and validation model, entirely developed paradigms - alternative to the classical one - can be analyzed. in MATLAB, for the analysis of the execution of quantum Quantum Computation belongs to this set: its unit of in- operations and algorithms with these molecules. This bottom- formation, named qubit, is physically mapped on a quantum up methodology can be further exploited for the investigation state (e.g. a spin) instead of a classical state as a voltage. of other molecular technologies that can be candidates for the Since quantum states are characterized by superposition, the definition of a future quantum computer architecture. After an qubit has a non-null probability of being in two different overview of quantum computation fundamentals and the state of the art for quantum computing with molecular nanomagnets, The authors are with the Electronics and Telecommunications Department of Politecnico di Torino, Torino, 10129, Italy (e- the Cr7Ni-qubit model is presented, focusing on the universal mail: giovanni [email protected]; [email protected]; maria- set of quantum gates of this technology. In SectionVa [email protected]). potential quantum computer architecture is proposed, with the Copyright (c) 2019 IEEE. Personal use of this material is permitted. However, permission to use this material for any other other purposes must be Cr7Ni complex behaving as a processing unit. An overview obtained from the IEEE by sending a request to [email protected]. of the implemented instructions, exploitable for simulating 2 elementary quantum algorithms up to four qubits, is reported. the azimuth angle. The two basis states |0i (θ = 0) and |1i In SectionVI non-idealities are analyzed, in order to define (θ = π) - corresponding to the states 0 and 1 of a classical a quasi-optimal operating point for the molecular processor. bit - lie at the poles of the sphere; in these cases the value of In Section VIII the results obtained from the simulation of a φ is irrelevant. Points on the same latitude have the same 2 2 three-qubit algorithm are reported. Future perspectives for the probabilities |c0| and |c1| . technology and modeling infrastructure are reported in Section Operations on a qubit correspond to moving the state vector, IX. thus eventually changing its probabilities of being |0i or |1i, through rotations of the Cartesian axes described by matrices II.BACKGROUND Rx(θ), Ry(θ), Rz(θ) [2]. They are related to the well-known The quantum unit of information is the qubit; it is encoded Pauli matrices σx, σy and σz - typically employed for the on a quantum physical system with two basis states - tipically description of two-level quantum systems - by the following named |0i and |1i - implemented in many ways, as spin equations: states of , atomic energy levels, polarization states     −i θ σ θ θ R (θ) = e 2 x,y,z = cos I + i sin σ , of photons, or paths in an interferometer. In x,y,z 2 2 x,y,z and information theories the qubit is abstracted from physical θ θ π i details. The main difference between a qubit and a classical (σx,y,z) = e 2 Rx,y,z (θ) , (2) bit is that the first is not confined to one of the states |0i and 1 2 where I is the identity matrix. For example, (σx) = |1i, but can be found in arbitrary superposition states π π i 4 π  i 2       e Rx 2 and σz = e Rz(π). It must be observed that 1 0 c0 |ψi = c0 |0i + c1 |1i = c0 · + c1 · = (1) quantum gates implemented by real quantum computers are 0 1 c1 typically characterized by phase shifts of type eiφ that do where c0, c1 ∈ C are the probability amplitudes, each one as- not affect the final result. In fact, if a generic quantum gate sociated to one qubit basis state. The square magnitude of each eiφM is applied on the quantum state |ψi, resulting in a final 0 iφ iφ probability amplitude is equal to the probability of finding the state |ψ i = e M |ψi = e |ψM i, the scalar term does 2 0 2 qubit in the corresponding state, thus |c0| = P (|ψi = |0i), not affect any probability ψj of the final state since 2 2 2 2 2 2 |c1| = P (|ψi = |1i) and |c0| + |c1| = 1. For these reasons, 0 iφ 2 ψj = |e | · ψMj = ψMj . the qubit can be in two different states (encoding 0 and 1) According to the vector description of qubit, any quantum gate at the same time. The one-qubit model can be generalized involving k qubits can be described by a 2k ×2k unitary matrix to a quantum register of N qubits with 2N basis states N which modifies the probability amplitudes of a state vector of P2 −1 2 k |ψi = i=0 ci |ψii, with |ci| = P (|ψi = |ψii) and length 2 . N P2 −1 2 i=0 |ci| = 1. Superposition is the property permitting to quantum bits of being more powerful than their classical III.QUANTUMCOMPUTINGWITHMOLECULAR equivalents, since a higher degree of computing parallelism NANOMAGNETS can be exploited by employing qubits, given the same number N of information units. However, qubit’s superposition is Single-Molecule Magnets (SMMs) are coordination com- suppressed when the qubit value is measured; for this reason, pounds that show magnetic bistability and an energy bar- measurement must be executed only at the end of the sequence rier for magnetization reversal. Moreover, they display slow of operations required by a certain . relaxation of the magnetization at the single-molecule level Any state of the qubit can be represented as a unit vector (not involving any intermolecular interaction), so when the in the Cartesian space connecting the origin and a point on molecule is magnetized in presence of a magnetic field, it will the surface of the Bloch sphere (Figure1). The state vector retain its magnetization on removal of the field. They are based on a core of magnetic ions surrounded by ligands eventually exploited for linking different spin systems, thus allowing the implementation of scalable multi-qubit devices. For the energy analysis of these systems, a static field B = Bz is supposed to be applied on the molecule and their character- istic spin magnetic moment tends to align with the axis of the static field, with an orientation that minimizes energy. If an energy amount is provided to the system, the spin orientation changes, so it can be exploited for encoding information; moreover, since spin is a typical quantum mechanics quantity, quantum information can be encoded. It is possible to consider two different spin quantum numbers: the primary S - that is Figure 1. Bloch sphere in the Cartesian space. The north and south poles constant - and the secondary Sz, related to the projection of the |0i±|1i correspond to basis states |0i and |1i, while |±i = √ . z 2 molecule’s magnetic moment along the -axis and depending on the spin energy, ranging from −S to +S in steps of one, θ  iφ θ  can be written as |ψi = cos 2 |0i + e sin 2 |1i, where so the total number of states is 2S + 1. 0 ≤ θ ≤ π is the co-latitude angle and 0 ≤ φ ≤ 2π is Molecular nanomagnets - as any candidate technology for 3

Overcoming potential barrier Energy Energy

Quantum tunneling -S +S Quantum θ -S +S 0 π/2 π 0 number (a) Three-dimensional rep- (b) Molecule’s energy dependence on (c) Molecule’s energy dependence on the resentation of the magnetic the spin magnetic moment orientation spin quantum number Sz core of the molecule: pur- angle θ. ple atoms are the Mn ones.

Figure 2. Mn12 Single Molecule Magnet: molecular core of the magnet and energy characteristics. The two plots refer to the same energy depending on two different variables, so the heights of the potential barriers are exactly the same. Energy dependencies on the orientation angle θ and Sz present the same shapes for the Fe8 SMM.

3 3+ quantum computing - must ensure the implementability of an nuclear spin- 2 of the Tb ion. The second molecule can universal set of quantum gates, an efficient addressability of be embedded in a Single- Transistor and it has been qubits (i.e. the application of an excitation on a certain qubit experimentally proved to implement the iSWAP two-qubit gate must not influence the others) and long coherence timescales. [40] and the Grover’s search algorithm [41]. These multi- Theoretical chemistry provides an important role in their level devices present many difficulties for the implementation engineering, in particular for what concerns the modelling of of a universal set of quantum gates with independent and spin dynamics, which has a fundamental role in the definition addressable qubits, which is one of the main goals of quantum of design methodologies for molecular nanomagnets with long technology research. Moreover, the main problem of molecular 1 relaxation and coherence timescales. In order to have more magnets with S > 2 is their modeste decoherence timescale, accurate models describing the non-idealities of spin qubits, with the consequent limitation to the maximum number of efforts on integrating the spin-phonon interaction - which is operations executable with negligible error. In fact, it has recognized as a critical parameter for having slow relaxation been experimentally proved [42] that T2 values for molecules 1 of magnetization - into the computational methodologies of with S = 2 are some order of magnitude longer than 1 spin relaxation - mainly based on the analysis of the SMM those for S > 2 , typically in the order of magnitude of energy barrier - are currently made [32]. 1 µs-10 µs. The currently longest coherence timescale for a 1 From an historical point of view, Fe8 and Mn12 have been molecular nanomagnet T2 ≈ 0.7 ms belongs the spin- 2 of a 2− the most studied molecular nanomagnets. For these molecules, vanadium(IV) trisdithiolate platform([V (C8S8)3] ) diluted in S = 10 and the spin magnetic moment minimizes its energy nuclear spin-free solvent CS2 at cryogenic temperatures [43]. when it is oriented parallel or anti-parallel to the static field If a molecule presents more spins, they can be exploited as in- (θ ∈ {0, π}). Information can be encoded: dependent qubits. This is the case of the dinuclear complex of

• on the energy states with Sz = ±S, i.e. |0i and |1i are terbium or dysprosium ions [44], [45] and Cr7Ni supramolecu- defined on anti-parallel orientations. Spin can be changed lar complexes [31]: the first ones exploit the misalignment of by providing an energy amount either for overcoming the the easy axes and the different gyromagnetic ratios of two parabolic potential barrier in Figure2 or sufficient for spins to obtain a four-level quantum system implementing quantum tunneling, thus permitting the direct magnetiza- CNOT and SWAP quantum gates, but their scalability seems tion reversal from −S to +S (and vice versa); to be difficultly provable, while the others do not only present • on two adjacent energy states (e.g. |0i ↔ Sz = −S and high decoherence timescales (reach T2 ∼ 15 µs [46]) compared |1i ↔ Sz = −S + 1); with the other molecular nanomagnets for quantum computing • on more than two states, since a molecular magnet with and the capability of implementing a universal set of quantum N = 2S +1 states can be exploited to encode blog2(N)c gates, but they are also scalable. A detailed analysis of these bits. devices is available in the following sections.

In 2001 it was proved that Fe8 and Mn12 single molecule magnets are candidates for the realization of a quantum IV. CR7NISUPRAMOLECULARCOMPLEXES 1 computer - according to the DiVincenzo’s criteria [33]- Each Cr7Ni ring presents a characteristic spin with S = 2 capable to solve Grover’s algorithm [34]. More recently, other that is exploited for the definition of a qubit. Assemblies of molecular technologies based on transition metal complexes low-spin coupling rings have been made through switchable 1 [35] or lanthanides [36] have been proposed for spin quantum links, that are also characterized by a spin- 2 at low tempera- computing [37]. Some of them are based on multi-level ture (T = 5 K). Supramolecular chemistry is exploited to link systems as GdW30 single-ion magnet [38], [39], where the individual components with different spatial configurations, 3+ 7 Gd ion presents S = 2 , and the TbPc2 SMM, where thus influencing the properties of the resulting supramolecules. quantum information is encoded on the electrically-drivable When the switch between two qubits is frozen in its ground 4

Q2 Q2 S1 J2 J2 S2 S1 J2 J1 J1 J1 z Q1 y Q1 x

(a) Two-qubit molecule with two Cr7Ni rings (see light blue (b) Conceptual scheme of a four-qubit complex, where Q atoms) [31], each one encoding one qubit, and the intermediate and S refer to a spin qubit and a spin switch respectively: Co2+ ion (pink atom in the middle), associated to the spin the periodical structure and the different easy axes of spin switch. qubits connected to the same switch are highlighted.

Two-qubit molecule Three-qubit molecule 20 30 s = -1/2 s1 = s2 = -1/2 |1 1> 15 s = +1/2 s1 = -1/2 & s2 = +1/2 20 s1 = +1/2 & s2 = -1/2 |1 0> 10 s1 = s2 = +1/2 |0 1> 10 5 |0 0> 1] 1] - - 0 0 |1 1> E [cm E [cm -5 |0 1> -10 |0 0> |1 0> -10 -20 -15

-20 -30 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 B [T] B [T] (c) Zeeman diagram of a two-qubit molecule [47] (three spins). (d) Zeeman diagram of a three-qubit molecule [47] (five spins)

Figure 3. Cr7Ni supramolecular complexes and energy levels for two and three-qubit molecules: s refers to the switch spin secondary quantum number Sz, thus its orientation. Each energy level is associated to a possible combination of the spins orientations; in particular, the red curves are those where each spin switch presents ”open” orientation.

1 1 −→ th th 2+ state (|Szi = − 2 ), they can be treated as decoupled on 2 σ ) of the i Cr7Ni and the i Co spins respectively, a timescale of 500 ns when a magnetostatic field B ∼ 5 T J = diag ([Jx,Jy,Jz]) is the anisotropic exchange ten- is applied, so single qubit gates Rx(θ), Ry(θ) and Rz(θ) sor describing the interaction between adjacent spins, g = can be implemented by magnetic pulses resonant with the diag ([gx, gy, gz]) is the spectroscopic tensor describing the qubit gap. On the other hand, conditional gates between interaction of the magnetic moments with an external magnetic neighboring pairs of qubits are performed by temporarily field B and µB is the Bohr magneton. Considering Figure 3(b), bringing the switch spin to an excited state, where it changes the molecule presents a periodical structure, where all the op- 1 1 its orientation (|Szsw i = + 2 )[31], [47]. The spin- 2 unitary erators assume only two values in a regular way: Qi = Q1(2), matrix, describing the evolution of qubits and switches, is S = S , J = J and J = J when i i 1(2) iqubit,isw 1(2) isw,i+1qubit 2(1) available in AppendixA. is odd(even). Moreover, spin qubits ”linked” to the same spin 2+ A couple of Cr7Ni rings is connected through high spin Co switch have perpendicular easy axes due to different J and ions. From the analysis of two and three-qubit molecules g interactions. The parameters of the molecule employed in [31], [47], it is possible to write a general 2N − 1 spin- simulations are available in [31] and [47]. 1 2 Hamiltonian operator for N qubits and N − 1 switches, The Zeeman diagram for a static field along the z-axis - with according to the schematic representation - to be read from the energy levels of the two and three-qubit molecules - are left to right - of the supramolecular complex in Figure 3(b): available in Figures 3(c) and 3(d): energies are reported in −1 ˆ N N−1 terms of wavelength reciprocal (cm ), so the equivalence H X   X   −1 −1 =µB Qi · g · B + µB Si · g · B f [GHz] ' 30 · λ [cm ] can be exploited for interpreting iqubit isw } i=1 i=1 them in terms of frequency. For the analysis of these devices, it N−1 N−1 X   X   must assumed that qubits and switches are never entangled, + Q · J · Si + Si · J · Q , i iqubit,isw isw,i+1qubit i+1 so a quantum system made of N qubits and N − 1 switches i=1 i=1 (3) can be described by two independent state vectors with 2N 1 where Qi and Si are effective spin- 2 operators vectors (e.g. 1 1 1 Q = Qxxˆ + Qyyˆ + Qzzˆ = 2 σxxˆ + 2 σyyˆ + 2 σzzˆ = 5 and 2N−1 probability amplitudes respectively: difference of the phases of the two consecutive oscillations:   1 ⊗N−1 |11i ⊗ |Sz i = −  1 sw 2 |ψi = |ψi ⊗ |S i = − , system qubits zsw (4)   2 First π pulse π 1 −i( 2 +φ1) −−−−−−−−−−−→ |11i ⊗ |Szsw i = e + (7) f=∆f|11i,φ=−φ1 2 where ⊗ refers to the tensor product. The computational Second π pulse  1  i(φ2−φ1) −−−−−−−−−−−−→ |11i ⊗ |Szsw i = e − . basis is defined for states where the spin of each switch f=∆f|11i,φ=π−φ2 2 1 is |Sz i = − (red curves), i.e. the spin switch is in sw 2 A ⊗ kB = its ground state. For each qubit, |0i and |1i are encoded Then, according to the tensor product property 1 1 kA ⊗ B, where A and B are two vectors and k a scalar term, on qubit spin states Szqubit = − 2 and Szqubit = + 2 respectively. The ”open switch” states are exploited for the it is possible to ”pass” the accumulated phase contribution to implementation of single-qubit gates: magnetic anisotropy is the qubit state vector  1 responsible of the different transition frequencies of spin qubits i(φ2−φ1) |11i ⊗ |Szsw i = e − = (e.g. ∆f|00i→|01i 6= ∆f|00i→|10i), thus allowing to indepen- 2 (8) dently rotate them. The total transition energy/frequency from  1 i(φ2−φ1) e |11i ⊗ |Sz i = − ground state |000i to a state with two or more qubits equal sw 2 to |1i is the sum of those of each separate spin, e.g. the rotation energy required for the |000i → |101i transition If qubits are not both equal to |1i, the spin switch is not rotated (see inequalities in6), so the evolution in Equation would be ∆E101 = } (ω001 + ω100). For a magnetostatic field B ≤ 7 T, the single-qubit transition frequencies all belong to 7 does not take place and no phase amount to be provided the microwave spectrum. to the qubit vector is accumulated. In conclusion, the global Magnetic anisotropy is also responsible of a transition fre- unitary evolution on the qubit state vector is the same of quency shift of the spin switch depending exclusively on the a Cφ gate. According to [31], a couple of pulses - with states of the adjacent spin qubits. For a two-qubit molecule carrier frequency resonant with the transition |11i ⊗ (|Szsw i = 1 1 − 2 ) ⇔ |11i⊗(|Szsw i = + 2 ) and modulated by a Gaussian function with peak value of 50 G and 99% of area in about ∆f 1 1 6= |00i⊗(|Szsw i=|− 2 i)→|00i⊗(|Szsw i=|+ 2 i) 6 ns - permits to obtain the evolution of a CZ gate, i.e. a Cφ

∆f 1 1 6= with φ = π. |01i⊗(|Szsw i=|− 2 i)→|01i⊗(|Szsw i=|+ 2 i) (5) The quantum gates of Cr7Ni-Co-Cr7Ni supramolecular com- ∆f 1 1 6= |10i⊗(|Szsw i=|− 2 i)→|10i⊗(|Szsw i=|+ 2 i) plexes have already been proved to be employable for quan-

∆f 1 1 . tum simulation [48]–[50]; in fact, since these are particular |11i⊗(|Sz i=|− i)→|11i⊗(|Sz i=|+ i) sw 2 sw 2 unitary evolutions of the spins qubits, they can be engineered such that the spin system of the molecular nanomagnet can For a molecule with more than two qubits, it has been proved mimic the dynamics of another quantum system. In particular, that spin switch transition frequency is only affected by its a three-qubit molecule can simulate the transverse field Ising adjacent spin qubits and not by the others. This phenomenon is model [47]. exploited for the implementation of the two-qubit Controlled- phase (Cφ) gate V. ARCHITECTURE The previous results have been employed for developing 1 0 0 0  a MATLAB model for the Cr7Ni-Co-Cr7Ni supramolecular 0 1 0 0  Cφ =   . (6) complexes, which has been thought for investigating the quan- 0 0 1 0  tum algorithms that can be executed on the current molecules iφ 0 0 0 e with negligible errors. The model has been constructed from a theoretical description of a potential quantum computer In order to easily derive the unitary evolution matrix of the architecture, where the molecular nanomagnet can be treated Cφ gate in these molecules, it is convenient to analyze the as a Execution Unit. Computation is organized according to the evolution of each basis state (|00i , ··· , |11i) separately. In so-called quantum circuit model [2], where all the operations fact, each column of the unitary matrix corresponds to the are implemented as sequences of quantum gates which can be evolution of one basis vector; for a 4 × 4 matrix, the leftmost obtained in their turn by sequences of quantum gates belonging column refers to the evolution of |00i = [1, 0, 0, 0]T , while to a universal set. This model permits to interpret the architec- the rightmost refers to |11i = [0, 0, 0, 1]T . In these devices, ture in a sort of microprogramming paradigm, where quantum the Cφ gate is realized by a couple of oscillating π-pulses gates belonging to a universal set behave as microinstructions 1 resonant with the transition |11i ⊗ (|Szsw i = − 2 ) ⇔ |11i ⊗ exploitable for building a more complex instruction/quantum 1 (|Szsw i = + 2 ). When the spin qubits are both |1i, the spin gate set. For this reason, the most effective approach for switch is rotated and it accumulates two phases contributions developing a sophisticated quantum computation model is (see AppendixA for the computation of their values), one per starting from the elementary gates: the mathematical models rotation, thus obtaining a total phase shift eiφ depending on the for single-qubit and Controlled-phase gates are explained in 6

Figure 4. Conceptual block scheme of the proposed quantum computer architecture. Signal generators for manipulating the spin switch and qubit Q2 are equivalent to that for qubit Q1.

AppendicesA,B. at the transition frequencies different from the chosen one, The idea of the proposed architecture is mapping quantum in order to avoid undesired spin rotations. The described computation onto spin manipulations and a conceptual block architecture seems to be capable of totally satisfying in future scheme of a two-qubit computer is presented in Figure4; the DiVincenzo criteria for the physical implementation of a it is reiterated that the description is only theoretical and quantum computer [51]. there is not any corresponding real hardware. It is possible to distinguish four parts: A. Microinstructions • a memory system based on one classical Random-Access-

Memory and one classical micro-Read-Only-Memory, Rx(θ), Ry(θ) and the Cφ gates can be treated as the where instructions/quantum gates to be executed are microinstructions of the proposed architecture. The two-single stored and translated into sequences of those of the qubit gates differ for the phase of the oscillating pulse, that is universal set respectively; π equal to 0 and 2 for rotations about x and y axes respectively. • a front-end circuitry, for decoding each microinstruction They constitute an universal set of quantum gates, which is into a sequence of spin manipulations; proved to be alternative and equivalent to the well-known • a molecular quantum Execution Unit; Clifford+T set - made of Hadamard, S, T and CNOT gates [2] • a back-end circuitry for the readout, that is only enabled - in the following. In fact, sequences of these gates implement at the end of the quantum program, in order to ensure the Clifford+T ones except for a negligible phase contribution qubit superposition during the whole execution. (see the ending part of SectionI). It can be observed the only quantum part of the architecture The Hadamard gate works on single qubits with matrix is the molecule and the others are related on how spin 1 1 1  qubits must be sequentially manipulated or measured. Error H = √ . (9) correction techniques have not been analyzed, thus implying 2 1 −1 that the qubits’ non-idealities will inexorably affect the final After applying this gate to |0i or |1i, a measurement will have results. |0i+|1i equal probabilities to become 0 or 1 (|0i → √ = |+i and Spins are manipulated through Magnetic Resonance: an os- 2 |0i−|1i |1i → √ = |−i); for this reason, it is typically employed cillating pulse of specific amplitude, frequency and phase is 2 applied on spin qubits for single-qubit gates or on the Co at the beginning of quantum algorithms, in order to obtain an switch for implementing the Cφ gate. Critical parameters for equal superposition of states. A unitary evolution equivalent manipulating spins are the phase of the carrier and spin’s to the Hadamard gate can be obtained by rotation amount θ, that is equal - according to the Magnetic i π π  Resonance theory - to the product between the precession H = e 2 Rx(π)Ry . (10) 2 frequency around the xy plane ω1 - depending on the spin γ τ π  π  gyromagnetic ratio - and the time duration , supposing The S and T gates are nothing but Rz 2 and Rz 4 , except i θ a modulating rectangular pulse. In the proposed theoretical for a negligible scalar contribution e 2 . The implementation architecture, oscillating magnetic fields are assumed to be of these gates is ensured by the availability of Rx(θ) and a generated by appropriate spin manipulation signal generators Hadamard-like gate. In fact, any rotation about the Cartesian (SIG GEN in Figure4), where τ is fixed and belonging to the z-axis can be obtained as [5; 10]ns range for a two-qubit molecule - as in the simulation available in [31] - and the amplitude and phase of oscillations Rz(θ) = HRx(θ)H. (11) are the variable parameters. The generated signals must reach the transition frequencies of the spins of interest - in this case Considering Equations 10 and 11, it is possible to prove that in the microwave spectrum - and the frequency spectrum of rotations about x and y axes are sufficient for obtaining any the modulating signal must not have too intense harmonics rotation about the z, with an additional scalar contribution 7

i π+ θ e ( 2 ). The two-qubit Controlled-NOT (CNOT) gate in- A. Residual qubit-qubit interaction volves a control qubit and a target qubit. According to its 1 When the Co switch is in the off state (|Szsw i = − 2 ), it unitary matrix is not possible to consider the qubits isolated on an infinite   1 0 0 0 timescale, i.e. a residual interaction depending on J 1,2 ∼ 0 1 0 0 1 GHz [31] is always present. Assuming that a static field CNOT =   , (12) 0 0 0 1 B is applied on the z-axis and gµBB  J 1,2, the qubit-qubit 0 0 1 0 interaction can be described by the following Hamiltonian, obtained by treating spin-spin interactions J as second- the probability amplitudes of |10i and |11i are swapped, so 1,2 order perturbations of the Hamiltonian3 for two qubits, with the target qubit is flipped when the control is 1. This gate 1 |Sz i = − [47]: can be implemented on the device of interest by cascading sw 2 ˆ a Hadamard gate on the target qubit, a CZ gate and another Heff =ΓxxQ1xQ2x + ΓyyQ1yQ2y Hadamard gate on the target qubit (see the first equivalence } (14) in Figure5). + λ1Q1z + λ2Q2z + C, where Q = Q ⊗ I and Q = I ⊗ B. Instruction set 1k={x,y,z} k={x,y,z} 2k={x,y,z} Qk={x,y,z} are the spin operators of the two qubits. Apart from From the considered universal set of quantum gates it a constant term, Γxx and Γyy interactions (see [47] for the has been possible to build a library of multi-qubit gates expressions) induce an unwanted evolution when the switch (Figures5 and6) to be simulated - with the parallel analysis is turned off. The unwanted evolution can be controlled by of non-idealities reported in SectionsVI and VII - on the the size of the applied field or by the size of the Co-ring Cr7Ni-Co-Cr7Ni complexes. exchange, in order to obtain high-fidelity single qubit gates. In The implemented quantum gates are reported in Figure5: the simulation of the Unwanted Evolution (UE), the effect of they involve non-adjacent qubits or they present swapped fast oscillations induced by the rotations of single spins around control and target qubits with the respect to standard gates [2]. z (proportional to Sz) must be neglected, since they introduce Moreover, more complex multi-qubit gates on more complex a phase shift contribution which is not significant. It means molecules are obtainable (Figure6), as the three-qubit Toffoli that the unitary evolution of residual qubit-qubit interaction gate - with two control qubits and a target qubit which is can be written as toggled when the two controls are both 1 - and the reversible i ˆ i + Heff τ − Hˆeffτ Half-Adder (through the Peres gate) and Full-Adder on three UUE(t) = e } diag e } , (15) and four-qubit molecules respectively. ˆ where Heffdiag contains the terms on the main diagonal of the The library of quantum gates has also permitted the simu- effective Hamiltonian. In order to estimate the effect of the lation on two, three and four-qubit molecules of algorithms unwanted evolution, the fidelity as Deutsch-Josza algorithm [52], Grover’s algorithm for searching an element in an unsorted array [53], [54], Quan- F(t) = hψI (t) | ψ(t)i , (16) tum Fourier Transform (QFT), for is defined, where |ψI (t)i is the ideal evolution of the quantum 1 moving information from one qubit to another, Entanglement state and |ψ(t)i = UUE(t) |ψ(0)i is the real evolution due to Swapping for entangling two non-adjacent qubits [55] and a inter-qubit interaction. In the absence of residual coupling no two-qubit adder [56] (Figure7). evolution occurs, so that |ψI (t)i = |ψ(0)i. The fidelity time evolutions of two two-qubit molecules - where the spin-spin VI.NON-IDEAL EFFECTS interaction tensors J are in one case those reported in [31] In order to validate the architecture, it must be required that (blue curve), in the other one half of the previous ones (red non-idealities do not affect significantly the system, thus not curve) - for B = 5 T are plotted in Figure 8(a). A reduction by changing the results. Three dynamical effects must be taken a factor 2 of the Co-ring exchange is sufficient for increasing into account: the timescale of the evolution, and consequently of the time • spin relaxation; durations with fidelity close to 1, by one order of magnitude. • spin decoherence; In order to establish a time duration in which the effect is • residual inter-qubit interaction, that does not ensure the negligible, the time intervals TUE for which F ≥ 0.9 have complete insulation of the qubits when the switch is off. been determined in function of the applied magnetic field. These phenomena can be described by time constants, so a Moreover, since the right plot of Supplementary Figure 29 time duration on which they are negligible can be defined. in [31] permits to estimate the dependency of TUE in function It is recalled that spin relaxation is modeled by an exponential of the number of qubits N as transient decay, where the probability of being in the higher N − 1 energy state |1i is TUE(N) = TUE(N − 1) · , (17) t N − T P|1i = e 1 . (13) the results in Figure 8(b) are reported for molecules charac- terized by a number of qubits from two to eight. Left and For the molecular magnet [31] T1 = 17.73(33) µs, a value much greater than those associated to relaxation and qubit- 1It must be precised that for each non-ideal phenomenon, a different fidelity qubit interaction, hence spin relaxation can be neglected. must be defined. 8

a) • • b) Z • c) H • H = = = H Z H • Z • Z d) × • • e) • • • f) • × × = = • • = × • × × • U U g) U × × = × × • × × • × U × Figure 5. Implementation of some two-qubit gates available in the Instruction Set: a) CNOT-12; b) equivalence of CZ-12 and CZ-21; c) CNOT-21; d) SWAP; e) CNOT-13; f) generalized controlled gate with first qubit as control and third as target; g) generalized controlled gate with third qubit as control and first as target.

a) • • • • • T • = • • T † T † S H T † T T † T H b) |Ai • • |Ai c) |Ai • • |Bi • |A ⊕ Bi = |Si |Bi • • •

|Ci |C ⊕ ABi = |Couti |Cini • |Si

|0i |Couti 1 1 1 † 2 4 − 4 Figure 6. Implementation of three and four-qubit gates available in the Instruction Set : a) Toffoli (S, T and T are nothing but σz , σz and σz respectively); b) Peres, that behaves as Half-Adder for |Ci = 0; c) reversible Full-Adder.

a) |0i H • H • H b) × • H |0i H Z H Z H × H Z1/2 c) |0i H • d) |A1i • |A1i |0i • H |A0i • • |A0i |0i H • |B1i Z Z1/2 |S1i QF T QF T † |0i |B0i Z |S0i e)|ϕi • H f) |0i H H Uf |0i H • • |1i H |0i • |ϕi f(|+i) ⊕ |−i Figure 7. Some simulated algorithms: a) Grover’s search; b) Quantum Fourier Transform; c) Entanglement Swapping; d) Quantum Addition; e) Quantum Teleportation; f) two-qubit Deutsch’s algorithm.

1 right plots refer to molecules with J and 2 J exchange factors where TM is the coherence time. It must be observed that respectively: as expected from the B = 5 T case, the reduction decoherence affects not only the qubits but also the Co switch. of J terms by a factor two increases significantly the operating According to the available informations [31], the decoherence time intervals for the same magnetic field, or equivalently it is of each qubit in molecule is considered independent from possible to obtain the same TUE for lower static fields. Even the applied magnetic field and equal to TM = 683 ns for 1 though the 2 J molecules present a behavior much closer to T = 2.8 K, while the switch decoherence depends on B. the ideal one than the J ones, they have not been synthesized, Considering the Supplementary Table 5 of [31], a dependence thus they are not involved in the timing analysis in Section TMsw (B) has been estimated though linear regression. It has VII. been proved that for B ≥ 3 T the Co switch decoherence oc- curs on a timescale longer than that of qubits, thus permitting B. Decoherence to consider it negligible. In order to establish the effect of decoherence on the reliability Similarly to the residual qubit-qubit interaction, a fidelity of results, the time duration τ for which the error  is due to decoherence can be defined for non-interacting qubits √M N lower than 0.1 (thus F = 1 −  = 0.949 > 0.9) has subjected to Lindblad (Markovian) dynamics. In a worst case N been computed, by exploiting Equation 18 with T fixed. It is scenario, it is possible to define for a N-qubit molecule the M possible to obtain τ = 144 ns, τ = 94 ns and τ = 70 ns following decoherence error equation [31]: M M M for the two, three and four-qubit molecules respectively. N  − t   = 1 − e 2TM , (18) N 2 9

Fidelity (B = 5T) F 0.9 vs B (J) F 0.9 vs B (J/2) 1 J 350 2 4000 2 J/2 3 3 0.8 300 4 3500 4 5 5 6 3000 6 250 7 7 0.6 8 2500 8 200 [ns] [ns] F 2000 UE UE

0.4 T 150 T 1500 100 1000 0.2 50 500

0 0 0 0 2000 4000 6000 8000 10000 0 2 4 6 0 2 4 6 t [ns] B [T] B [T]

(a) Fidelity time evolution of two two-qubit molecules with differ- (b) Dependency of TUE on of the applied magnetic field for ent inter-qubit exchange factors. molecules with a number of qubits from two to eight (see the legend).

Figure 8. Analysis of the residual qubit-qubit interaction on the fidelity of the system. The values of the J tensor are those in [31].

VII.TIMING does not keep latency under threshold. In the molecule with three qubits, the controlled gates involving a couple of non- It is possible to define a time duration ∆t for the adjacent qubits, the Toffoli gate and the three-qubit Quantum implementation of quantum gates and algorithms in which Fourier Transform can be executed on a time interval lower molecules (with the parameters in [31]) can be considered than the critical one. The Grover’s algorithm requires a so high quasi-ideal. It is supposed that τM < TUE, i.e. the shortest number of gates that the timing requirements are not satisfied timescale is that associated to the qubit decoherence; in both S and P cases. Since the four-qubit Grover’s algorithm this condition can be reached by applying a sufficiently high requires a number of iteration that is at least equal to that of static field (B ∼ 6 T), with the drawback that the transition the three-qubit one and the pulse duration τ is not reduced by energies can belong to the infrared spectrum, especially for a sufficient amount to ensure a significant latency reduction, implementing the Cφ gate (150 GHz ≤ f ≤ 560 GHz the timing requirements are for sure not satisfied, so it has approximately in a three-qubit device). The time interval for not been analyzed. The high number of SWAP gates required each gate/algorithm is computed by multiplying the longest by the four-qubit Quantum Fourier Transform for applying the sequence of gates from the system initialization to the final Cφ gates between non-adjacent qubits does not permit to keep measurement by the pulse duration τ, with all gates executed latency under the timing threshold. in sequence and τ fixed; it is a worst case scenario, since there are some single rotations on different qubits than could be VIII.SIMULATION executed in parallel and circuits are not optimized in order to The simulation procedure of the current MATLAB infras- reduce the latency. The pulse duration τ, which differs between tructure is exclusively functional, i.e. it only computes the molecules with different number of qubits, is set to the highest state vectors after the application of quantum gates, without value sufficient for ensuring that at least the 80% (so the directly considering non-ideality phenomena and the quantum majority) of the analyzed quantum instructions or algoritms gates errors due oscillations modulated by non-rectangular satisfies the condition ∆t < τM . In particular, τ equals 5 ns, pulses. In other words, the simulator actually permits to 1.7 ns and 0.47 ns for two, three and four-qubit nanomagnets define how a certain quantum algorithm can be executed on respectively. It has been proved that the condition for a good the analyzed Cr7Ni-Co-Cr7Ni supramolecular complexes by approximation of the Controlled-phase gate is valid in all cases exploiting their universal set of quantum gates. Each quantum (see AppendixB). circuit is decomposed into sequences of Rx(θ), Ry(θ) and In TableI, time durations of some simulated gates and Cφ gates, then the comparison of the final state vectors - algorithms are compared with the critical time duration. Quan- obtained by applying the unitary matrices of the developed tum gates up to the reversible Full-Adder and the Deutsch’s model (see AppendicesA andB) and those of ideal quantum algorithm can be computed with negligible errors. For what gates - is done. However, it is already possible with the concerns the two-qubit Grover’s algorithm, timing constraints available simulator to regulate - according to the analysis of are satisfied only if single-qubit gates executable on different non-idealities previously discussed - the magnetostatic field qubits at the same time - as the Hadamard or the Rx(π) B and the pulse duration τ, in order to estimate the qubits’ gates at the beginning of the algorithm (see the first quantum transition frequencies. It can be concluded that the current circuit in Figure7) - are effectively executed simultaneously simulation procedure is at a too preliminary stage for any (Grover P). The purely sequential approach Grover S - where type of comparison with other available simulation and real- single-qubit gates on different qubits are not parallelized - hardware execution infrastructures, as those provided by IBM. 10

(a) (b) (c) Two-qubit Three-qubit Four-qubit (τ = 5 ns, τM = 144 ns) (τ = 1.7 ns, τM = 94 ns) (τ = 0.47 ns, τM = 70 ns) Gate ∆t [ns] Gate ∆t [ns] Gate ∆t [ns] Hadamard 10 Toffoli 81.6 Toffoli-124 39.48 Cφ 10 Half-Adder 91.6 Full-Adder 67.68 CNOT-12 30 QFT 81.6 Deutsch 36.66 SWAP 90 Teleportation 88.4 Ent. Swapping 11.28 QFT 120 Deutsch 64.6 Addition 42.30 Grover S 200 Grover S 375.7 QFT 144.80 Grover P 110 Grover P 324.7 Table I LATENCY OF SOME SIMULATED OPERATIONS AND ALGORITHMS.

2 However, for sake of completeness, a comparison between error ∆|cijk| , i.e. the difference between the probabilities Quantum Teleportation (see Figure7) on a simulated three- computed by the molecular simulator and by the ideal unitary qubit molecular nanomagnet and on the superconducting real matrices, while the rightmost report the mean value and the quantum computer IBM Q 5 Tenerife (ibmqx4) - programmed standard deviation of each measured probability on the real through the IBM Q Experience website - is reported: the two quantum computer Pibmqx4. It is remarked that the effective technologies can be actually compared in terms of implemen- errors for the molecule would be much larger if non-ideality tation of the quantum circuit and equivalences of the results. models were directly inserted in the simulation. For what Non-idealities are assumed to negligibly contribute in both ∆|c |2 σ P  cases; for this reason, the operating point for the manipulation c ijk µ P  ibmqx4 ijkmol [×10−3] ibmqx4 [×10−3] of the spin qubits of the molecular nanomagnet is set to |000i 0.2891 + 0.0010 i -0.24 0.120 5 B = 7 T and τ = 1.7 ns, coherently with the analysis in |001i 0.2893 + 0.0012 i -0.35 0.106 14 SectionsVI and VII, while the averaging of the results of |010i 0.2881 + 0.0010 i 0.35 0.062 3 |011i 0.2883 + 0.0012 i 0.24 0.062 5 multiple experiments - performed on ibmqx4 with the same |100i -0.0016 + 0.4079 i 0.24 0.217 16 last calibration and number of shots fixed to 1024 - has been |101i -0.0008 + 0.4085 i -0.24 0.148 10 done. Assuming that in both cases the qubits are all initialized |110i -0.0023 + 0.4079 i 0.24 0.147 13 |111i -0.0014 + 0.4085 i -0.24 0.137 12 Table II RESULTS OF QUANTUM TELEPORTATION SIMULATION.

concerns the molecular nanomagnet, measurement operation has not been simulated, but it is possible to conclude - c considering the periodicity of ijkmol coefficients - that the probabilities of the basis states with most significant qubit Figure 9. Connectivity of IBM Q 5 Tenerife (ibmqx4) quantum computer. 1 1 equal to |0i are roughly 3 · 4 ' 0.083, while for those with least significant qubit equal to |1i it is 2 · 1 ' 0.167, to |0i, Teleportation procedure is executed after applying to 3 4 as theoretically expected. The absolute errors - due to the the upper bit the sequence R (π)R (1.9106), such that the z x calculations of the approximated Controlled-phase gate - are the information√ to be moved from one qubit to another is −3 |0i+i 2|1i all lower than 1 × 10 , while relative errors, they are less |ϕi = √ . 3 than 1%, so they can be reasonably treated as negligible. The Differently from what introduced in the previous, an optimized probabilities of measuring on the superconducting quantum circuit of the protocol is reported in both cases (Figure 10). computer q[2] = 0 and q[2] = 1, given by the sum of the i.e. It is precised that qubits are bottom-up ordered, the most probabilities of the basis states with the same value of q[2], are significant qubit corresponds to the lowest one in the quantum close to the expected ones; in fact, they are equal to 0.35 and circuit. 0.65 respectively, i.e. they deviate from the expected results in It can be clearly observed that the molecular implemen- ideal conditions by +5% and −2% respectively, thus proving tation requires an higher number of quantum gates than the the functional equivalences of the quantum circuits in Figure superconducting one (eighteen instead of eleven) mainly for 10. two reasons: on the one hand the CNOT gate is not native, i.e. it must be constructed from Hadamard and CZ gates, on the other the connectivity of qubits is linear (see Figure 3(b)), thus IX.CONCLUSIONS requiring SWAP gates in order to close non-adjacent qubits, A mathematical model and a potential quantum computer while the triangular connectivity of qubits Q0, Q1 and Q2 on architecture with molecular nanomagnets are proposed in this ibmqx4 (see Figure9) permits to avoid SWAP operations. work, taking into account the non-idealities of the target The results are available in TableII: the two leftmost columns technology. The realized MATLAB infrastructure has been c report the probability amplitudes ijkmol for each basis state developed in order to be easily extendable to other molecular computed by MATLAB functions and the probability absolute technologies for quantum computing. The results obtained 11

|0i Rx(1.9106) Z • H • |0i H • H Z • H Z H • H • |0i H Z H Z Z H Z H (a) Simulated three-qubit Cr7Ni-Co-Cr7Ni supramolecular complex.

π π  |0i U3 1.9106, 2 , 2 U2(0, π) U2(0, π) U2(0, π) |0i • U2(0, π) |0i U2(0, π) • • U2(0, π) • (b) Real ibmqx4 quantum computer and its connectivity (for single-qubit gates notation see [57]).

Figure 10. Optimized quantum circuits for teleportation. can be considered optimistic for the feasibility of a timescales of 15 µs have been already reached for computer based on Cr7Ni supramolecular complexes, since the synthesized Cr7Ni rings [46] and their insertion in supramolec- DiVincenzo criteria for quantum computers could be totally ular complexes would be an essential step towards a reliable satisfied in the next decades. and scalable quantum computing architecture. In order to obtain a system reliable on larger timescales, quantum information must be protected from errors due to APPENDIX A decoherence and other quantum noise (faulty quantum gates, 1 SPIN- 2 MANIPULATION quantum preparation and measurements); for these reasons, Given a static magnetic field B0 = B0z and an oscillating strategies for avoiding possible errors should be analyzed as 1 magnetic field B1 = 2B1 cos(ωt − φ)x applied on a spin- 2 (QEC) and quantum gates opti- quantum system with gyromagnetic ratio γ, the spin precesses mization, from both the physical implementation - e.g. the about the z-axis and the xy-plane at angular frequencies ω0 = so-called virtual-Z gates [58] - and circuit compilation points γB0 and ω1 = γB1 respectively. However, the precession of view. about the xy-plane at frequency ω1 is successful if and only For what concerns simulation, a sophisticated simulator for if the oscillation frequency ω is equal to ω0, which is the molecular quantum computing must be developed, where transition frequency required for changing the spin orientation. the results of a certain algorithm are evaluated in ”ideal” The spin unitary evolution on a time interval τ in a frame and ”real” conditions, i.e. under the effect of non-idealities. rotating with frequency ω0 around the z-axis can be written Moreover, the simulator should permit on the one hand to as: regulate physical parameters as the magnetostatic field, the " π # Ωτ  δ Ωτ  ω1 Ωτ  −i +φ cos − i sin sin e ( 2 ) frequencies of the external signals to be provided to the spin U = 2 Ω 2 Ω 2 ω −i π −φ qubits and the shapes of the modulating signals, whose choice 1 Ωτ  ( 2 ) Ωτ  δ Ωτ  Ω sin 2 e cos 2 + i Ω sin 2 influences the final results, on the other it could be employed in (19) p 2 2 a ”hardware-abstraction” mode, where users can design their where Ω = ω1 + δ is the Rabi frequency and δ = ω − ω0 quantum circuits with a technology-independent language as is the detuning. The magnitude square of the terms on the the intermediate-level OpenQASM [57], without the necessity anti-diagonal is equal to the transition/rotation probability to learn the internal routines of the simulator.   2 ω1 Ωτ The computer architecture perspectives and goals require the P|0i↔|1i = sin . (20) parallel support of chemical engineering. through the synthesis Ω 2 of molecules with more qubits connected in a structure more When the carrier signal is resonant with the transition - i.e. complex than linear and characterized by longer timescales δ = 0 and Ω = ω1 - the unitary evolution matrix can be of non-idealities. For what concerns the qubits connectivity, simplified it has been ascertained that the creation of qubit arrays from " π # ω1τ  ω1τ  −i( 2 +φ) single-qubit molecular building units do not necessarily en- ˜ cos 2 sin 2 e U = π . (21) ω1τ  −i( 2 −φ) ω1τ  sure the preservation of single-qubit coherence and relaxation sin 2 e cos 2 timescales, because the reciprocal inter-qubit distances and the It is possible to observe that ω τ = θ, i.e. these parameters molecular crystal lattice phonon structure may be modified 1 are related to the amount of spin rotation θ around an axis on [59]. Three-dimensional Metal-Organic Frameworks (MOFs) xy-plane depending on the phase φ; R (θ) and R (θ) gate are have been already analyzed for the fabrication of vanadyl [59] x y obtained for φ = 0 in the first case and φ = π respectively. or Cu2+ [60] qubit arrays, linked through tetracarboxylphenyl- 2 porphyrinate ligands in both cases. Lanthanide ion spin qubits APPENDIX B have been recently analyzed when combined with peptides: APPROXIMATION OF THE CONTROLLED-PHASE GATE this biomolecular approach seems to facilitate the preparation of new structures, with customizable properties (e.g. coherence The rotating frame does not permit to estimate correctly the timescales) and spatial organization [61]. unitary evolution of a spin when |δ| → ∞. This can be critical for describing the spin switch evolution when the external 12

excitation is not resonant with its transition frequency, i.e. [7] P. Krantz, M. Kjaergaard, F. Yan, T. P. Orlando, S. Gustavsson, and when the adjacent qubits are equal to |00i, |01i or |10i. An ap- W. D. Oliver, “A quantum engineer’s guide to superconducting qubits,” Applied Physics Reviews, vol. 6, no. 2, p. 021318, 2019. [Online]. proximated model has been exploited for the Cφ gate, in order Available: https://doi.org/10.1063/1.5089550 to reach a compromise between its effective unitary evolution [8] C. D. Bruzewicz, J. Chiaverini, R. McConnell, and J. M. Sage, and the simple equations of the spin- 1 evolution in the rotating “Trapped-ion quantum computing: Progress and challenges,” Applied 2 Physics Reviews, vol. 6, no. 2, p. 021314, 2019. [Online]. Available: frame. Each pulse rotating the spin switch is described by https://doi.org/10.1063/1.5088164 iP φ iP φ iP φ iP φ  a diagonal matrix M = diag [e 00 , e 01 , e 10 , e 11 ] , [9] P. Kok, W. J. Munro, K. Nemoto, T. C. Ralph, J. P. Dowling, and 1 G. J. Milburn, “Linear optical quantum computing with photonic qubits,” where Pij is the transition probability |iji (|Szsw i = − 2 ) ⇔ |iji (|S i = + 1 ). The total Cφ gate would be obtained Reviews of Modern Physics, vol. 79, no. 1, p. 135, 2007. zsw 2 [10] J. L. O’brien, “Optical quantum computing,” Science, vol. 318, no. 5856, by applying two pulses, with phases −φ1 and φ2 respectively; pp. 1567–1570, 2007. since Pij does not change in the pulse sequence, the gate can [11] C. Nayak, S. H. Simon, A. Stern, M. Freedman, and S. Das Sarma, be described in terms of matrix product as “Non-abelian anyons and topological quantum computation,” Rev. Mod. Phys., vol. 80, pp. 1083–1159, Sep 2008. [Online]. Available: https://link.aps.org/doi/10.1103/RevModPhys.80.1083  iP00∆φ  e 0 0 0 [12] J. D. Sau, R. M. Lutchyn, S. Tewari, and S. Das Sarma, “Generic new  0 eiP01∆φ 0 0  platform for topological quantum computation using semiconductor M2M1 =   , (22) 0 0 eiP10∆φ 0 heterostructures,” Phys. Rev. Lett., vol. 104, p. 040502, Jan 2010.   [Online]. Available: https://link.aps.org/doi/10.1103/PhysRevLett.104. iP11∆φ 0 0 0 e 040502 [13] D. Loss and D. P. DiVincenzo, “Quantum computation with quantum with ∆φ = φ2 − φ1. For sake of simplicity, φ2 and φ1 can dots,” Physical Review A, vol. 57, no. 1, p. 120, 1998. be set to φ and 0 respectively. Since the transition frequencies [14] B. E. Kane, “A silicon-based nuclear spin quantum computer,” nature, |iji (|S i = − 1 ) ⇔ |iji (|S i = + 1 ) are sufficiently vol. 393, no. 6681, p. 133, 1998. sw 2 sw 2 [15] J. J. Morton, D. R. McCamey, M. A. Eriksson, and S. A. Lyon, different for ensuring, for ω1τ = π, a transition probability “Embracing the quantum limit in silicon computing,” Nature, vol. 479, equal to 1 for |11i and Pijφ  1 for |iji 6= |11i on time no. 7373, p. 345, 2011. scales of some nanosecond, the product of the matrices would [16] R. Maurand, X. Jehl, D. Kotekar-Patil, A. Corna, H. Bohuslavskyi, R. Lavieville,´ L. Hutin, S. Barraud, M. Vinet, M. Sanquer et al., “A be approximately a Cφ. CMOS silicon spin qubit,” Nature communications, vol. 7, p. 13575, For the definition of the approximated model, it is supposed 2016. that P φ ≤ 1 is sufficient for a negligible phase of the [17] D. Cory, A. Fahmy, and T. Havel, “Ensemble quantum computing by 10 NMR spectroscopy,” Proceedings of the National Academy of Sciences complex exponential. Since φ ≤ π in all the cases of interest, of the United States of America, vol. 94, no. 5, pp. 1634–1639, 1997. 1 the transition probability must be P ≤ 10π = 0.032, that can [18] L. M. Vandersypen and I. L. Chuang, “NMR techniques for quantum be treated as a negligible value even in the canonical model. control and computation,” Reviews of modern physics, vol. 76, no. 4, p. 1037, 2005. According to Equation 20, it is possible to derive [19] I. Oliveira, R. Sarthour, T. Bonagamba, E. deAzevedo, and J. Freitas, ω 2 1 1 NMR Quantum Information Processing. Elsevier, 2007. max{P } = 1 = ≤ , (23) [20] M. Nakahara and T. Ohmi, Quantum computing: from linear algebra to Ω  2 10π physical realizations. CRC press, 2008. 1 + δ ω1 [21] T. Xin, B.-X. Wang, K.-R. Li, X.-Y. Kong, S.-J. Wei, T. Wang, D. Ruan, and G.-L. Long, “Nuclear magnetic resonance for quantum  2 computing: Techniques and recent achievements,” Chinese Physics and consequently δ ≥ 10π − 1. Neglecting the −1 term ω1 B, vol. 27, no. 2, p. 020308, feb 2018. [Online]. Available: with the respect to 10π, since each spin pulse provides a π https://doi.org/10.1088%2F1674-1056%2F27%2F2%2F020308 π [22] S. Sproules, “Molecules as electron spin qubits,” in Electron rotation and consequently ω1 = τ , the condition ensuring a Paramagnetic Resonance: Volume 25. The Royal Society of good approximation is Chemistry, 2017, vol. 25, pp. 61–97. [Online]. Available: http: //dx.doi.org/10.1039/9781782629436-00061 δτ √ r5π [23] J. Jones, M. Mosca, and R. Hansen, “Implementation of a quantum ≥ 10π ⇒ δf τ ≥ ≈ 2.8, (24) search algorithm on a quantum computer,” Nature, vol. 393, no. 6683, π 2 pp. 344–346, 1998. δ [24] L. M. Vandersypen, M. Steffen, G. Breyta, C. S. Yannoni, M. H. Sher- where δf = 2π . wood, and I. L. Chuang, “Experimental realization of shor’s quantum factoring algorithm using nuclear magnetic resonance,” Nature, vol. 414, no. 6866, p. 883, 2001. REFERENCES [25] F. Vind, A. Foerster, I. Oliveira, R. Sarthour, D. Soares-Pinto, A. Souza, and I. Roditi, “Experimental realization of the yang-baxter equation via [1] “International Technology Roadmap of Semiconductors 2.0. Beyond nmr interferometry,” Scientific Reports, vol. 6, 2016. CMOS.” 2015, http://public.itrs.net. [26] X. Peng, J. Zhang, J. Du, and D. Suter, “Quantitative complementarity [2] M. A. Nielsen and I. L. Chuang, Quantum Computation and Quantum between local and nonlocal character of quantum states in a three-qubit Information: 10th Anniversary Edition, 10th ed. New York, NY, USA: system,” Physical Review A - Atomic, Molecular, and Optical Physics, Cambridge University Press, 2011. vol. 77, no. 5, 2008. [3] P. W. Shor, “Polynomial-time algorithms for prime factorization and [27] J. Pan, Y. Cao, X. Yao, Z. Li, C. Ju, H. Chen, X. Peng, S. Kais, discrete logarithms on a quantum computer,” SIAM review, vol. 41, no. 2, and J. Du, “Experimental realization of quantum algorithm for solving pp. 303–332, 1999. linear systems of equations,” Phys. Rev. A, vol. 89, p. 022313, Feb [4] J. Clarke and F. K. Wilhelm, “Superconducting quantum bits,” Nature, 2014. [Online]. Available: https://link.aps.org/doi/10.1103/PhysRevA. vol. 453, no. 7198, p. 1031, 2008. 89.022313 [5] J. M. Chow, Quantum information processing with superconducting [28] W.-L. Chang and A. V. Vasilakos, Molecular Computing: Towards a qubits. Yale University, 2010. Novel Computing Architecture for Complex Problem Solving. Springer, [6] M. H. Devoret and R. J. Schoelkopf, “Superconducting circuits for 2014, vol. 4. quantum information: an outlook,” Science, vol. 339, no. 6124, pp. [29] W.-L. Chang, T.-T. Ren, and M. Feng, “Quantum algorithms and 1169–1174, 2013. mathematical formulations of biomolecular solutions of the vertex cover 13

problem in the finite-dimensional hilbert space,” IEEE transactions on [51] D. P. DiVincenzo, “The physical implementation of nanobioscience, vol. 14, no. 1, pp. 121–128, 2015. quantum computation,” Fortschritte der Physik, vol. 48, [30] W.-L. Chang, Q. Yu, Z. Li, J. Chen, X. Peng, and M. Feng, no. 9-11, pp. 771–783, 2000. [Online]. Available: https: “Quantum speedup in solving the maximal-clique problem,” Phys. //onlinelibrary.wiley.com/doi/abs/10.1002/1521-3978%28200009% Rev. A, vol. 97, p. 032344, Mar 2018. [Online]. Available: 2948%3A9/11%3C771%3A%3AAID-PROP771%3E3.0.CO%3B2-E https://link.aps.org/doi/10.1103/PhysRevA.97.032344 [52] D. Deutsch and R. Jozsa, “Rapid solution of problems by quantum [31] J. Ferrando-Soria, E. M. Pineda, A. Chiesa, A. Fernandez, S. A. Magee, computation,” Proc. R. Soc. Lond. A, vol. 439, no. 1907, pp. 553–558, S. Carretta, P. Santini, I. J. Vitorica-Yrezabal, F. Tuna, G. A. Timco, 1992. E. J. McInnes, and R. E. Winpenny, “A modular design of molecular [53] L. K. Grover, “A fast quantum mechanical algorithm for database qubits to implement universal quantum gates,” Nature communications, search,” in Proceedings of the Twenty-eighth Annual ACM Symposium vol. 7, p. 11377, 2016. on Theory of Computing, ser. STOC ’96. New York, NY, USA: [32] L. Escalera-Moreno, J. J. Baldov´ı, A. Gaita-Arino,˜ and E. Coronado, ACM, 1996, pp. 212–219. [Online]. Available: http://doi.acm.org/10. “Spin states, vibrations and spin relaxation in molecular nanomagnets 1145/237814.237866 and spin qubits: a critical perspective,” Chem. Sci., vol. 9, pp. 3265– [54] ——, “Quantum mechanics helps in searching for a needle in a 3275, 2018. [Online]. Available: http://dx.doi.org/10.1039/C7SC05464E haystack,” Physical review letters, vol. 79, no. 2, p. 325, 1997. [33] J. Tejada, E. Chudnovsky, E. Del Barco, J. Hernandez, and T. Spiller, [55] B. Coecke, The Logic of Entanglement. Cham: Springer International “Magnetic qubits as hardware for quantum computers,” Nanotechnology, Publishing, 2014, pp. 250–267. [Online]. Available: https://doi.org/10. vol. 12, no. 2, p. 181, 2001. 1007/978-3-319-06880-0 13 [34] M. N. Leuenberger and D. Loss, “Quantum computing in molecular [56] T. G. Draper, “Addition on a quantum computer,” arXiv preprint quant- magnets,” Nature, vol. 410, no. 6830, p. 789, 2001. ph/0008033, 2000. [35] M. J. Graham, J. M. Zadrozny, M. S. Fataftah, and D. E. Freedman, [57] A. W. Cross, L. S. Bishop, J. A. Smolin, and J. M. Gambetta, “Open “Forging solid-state qubit design principles in a molecular furnace,” quantum assembly language,” arXiv preprint arXiv:1707.03429, 2017. Chemistry of Materials, vol. 29, no. 5, pp. 1885–1897, 2017. [Online]. [58] D. C. McKay, C. J. Wood, S. Sheldon, J. M. Chow, and Available: https://doi.org/10.1021/acs.chemmater.6b05433 J. M. Gambetta, “Efficient z gates for quantum computing,” [36] A. Gaita-Arino,˜ F. Luis, S. Hill, and E. Coronado, “Molecular spins for Phys. Rev. A, vol. 96, p. 022330, Aug 2017. [Online]. Available: quantum computation,” Nature chemistry, vol. 11, no. 4, p. 301, 2019. https://link.aps.org/doi/10.1103/PhysRevA.96.022330 [37] M. Atzori and R. Sessoli, “The second quantum revolution: Role and [59] T. Yamabayashi, M. Atzori, L. Tesi, G. Cosquer, F. Santanni, M.-E. challenges of molecular chemistry,” Journal of the American Chemical Boulon, E. Morra, S. Benci, R. Torre, M. Chiesa, L. Sorace, Society, vol. 0, no. 0, p. null, 0, pMID: 31287678. [Online]. Available: R. Sessoli, and M. Yamashita, “Scaling up electronic spin qubits into a https://doi.org/10.1021/jacs.9b00984 three-dimensional metal–organic framework,” Journal of the American Chemical Society [38] J. J. Baldov´ı, S. Cardona-Serra, J. M. Clemente-Juan, E. Coronado, , vol. 140, no. 38, pp. 12 090–12 101, 2018, pMID: A. Gaita-Arino,˜ and H. Prima-Garc´ıa, “Coherent manipulation of spin 30145887. [Online]. Available: https://doi.org/10.1021/jacs.8b06733 qubits based on polyoxometalates: the case of the single ion magnet [60] C.-J. Yu, M. D. Krzyaniak, M. S. Fataftah, M. R. Wasielewski, and [GdW P O ]14−,” Chemical Communications, vol. 49, no. 79, pp. D. E. Freedman, “A concentrated array of copper porphyrin candidate 30 5 110 Chem. Sci. 8922–8924, 2013. qubits,” , vol. 10, pp. 1702–1708, 2019. [Online]. Available: http://dx.doi.org/10.1039/C8SC04435J [39] M. Jenkins, Y. Duan, B. Diosdado, J. Garc´ıa-Ripoll, A. Gaita-Arino,˜ [61] L. E. Rosaleny, S. Cardona-Serra, L. Escalera-Moreno, J. J. Baldov´ı, C. Gimenez-Saiz,´ P. Alonso, E. Coronado, and F. Luis, “Coherent V. Golebiewska, K. Wlazło, P. Casino, H. Prima-Garc´ıa, A. Gaita- manipulation of three-qubit states in a molecular single-ion magnet,” Arino,˜ and E. Coronado, “Peptides as versatile platforms for quantum Physical Review B, vol. 95, no. 6, p. 064423, 2017. computing,” The Journal of Physical Chemistry Letters, vol. 9, [40] C. Godfrin, R. Ballou, E. Bonet, M. Ruben, S. Klyatskaya, W. Wernsdor- no. 16, pp. 4522–4526, 2018, pMID: 30044106. [Online]. Available: fer, and F. Balestro, “Generalized ramsey interferometry explored with https://doi.org/10.1021/acs.jpclett.8b01813 a single nuclear spin qudit,” npj Quantum Information, vol. 4, no. 1, p. 53, 2018. [41] C. Godfrin, A. Ferhat, R. Ballou, S. Klyatskaya, M. Ruben, W. Werns- dorfer, and F. Balestro, “Operating quantum states in single magnetic molecules: Implementation of grover’s quantum algorithm,” Physical review letters, vol. 119, no. 18, p. 187702, 2017. Giovanni Amedeo Cirillo received the B.Sc. and M.Sc. degrees in Electronic [42] M. Jenkins, D. Zueco, O. Roubeau, G. Arom´ı, J. Majer, and F. Luis, “A Engineering – in 2016 and 2018 respectively – from Politecnico di Torino, scalable architecture for quantum computation with molecular nanomag- where is pursuing the Ph.D. degree in Electrical, Electronics and Commu- nets,” Dalton Transactions, vol. 45, no. 42, pp. 16 682–16 693, 2016. nications Engineering. His research activities mainly focus on technological [43] J. M. Zadrozny, J. Niklas, O. G. Poluektov, and D. E. Freedman, modelling and architectural design for Quantum Computing. “Millisecond coherence time in a tunable molecular electronic spin qubit,” ACS Central Science, vol. 1, no. 9, pp. 488–492, 2015, pMID: 27163013. [Online]. Available: https://doi.org/10.1021/ acscentsci.5b00338 [44] F. Luis, A. Repolles,´ M. J. Mart´ınez-Perez,´ D. Aguila,` O. Roubeau, Giovanna Turvani received the M.Sc. degree with honors (Magna Cum D. Zueco, P. J. Alonso, M. Evangelisti, A. Camon,´ J. Sese´ et al., Laude) in Electronic Engineering in 2012 and the Ph.D. degree from the “Molecular prototypes for spin-based cnot and swap quantum gates,” Politecnico di Torino. She was Postdoctoral Research Associate at the Physical review letters, vol. 107, no. 11, p. 117203, 2011. Technical University of Munich in 2016. She is currently Postdoctoral [45] A. M. Repolles´ Rabinad, Quantum computing with molecular magnets. Research Associate at Politecnico di Torino. Her interests include CAD Tools Prensas de la Universidad de Zaragoza, 2016, vol. 131. development for non-CMOS nanocomputing, architectural design for field- [46] C. J. Wedge, G. Timco, E. Spielberg, R. George, F. Tuna, S. Rigby, coupled nanocomputing and high-level device modeling. She is currently E. McInnes, R. Winpenny, S. Blundell, and A. Ardavan, “Chemical involved in a national project on microwave imaging technology for brain engineering of molecular qubits,” Physical review letters, vol. 108, stroke monitoring. no. 10, p. 107204, 2012. [47] A. Chiesa, P. Santini, and S. Carretta, “Supramolecular complexes for quantum simulation,” Magnetochemistry, vol. 2, no. 4, p. 37, 2016. [48] R. P. Feynman, “Simulating physics with computers,” International journal of theoretical physics, vol. 21, no. 6, pp. 467–488, 1982. [49] S. Lloyd, “Universal quantum simulators,” Science, pp. 1073–1078, Mariagrazia Graziano received the Dr.Eng. degree and the Ph.D in Elec- 1996. tronics Engineering from the Politecnico di Torino, Italy, in 1997 and 2001, [50] L. Sanchez-Palencia, “Quantum simulation: From basic principles respectively. Since 2002 she is Assistant Professor at the Politecnico di to applications: Foreword,” Comptes Rendus Physique, vol. 19, Torino. Since 2008 she is adjunct Faculty at the University of Illinois at no. 6, pp. 357 – 364, 2018, quantum simulation / Simulation Chicago and since 2014 she is a Marie-Curie fellow at the London Centre quantique. [Online]. Available: http://www.sciencedirect.com/science/ for Nanoelectronics. She works on ”beyond CMOS” devices, circuits and article/pii/S1631070518301245 architectures.