Hardware Description Language Program Slicing and Way to Reduce Bounded Model Checking Search Overhead

Total Page:16

File Type:pdf, Size:1020Kb

Hardware Description Language Program Slicing and Way to Reduce Bounded Model Checking Search Overhead Hardware Description Language Program Slicing and way to Reduce Bounded Model Checking Search Overhead by Jen-Chieh Ou Submitted in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy Advisor: Dr. Daniel G. Saab Department of Electrical Engineering and Computer Science CASE WESTERN RESERVE UNIVERSITY January 2007 CASE WESTERN RESERVE UNIVERSITY SCHOOL OF GRADUATE STUDIES We hereby approve the dissertation of ______________________________________________________ candidate for the Ph.D. degree *. (signed)_______________________________________________ (chair of the committee) ________________________________________________ ________________________________________________ ________________________________________________ ________________________________________________ ________________________________________________ (date) _______________________ *We also certify that written approval has been obtained for any proprietary material contained therein. To My Parents Table of Contents 1 INTRODUCTION 1 2 BACKGROUND 6 2.1 Basic Concepts . 6 2.2 Graphs . 8 2.3 Software Program Slicing . 13 2.4 Hardware Program Slicing . 16 3 RTL SLICER 20 3.1 Static/Conditioned RTL slicer . 20 3.2 VRM . 21 3.3 Static/Conditioned VRM Slicer . 23 3.4 RTL slicer example . 25 3.5 HDL Slicing vs. Cone of Influence Reduction . 27 4 FORMAL VERIFICATION METHODS 35 4.1 Temporal Logic . 38 4.2 Bounded Model Checking . 40 5 ATPG-Based BMC Formal 44 6 EXPERIMENT 48 6.1 USB2.0 IP Core . 48 6.2 Experiment Results . 49 7 CONCLUSIONS 59 List of Tables 1 Node statements of the VRM . 29 2 VRM for example Verilog code. 30 3 USB2.0 IP core property descriptions. 53 4 Slice size for each USB2.0 IP core property. 54 5 CPU time(second) of SMV. 55 6 Memory usage(MB) of SMV. 56 7 CPU time(second) of Formal. 57 8 Memory usage(MB) of Formal. 58 iv List of Figures 1 Overview . 5 2 A example for program slicing { Original Program . 9 3 The static slice with respect to the slicing criterion < S11, z > 10 4 The static slice with respect to the slicing criterion < S10, y > 10 5 Control Flow Graph . 11 6 Program Dependenc Graph . 12 7 The conditioned slice w.r.t. the slicing criterion < (x < 0) , S10, y > . 16 8 RTL slicer Framework . 21 9 Algorithm: Static/Conditioned VRM Slicer . 31 10 A hierarchical Verilog design. 32 11 Static slice . 33 12 Conditioned slice . 34 13 Framework of ATPG-based BMC. 46 14 Justification on k time frames. 47 15 USB2.0 functional blocks. 49 16 Building blocks of IP core for SIE. 49 v ACKNOWLEDGMENTS First of all, I would like to acknowledge my adviser Dr. Daniel G. Saab who always guides and encourages me through my entire Ph.D. research. Special thanks are given to his instructions, experiences and knowledge to help me overcome all the difficulties and challenges I have met in the past three and half years. I want to express deep gratitude to Dr. Christos A. Papachristou, Dr. Massood Tabib-Azar, and Dr. Swarup Bhunia, for serving on my dissertation committee and their valuable comments and suggestions to my research. I also want to thank my friends in the department, Qiang Qiang, Siva, Chia-Lun Chang, Chih-Hsueh Chang, Noppasit and Wen-Teng Chang, for their help and friendship. Finally, to my family in Taiwan. Thanks to all their support and encouragement in these years, I really appreciated. vi Hardware Description Language Program Slicing and way to Reduce Bounded Model Checking Search Overhead Abstract by Jen-Chieh Ou Modern complex digital systems are described in Hardware Description Language (HDL). The increase in design complexity is causing verification tools to require large amount of resources. In this research, we present a program slicing technique to extract statements from an RTL design that directly or indirectly contribute to a formal verification rule. The extracted statements constitute a less complex design that reduces the resource needed by verification tools without compromising the quality of the result. Both static and conditioned Verilog slicer is implemented in a computer program that is used as a pre-processor to SAT-based bounded model checker SMV and ATPG-based bounded model checker Formal. We show experimentally that the resources of the formal verification tool in terms of both CPU and memory are reduced significantly when verifying the USB2.0 IP core. The proposed slicer is the first hardware slicing technique that handles inter-module signal dependency in a hierarchical Verilog design environment. vii 1 INTRODUCTION 1 1 INTRODUCTION As the transistor count exceeds one hundred million per die in modern semiconductor devices, it allows circuit designers to add more functionality onto a single chip. The increasing circuit complexity poses a challenge to Computer-Aid Design (CAD) tools. These CAD tools are used at every step in the design cycle. A typical design cycle for a digital system starts with a specification that defines a desired function. Typically a design is described in a Hardware Description Language such as VHDL or Verilog. Simulation and verification tools are used to ensure correctness of the design by verifying that the implementation is consistent with the specification. After the behavioral hardware description is verified, another gate-level verification is performed. The process of behavioral verification and the gate-level verification is repeated until the design is verified. The final step is the technology mapping place and route to produce a layout. In the design process, verification is a crucial step. It requires large amount resource that is proportional to the size of the search space that is related to the HDL description. In current design, it is estimated that over 60% [48] of design effort is consumed by verification tools and it is expected to increase. This complexity is due to the trend in System on a Chip (SoC) and high-level design process which relying on the use of complex blocks with well defined functionally and interfaces and on integrating those blocks 1 INTRODUCTION 2 to produce a system. This is highlighted in the International Technology Road-map for Semiconductors (ITRS) documents which points to the fact that verification has become the dominant cost in the design process, and that verification engineers outnumber design engineers up to three to one on complex designs. It points out that design conception and implementation are becoming mere preludes to the main activity of verification. Simulation of the design using validation tests is the main technique used in industry to verify large designs. However, as designs become larger, the percentage of the simulated possible behavior becomes smaller and the confidence in the design obtained by simulation diminishes. There is a growing interest in complementing simulation based verification with formal methods. Unlike simulation, formal methods use mathematical reasoning to search the entire design space in order to verify design correctness. However, the design space is too large to be searched exhaustively in large designs. For this reason, the existing formal methods are only applicable to small portions of a design. In this research, we present a program slicing technique to extract statements from an RTL design that directly or indirectly contribute to a formal verification rule. The extracted statements constitute a small design that formal methods can be applied with reasonable CPU time and memory requirement. We implemented this technique in a computer program and 1 INTRODUCTION 3 compared its impact on a SAT-based bounded model checker SMV and on an ATPG-based bounded model checker Formal. Figure 1 shows how program slicing is integrated with a formal verification tool. In this approach, a set of Slice Target Variable (STV) and the Condition (Con) is generated based on the circuit property that needs to be verified. RTL program slicer reads the STV, Con and the Verilog description to compute a design slice that is behaviorally equivalent to the original design with respect to the property under verification. The slice that is smaller than the original design in terms of size and complexity is read by the verification tools, which verifies the validity of the property. We show experimentally that the resources of the formal verification tool in terms of both CPU and memory are reduced. In addition, the technique made it possible to verify properties that the verification tools could not verify. This verification does not compromise the quality of the result. The proposed slicer is the first hardware slicing technique that handles inter-module signal dependency in a hierarchical Verilog design environment. The principle contribution of this work is: 1. This is the first fully automated conditioned HDL slicer. 2. The first slicer that handles the inter-module signal dependency in a hierarchical Verilog design. 3. Users do not need to specify the slicing variables location like the 1 INTRODUCTION 4 conventional method. This allows designers with little knowledge of the Verilog code to generate meaningful slices. The rest of this thesis is organized as follows: Chapter 2 presents the basic concept and definition that used in our method. In this chapter, the program slicing concepts are defined in Chapter 2.1. The variant graphs that used by different program slicing methods are described in Chapter 2.2. The previous works on program slicing for software and hardware are reviewed in Chapter 2.3 and Chapter 2.4 respectively. In Chapter 3, we introduce a RTL program slicer and provide an example to show the static and conditioned slice of a hierarchical Verilog design obtained by our slicer. Chapter 4 gives a background of formal verification methods. Chapter 5, we formulates ATPG-based BMC and describes ATPG heuristic. Chapter 6 shows its impact of our method by verifying USB2.0 IP Core properties. Chapter 7 concludes. 1 INTRODUCTION 5 Property Condition(Con) Slice Target Variable(STV) Sliced Witness RTL Verilog Verification or Verilog Design Program Design Tool Valid Slicer Figure 1: Overview 2 BACKGROUND 6 2 BACKGROUND 2.1 Basic Concepts The following definitions are derived from earlier work in [55].
Recommended publications
  • Metadefender Core V4.12.2
    MetaDefender Core v4.12.2 © 2018 OPSWAT, Inc. All rights reserved. OPSWAT®, MetadefenderTM and the OPSWAT logo are trademarks of OPSWAT, Inc. All other trademarks, trade names, service marks, service names, and images mentioned and/or used herein belong to their respective owners. Table of Contents About This Guide 13 Key Features of Metadefender Core 14 1. Quick Start with Metadefender Core 15 1.1. Installation 15 Operating system invariant initial steps 15 Basic setup 16 1.1.1. Configuration wizard 16 1.2. License Activation 21 1.3. Scan Files with Metadefender Core 21 2. Installing or Upgrading Metadefender Core 22 2.1. Recommended System Requirements 22 System Requirements For Server 22 Browser Requirements for the Metadefender Core Management Console 24 2.2. Installing Metadefender 25 Installation 25 Installation notes 25 2.2.1. Installing Metadefender Core using command line 26 2.2.2. Installing Metadefender Core using the Install Wizard 27 2.3. Upgrading MetaDefender Core 27 Upgrading from MetaDefender Core 3.x 27 Upgrading from MetaDefender Core 4.x 28 2.4. Metadefender Core Licensing 28 2.4.1. Activating Metadefender Licenses 28 2.4.2. Checking Your Metadefender Core License 35 2.5. Performance and Load Estimation 36 What to know before reading the results: Some factors that affect performance 36 How test results are calculated 37 Test Reports 37 Performance Report - Multi-Scanning On Linux 37 Performance Report - Multi-Scanning On Windows 41 2.6. Special installation options 46 Use RAMDISK for the tempdirectory 46 3. Configuring Metadefender Core 50 3.1. Management Console 50 3.2.
    [Show full text]
  • Linuxvilag-66.Pdf 8791KB 11 2012-05-28 10:27:18
    Magazin Hírek Java telefon másképp Huszonegyedik századi autótolvajok Samsung okostelefon Linuxszal Magyarországon még nem jellemzõ, Kínában már kapható de tõlünk nyugatabbra már nem tol- a Samsung SCH-i819 mo- vajkulccsal vagy feszítõvassal, hanem biltelefonja, amely Prizm laptoppal járnak az autótolvajok. 2.5-ös Linuxot futtat. Ot- Mindezt azt teszi lehetõvé, hogy tani nyaralás esetén nyu- a gyújtás, a riasztó és az ajtózárak is godtan vásárolhatunk távirányíthatóak, így egy megfelelõen belõle, hiszen a CDMA felszerelt laptoppal is irányíthatóak 800 MHz-e mellett az ezek a rendszerek. európai 900/1800 MHz-et http://www.leftlanenews.com/2006/ is támogatja. A kommunikációt egy 05/03/gone-in-20-minutes-using- Qualcomm MSM6300-as áramkör bo- laptops-to-steal-cars/ nyolítja, míg az alkalmazások egy 416 MHz-es Intel PXA270-es processzoron A Lucent Technologies és a SUN Elephants Dream futnak. A készülék Class 10-es GPRS elkészítette a Jasper S20-at, ami adatátvitelre képes, illetve tartalmaz alapvetõen más koncepcióval GPS (globális helymeghatározó) vevõt © Kiskapu Kft. Minden jog fenntartva használja a Java-t, mint a mostani is. Az eszköz 64 megabájt SDRAM-ot és telefonok. Joggal kérdezheti a kedves 128 megabájt nem felejtõ flash memóri- Olvasó, hogy megéri-e, van-e hely át kapott, de micro-SD memóriakártyá- a jelenlegi Symbian, Windows Mobile val ezt tovább bõvíthetjük. A kijelzõje és Linux trió mellett. A jelenlegi 2.4 hüvelykes, felbontása pedig „csak” telefonoknál kétféleképpen futhat 240x320 képpont 65 ezer színnel. egy program: natív vagy Java mód- Május 19-én elérhetõvé tette az Orange Természetesen a trendeknek megfele- ban. A Java mód ott szükségessé Open Movie Project elsõ rövidfilmjét lõen nem maradt ki a 2 megapixeles tesz pár olyan szintet, amely Creative Commons jogállással.
    [Show full text]
  • A Classification and Comparison Framework for Software Architecture Description Languages
    A Classification and Comparison Framework for Software Architecture Description Languages Neno Medvidovic Technical Report UCI-ICS-97-02 Department of Information and Computer Science University of California, Irvine Irvine, California 92697-3425 [email protected] February 1996 Abstract Software architectures shift the focus of developers from lines-of-code to coarser- grained architectural elements and their overall interconnection structure. Architecture description languages (ADLs) have been proposed as modeling notations to support architecture-based development. There is, however, little consensus in the research community on what is an ADL, what aspects of an architecture should be modeled in an ADL, and which of several possible ADLs is best suited for a particular problem. Furthermore, the distinction is rarely made between ADLs on one hand and formal specification, module interconnection, simulation, and programming languages on the other. This paper attempts to provide an answer to these questions. It motivates and presents a definition and a classification framework for ADLs. The utility of the definition is demonstrated by using it to differentiate ADLs from other modeling notations. The framework is also used to classify and compare several existing ADLs. One conclusion is that, although much research has been done in this area, no single ADL fulfills all of the identified needs. I. Introduction Software architecture research is directed at reducing costs of developing applications and increasing the potential for commonality between different members of a closely related product family [GS93, PW92]. Software development based on common architectural idioms has its focus shifted from lines-of-code to coarser-grained architectural elements (software components and connectors) and their overall interconnection structure.
    [Show full text]
  • Lewis University Dr. James Girard Summer Undergraduate Research Program 2021 Faculty Mentor - Project Application
    Lewis University Dr. James Girard Summer Undergraduate Research Program 2021 Faculty Mentor - Project Application Exploring the Use of High-level Parallel Abstractions and Parallel Computing for Functional and Gate-Level Simulation Acceleration Dr. Lucien Ngalamou Department of Engineering, Computing and Mathematical Sciences Abstract System-on-Chip (SoC) complexity growth has multiplied non-stop, and time-to- market pressure has driven demand for innovation in simulation performance. Logic simulation is the primary method to verify the correctness of such systems. Logic simulation is used heavily to verify the functional correctness of a design for a broad range of abstraction levels. In mainstream industry verification methodologies, typical setups coordinate the validation e↵ort of a complex digital system by distributing logic simulation tasks among vast server farms for months at a time. Yet, the performance of logic simulation is not sufficient to satisfy the demand, leading to incomplete validation processes, escaped functional bugs, and continuous pressure on the EDA1 industry to develop faster simulation solutions. In this research, we will explore a solution that uses high-level parallel abstractions and parallel computing to boost the performance of logic simulation. 1Electronic Design Automation 1 1 Project Description 1.1 Introduction and Background SoC complexity is increasing rapidly, driven by demands in the mobile market, and in- creasingly by the fast-growth of assisted- and autonomous-driving applications. SoC teams utilize many verification technologies to address their complexity and time-to-market chal- lenges; however, logic simulation continues to be the foundation for all verification flows, and continues to account for more than 90% [10] of all verification workloads.
    [Show full text]
  • An Architectural Description Language for Secure Multi-Agent Systems
    An Architectural Description Language for Secure Multi-Agent Systems Haralambos Mouratidis1,a, Manuel Kolpb, Paolo Giorginic, Stephane Faulknerd aInnovative Informatics Group, School of Computing, IT and Engineering, University of East London, England b Information Systems Research Unit, Catholic University of Louvain (UCL), Belgium c Department. of Information and Communication Technology, University of Trento, Italy d Information Management Research Unit, University of Namur, Belgium Abstract. Multi-Agent Systems (MAS) architectures are gaining popularity for building open, distributed, and evolving information systems. Unfortunately, despite considerable work in the fields of software architecture and MAS during the last decade, few research efforts have aimed at defining languages for designing and formalising secure agent architectures. This paper proposes a novel Architectural Description Language (ADL) for describing Belief-Desire-Intention (BDI) secure MAS. We specify each element of our ADL using the Z specification language and we employ two example case studies: one to assist us in the description of the proposed language and help readers of the article to better understand the fundamentals of the language; and one to demonstrate its applicability. Keyword: Architectural Description Language, Multi-Agent Systems, Security, BDI Agent Model, Software Architecture 1 Corresponding Author: [email protected] 1 1. Introduction However, as the expectations of business stakeholders are changing day after day; and The characteristics and expectations of as the complexity of systems, information new application areas for the enterprise, and communication technologies and such as e-business, knowledge management, organisations is continually increasing in peer-to-peer computing, and web services, today’s dynamic environments; developers are deeply modifying information systems are expected to produce architectures that engineering.
    [Show full text]
  • Comparación Y Análisis De Desempeño De Unidades De Procesamiento Gráfico Como Alternativa De Computación Paralela Para Procesos De Simulación En Ingeniería
    Comparación y análisis de desempeño de unidades de procesamiento gráfico como alternativa de computación paralela para procesos de simulación en ingeniería. Yerman Jahir Avila Garzón Universidad Nacional de Colombia Facultad de Ingeniería, Departamento de Ingeniería Eléctrica y Electrónica Bogotá DC, Colombia 2015 Comparación y análisis de desempeño de unidades de procesamiento gráfico como alternativa de computación paralela para procesos de simulación en ingeniería. Yerman Jahir Avila Garzón Tesis presentada como requisito parcial para optar al título de: Magister en Ingeniería – Automatización Industrial Director: Ph.D., M.Sc. Ing. Electricista Johan Sebastián Eslava Garzón Línea de Investigación: Arquitectura de Computadores y Computación Paralela, Electrónica Digital, Optimización Grupo de Investigación: GMUN: Grupo de Microelectrónica Universidad Nacional Universidad Nacional de Colombia Facultad de Ingeniería, Departamento de Ingeniería Eléctrica y Electrónica Bogotá DC, Colombia 2015 El que ama la educación ama el saber; el que odia la educación es un tonto. Proverbios 12, 1 A mi esposa Jazmín y mi pequeño hijo Diego José quien está por nacer. A mis padres Ismael y María Antonia, y a mi hermano Arley. A mis abuelas Erminda y Nohemy. A mis abuelos Parmenio† y Celestino†. Resumen y Abstract VII Resumen La computación de propósito general en las unidades de procesamiento gráfico GPU es una área de en continuo crecimiento. Las arquitecturas actuales de las GPU permiten la optimización, a través de diferentes lenguajes de programación (p. ej. CUDA C, CUDA Fortran, OpenCL, entre otros) aplicaciones existentes o crear nuevas aplicaciones que permitan aprovechar el paralelismo natural de GPU. Se busca mejorar el tiempo de ejecución algoritmos complejos, un mejor uso de los recursos computacionales y mayor acceso a plataformas de computación de alto rendimiento.
    [Show full text]
  • Should Your Specification Language Be Typed?
    Should Your Specification Language Be Typed? LESLIE LAMPORT Compaq and LAWRENCE C. PAULSON University of Cambridge Most specification languages have a type system. Type systems are hard to get right, and getting them wrong can lead to inconsistencies. Set theory can serve as the basis for a specification lan- guage without types. This possibility, which has been widely overlooked, offers many advantages. Untyped set theory is simple and is more flexible than any simple typed formalism. Polymorphism, overloading, and subtyping can make a type system more powerful, but at the cost of increased complexity, and such refinements can never attain the flexibility of having no types at all. Typed formalisms have advantages too, stemming from the power of mechanical type checking. While types serve little purpose in hand proofs, they do help with mechanized proofs. In the absence of verification, type checking can catch errors in specifications. It may be possible to have the best of both worlds by adding typing annotations to an untyped specification language. We consider only specification languages, not programming languages. Categories and Subject Descriptors: D.2.1 [Software Engineering]: Requirements/Specifica- tions; D.2.4 [Software Engineering]: Software/Program Verification—formal methods; F.3.1 [Logics and Meanings of Programs]: Specifying and Verifying and Reasoning about Pro- grams—specification techniques General Terms: Verification Additional Key Words and Phrases: Set theory, specification, types Editors’ introduction. We have invited the following
    [Show full text]
  • Review of FPD's Languages, Compilers, Interpreters and Tools
    ISSN 2394-7314 International Journal of Novel Research in Computer Science and Software Engineering Vol. 3, Issue 1, pp: (140-158), Month: January-April 2016, Available at: www.noveltyjournals.com Review of FPD'S Languages, Compilers, Interpreters and Tools 1Amr Rashed, 2Bedir Yousif, 3Ahmed Shaban Samra 1Higher studies Deanship, Taif university, Taif, Saudi Arabia 2Communication and Electronics Department, Faculty of engineering, Kafrelsheikh University, Egypt 3Communication and Electronics Department, Faculty of engineering, Mansoura University, Egypt Abstract: FPGAs have achieved quick acceptance, spread and growth over the past years because they can be applied to a variety of applications. Some of these applications includes: random logic, bioinformatics, video and image processing, device controllers, communication encoding, modulation, and filtering, limited size systems with RAM blocks, and many more. For example, for video and image processing application it is very difficult and time consuming to use traditional HDL languages, so it’s obligatory to search for other efficient, synthesis tools to implement your design. The question is what is the best comparable language or tool to implement desired application. Also this research is very helpful for language developers to know strength points, weakness points, ease of use and efficiency of each tool or language. This research faced many challenges one of them is that there is no complete reference of all FPGA languages and tools, also available references and guides are few and almost not good. Searching for a simple example to learn some of these tools or languages would be a time consuming. This paper represents a review study or guide of almost all PLD's languages, interpreters and tools that can be used for programming, simulating and synthesizing PLD's for analog, digital & mixed signals and systems supported with simple examples.
    [Show full text]
  • Architecture Description Language) COMS W4115 Alan Khara Ask2206 February 11, 2014
    1 of 13 General Purpose ADL (Architecture Description Language) COMS W4115 Alan Khara Ask2206 February 11, 2014 1.0 Introduction In the design of system architecture1, a blueprint of the system typically comes second, after requirements are gathered in the process of architecture development. This is followed by design verification and, later, implementation. Initially, the domain of Architecture Description Languages (ADLs) was confined to the design phase and was highly motivated by Object Oriented paradigm [1] [2] [3]. ADLs were mainly graphical in nature, with numerous Line and Box representations that were later standardized by Object Management Group (OMG) in Unified Model Language2 (UML) [4][5]. Being quickly adopted by industry, UML was infested with ambiguous features: a given relationship between components of a system could be ambiguous and yield two different interpretations of the same drawing [2]. Research during the last decade has worked to solve this problem on two different fronts. One line of research seeks to extend UML in order to make it less ambiguous [6] [7] [8] [9]. Another kind of research is finding new ADLs (graphical and text based) that have more rigorous and well defined semantics [10] [11] [12][13]. Both of these trends are important with respect to defining the problem scope of the proposed project. Because they are mainly driven by industry, efforts to extend UML are inherently domain- specific [14]. The primary motivation behind the development of UML is to overcome ambiguity and reduced the communication gap that exists among various stakeholders. This is tackled by either introducing constraints or adding new vocabulary.
    [Show full text]
  • Comparative Studies of 10 Programming Languages Within 10 Diverse Criteria Revision 1.0
    Comparative Studies of 10 Programming Languages within 10 Diverse Criteria Revision 1.0 Rana Naim∗ Mohammad Fahim Nizam† Concordia University Montreal, Concordia University Montreal, Quebec, Canada Quebec, Canada [email protected] [email protected] Sheetal Hanamasagar‡ Jalal Noureddine§ Concordia University Montreal, Concordia University Montreal, Quebec, Canada Quebec, Canada [email protected] [email protected] Marinela Miladinova¶ Concordia University Montreal, Quebec, Canada [email protected] Abstract This is a survey on the programming languages: C++, JavaScript, AspectJ, C#, Haskell, Java, PHP, Scala, Scheme, and BPEL. Our survey work involves a comparative study of these ten programming languages with respect to the following criteria: secure programming practices, web application development, web service composition, OOP-based abstractions, reflection, aspect orientation, functional programming, declarative programming, batch scripting, and UI prototyping. We study these languages in the context of the above mentioned criteria and the level of support they provide for each one of them. Keywords: programming languages, programming paradigms, language features, language design and implementation 1 Introduction Choosing the best language that would satisfy all requirements for the given problem domain can be a difficult task. Some languages are better suited for specific applications than others. In order to select the proper one for the specific problem domain, one has to know what features it provides to support the requirements. Different languages support different paradigms, provide different abstractions, and have different levels of expressive power. Some are better suited to express algorithms and others are targeting the non-technical users. The question is then what is the best tool for a particular problem.
    [Show full text]
  • Verilog Synthesis and Formal Verification with Yosys Clifford Wolf
    Verilog Synthesis and Formal Verification with Yosys Clifford Wolf Easterhegg 2016 Overview A) Quick introduction to HDLs, digital design flows, ... B) Verilog HDL Synthesis with Yosys 1. OSS iCE40 FPGA Synthesis flow 2. Xilinx Verilog-to-Netlist Synthesis with Yosys 3. OSS Silego GreenPAK4 Synthesis flow 4. Synthesis to simple Verilog or BLIF files 5. ASIC Synthesis and custom flows C) Formal Verification Flows with Yosys 1. Property checking with build-in SAT solver 2. Property checking with ABC using miter circuits 3. Property checking with yosys-smtbmc and SMT solvers 4. Formal and/or structural equivalence checking Quick Introduction ● What is Verilog? What are HDLs? ● What are HDL synthesis flows? ● What are verification, simulation, and formal verification? ● What FOSS tools exist for working with Verilog designs? ● How to use Yosys? Where is the documentation? What is Verilog? What are HDLs? ● Hardware Description Languages (HDLs) are computer languages that describe digital circuits. ● The two most important HDLs are VHDL and Verilog / SystemVerilog. (SystemVerilog is Verilog with a lot of additional features added to the language.) ● Originally HDLs where only used for testing and documentation. But nowadays HDLs are also used as design entry (instead of e.g. drawing schematics). ● Converting HDL code to a circuit is called HDL Synthesis. Simple Verilog Example module example000 ( input clk, output [4:0] gray_counter ); localparam PRESCALER = 100; reg [$clog2(PRESCALER)-1:0] fast_counter = 0; reg [4:0] slow_counter = 0; always @(posedge clk) begin if (fast_counter == PRESCALER) begin fast_counter <= 0; slow_counter <= slow_counter + 1; end else begin fast_counter <= fast_counter + 1; end end assign gray_counter = slow_counter ^ (slow_counter >> 1); endmodule Simple Verilog Example .
    [Show full text]
  • Eee4120f Hpes
    The background details to FPGAs were covered in Lecture 15. This Lecture 16 lecture launches into HDL coding. Coding in Verilog module myveriloglecture ( wishes_in, techniques_out ); … // implementation of today’s lecture … endmodule Lecturer: Learning Verilog with Xilinx ISE, Icarus Verilog or Simon Winberg Altera Quartus II Attribution-ShareAlike 4.0 International (CC BY-SA 4.0) Why Verilog? Basics of Verilog coding Exercise Verilog simulators Intro to Verilog in ISE/Vivado Test bench Generating Verilog from Schematic Editors Because it is… Becoming more popular than VHDL!! Verilog is used mostly in USA. VHDL is used widely in Europe, but Verilog is gaining popularity. Easier to learn since it is similar to C Things like SystemC and OpenCL are still a bit clunky in comparison (although in years to come they become common) I think it’s high time for a new & better HDL language!! (Let’s let go of C! And scrap ADA for goodness sake. Maybe I’ll present some ideas in a later lecture.) break free from the constraints of the old language constructs History of Verilog 1980 Verilog developed by Gateway Design Automation (but kept as their own ‘secret weapon’) 1990 Verilog was made public 1995 adopted as IEEE standard 1364-1995 (Verilog 95) 2001 enhanced version: Verilog 2001 Particularly built-in operators +, -, /, *, >>>. Named parameter overrides, always, @* 2005 even more refined version: Verilog 2005 (is not same as SystemVerilog!) SystemVerilog (superset of Verilog-2005) with new features. SystemVerilog and Verilog language standards were merged into SystemVerilog 2009 (IEEE Standard 1800-2009, the current version is IEEE standard 1800-2012).
    [Show full text]