sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 1 SSCSSSSCSSSSCCSS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS Summer 2008 Vol. 13, No. 3 www.ieee.org/sscs-news

Eric Vittoz The Electronic Watch and Low Power Circuits sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 2

Editor’s Column Mary Y. Lanzerotti, IBM, [email protected]

elcome to work and impact of Dr. Eric Vittoz, As a result, we are honored to the Sum- who has written an extensive lead offer: Wmer 2008 article entitled “Electronic Watch and (1) “A Short Story of the EKV MOS issue of SSCS News! Low Power Circuits.” I am grateful to Transistor Model,“ by Christian As with prior Dr. Erik Heijne for suggesting Dr. Vit- C. Enz (Swiss Center for Elec- issues, its goal is to toz as a potential subject and feature tronics and Microtechnology); be a self-contained author, and for his recommendations (2) “Watch Microelectronics: Pio- resource, with original sources and of experts who could attest to the neer in Portable Consumer new contributions by experts describ- impact of Dr. Vittoz’s career on the Electronics,” by Mougahed ing the current state of affairs in tech- development and commercialization Darwish, Marc Degrauwe, nology in view of the influence of the of electronic Swiss watches. Please Thomas E. Gyger, Gunther original papers and/or patents. be sure to read Dr. Heijne’s Intro- Meusburger, (all at EM Micro- In Summer 2008, we feature the duction to this issue on page 4. electronic-Marin SA), Jean Claude Robert (ETA); (3) “It’s About Time: A Brief Chronol- IEEE Solid-State Circuits Society ogy of Chronometry,” by Thomas SSCS News Administrative Committee Elected AdCom Members at Editor-in-Chief: President: Large Lee (Stanford University); Mary Y. Lanzerotti Willy Sansen Terms to 31 Dec. 08: (4) “History of the Development of IBM T. J. Watson Research K. U. Leuven, Belgium Wanda K. Gass Center [email protected] Ali Hajimiri the Swiss Watch Microproces- [email protected] Fax: +32 16 321975 Paul J. Hurst sors,” by Christian Piguet (CSEM Fax: +1 914 945 1358 Vice-President: Akira Matsuzawa Centre Suisse d’Electronique et Technology Editor: Bernhard Boser Ian Young de Microtechnique S.A.); Richard C. Jaeger University of California Alabama Microelectronics Berkeley, CA Terms to 31 Dec. 09: (5) “Eric Vittoz and the Strong Center Auburn John J. Corcoran Impact of Weak Inversion Cir- University, AL Secretary: Kevin Kornegay [email protected] David A. Johns Hae-Seung (Harry) Lee cuits,” by Yannis Tsividis University of Toronto Thomas H. Lee (Columbia University). Tutorials Editor: Toronto, Ontario, Canada Jan Van der Spiegel Rakesh Kumar Summer ’08 also includes reprints Technology Connexions Treasurer: Terms to 31 Dec. 10: of one original paper and the first Poway, CA Rakesh Kumar Terri S. Fiez [email protected] Technology Connexions Tadahiro Kuroda two pages of two original patents by Poway, CA Bram Nauta Dr. Vittoz: Associate Editor for Jan Sevenhans Europe/Africa: Past- President: Mehmet Soyuer (1) F. Leuenberger and E. Vittoz, Tony Harker Richard C. Jaeger “Complementary-MOS Low- Alba Centre Alba Campus Alabama Microelectronics Region 8 Representative: Livingston Scotland EH54 7EG Center Jan Sevenhans Power Low-Voltage Integrated [email protected] University, AL Binary Counter,” Proceedings Region 10 Representative: News Editor: Other Representatives: C.K. Wang of the IEEE, Vol. 57, No. 9, Katherine Olstein Representative to Sensors September, 1969, pp. 1528- IEEE SSCS Council Chairs of Standing [email protected] Darrin Young Committees: 1532. Representative from CAS to Awards John J. Corcoran (2) E. A. Vittoz, “Frequency Divider SSCS Chapters Jan Van der Spiegel Domine Leenaerts Education C.K. Ken Yang Circuit,” U. S. Patent 3,619,646, Representative to CAS from Meetings Bill Bidermann Nov. 9, 1971. SSCS Membership Bruce Hecht Un-Ku Moon Nominations Richard C. Jaeger (3) W. Hammer, E. A. Vittoz, J. Her- Publications Glenn Gulak mann, H. Choffat, “Timekeeper,” For detailed contact informa- U. S. Patent 3,895, 485, July 22, tion, see the Society e-News: 1975. www.ieee.org/portal/site/sscs To accentuate the impact of Dr. Executive Director: Administrator: Vittoz’s work, I would like to Anne O’Neill Katherine Olstein IEEE SSCS-West: IEEE SSCS include two photographs that I 1500 SW 11th Avenue #1801 445 Hoes Lane took of the Swatch store in Grand Portland, OR 97201 Piscataway, NJ 08854 Tel: +1 732 981 3400 Tel: +1 732 981 3410 Central Terminal, New York, NY. Fax: +1 732 981 3401 Fax: +1 732 981 3401 (This store is directly on the walk- Email: [email protected] ing path from the train to the sub- For questions regarding Society business, contact the SSCS Executive Office. Contributions for the way.) Fall 2008 issue of the Newsletter must be received by 8 August 2008 at the SSCS Executive Office. A complete media kit for advertisers is available at www.spectrum.ieee.org/mc_print. Scroll down to continued on page 95 find SSCS.

2 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 3

Photo of Eric Vittoz

Summer 2008 Volume 13, Number 3

Editor’s Column ...... 2 President’s Message ...... 4 Introduction ...... 4 Symbiosis in the Technology World, Tony Harker, Associate Editor for Europe/Africa . . . 5 Corrections ...... 73 TECHNICAL LITERATURE Electronic Watch and Low-Power Circuits, Eric A. Vittoz ...... 7 A Short Story of the EKV MOS Transistor Model, Christian C. Enz ...... 24 Watch Microelectronics: Pioneer in Portable Consumer Electronics, M. Darwish, M. Degrauwe, T. Gyger, G. Meusburger, J. C. Robert ...... 31 It’s About Time: A Brief Chronology of Chronometry, Thomas H. Lee ...... 42 History of the Development of Swiss Watch Microprocessors, Christian Piguet . . . 50 Eric Vittoz and the Strong Impact of Weak Inversion Circuits, Yannis Tsividis . . . . . 56 Executive Summary: Advances in Ultra-Low-Voltage Design, J. Kwong, A. Chandrakasan ...... 59 Executive Summary: Gigasensors for an Attoscope, Erik H. M. Heijne, ...... 60 11 Complementary-MOS Low-Power Low-Voltage Integrated Binary Counter, Fritz Leuenberger and Eric Vittoz, reprinted from Proceedings of the IEEE, 57 (9):1528-1532, September, 1969 ...... 61 Microwatt Switched Capacitor Circuit Design, E. Vittoz, presented at a Summer Course On Switched Capacitor Circuits, June 9-12, 1981, ESAT, KU Leuven ...... 66 Frequency Divider Circuit (U.S. Patent No. 3,619,646) Eric Andre Vittoz, 1971 67 Timekeeper (U.S. Patent No. 3,895,486) W. Hammer, E. Vittoz, J. Hermann, H. Choffat, 1975 ...... 69

16 PEOPLE Clark Nguyen Presents DL Talk on RF-MEMS in Japan, Katherine Olstein ...... 71 New Senior Members ...... 72 Tools: Tips for Making Writing Easier, Part 2: Five-Minute Miracle - Narrow Your Questions, Shape Your Answers, Peter and Cheryl Reimold ...... 72

CONFERENCES ISSCC Student Forum, Anne O’Neill ...... 74 2007 VLSI-TSA/DAT Best Paper Awards Presented in April, 2008, Clara Wu and Elodie Ho ...... 75 53 Now It’s Japan’s Turn to Host A-SSCC, Koji Kito ...... 76 Hot Chips 20: Three Days of the Best in High-performance Chips and Technologies, Don Draper ...... 78 Custom Integrated Circuits Conference (CICC) Celebrates 30th Year ...... 79 Sister Conferences ESSCIRC/ESSDERC Meet on 15-19 September, Franz Dielacher . .80 2008 IEEE Bipolar/BiCMOS Circuits and Technology Conference to Meet in Monterey, CA, Marise Bafleur ...... 81 2008 IEEE Compound Semiconductor IC Symposium (CSICS) to Collocate with BCTM in October, Sorin Voinigescu ...... 82 79 CHAPTER NEWS SSCS-Green Mountain Organizes 17th IEEE North Atlantic Test Workshop, P. Nsame ...... 84 Oregon State University Student Branch Chapter Inaugurated in March, Sunwoo Kwon ...... 85

SSCS NEWS Sansen and Matsuzawa Visit Taiwan University, C.K. Wang ...... 86 Eight Candidates Vie for Five AdCom Positions, Anne O’Neill ...... 87 86 Call for Nominations for Sensors Awards, Anne O’Neill ...... 94 CEDA Currents ...... 94

Summer 2008 IEEE SSCS NEWS 3 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 4

President’s Message Willy Sansen, K. U. Leuven, [email protected]

SCS members ask me, is hard- convinced us that sending thousands organized per screen (or slide). Only ware disappearing? (They seem of pages to readers who look at only one delta of understanding is added Sto be worried.) Is this an obser- a few makes too heavy a carbon per screen. The longer we follow, the vation, or is it the conclusion of some print on our precious world. So the more our insight is built up. At the hardware vs. software panel? Journal in paper has gone virtual; it end we see some SPICE files and Where has hardware gone? Has it has turned into a passworded list of curves. Who would actually go that gone virtual, or has it been global- numbers on a website. Of course, we far? Only someone who wants to use ized out? have kept the last paper copy in the the circuit. First some money must be Hardware is, for example, my car. lowest drawer of our desk so we can paid, however. On the lowest level, I It is not gone. I sit in it and I drive show it to our grandchildren; and we can do a redesign, according to my it, thanks to all the software inside. smile at their remarks that this is specs. The design procedure is then The chips in my car are also hard- what we indulged in some time ago. started a few layers higher, and my ware, but they have been realized So we remember and we still like version is ready. More money is need- thanks to software. the red color of that red rag. Now ed to get a hardware version, and the red rag has gone green. It has some more time. Our “Red Rag” as a Canvas been virtualized together, perhaps, The Journal of Solid-State Circuits is with our desk. Has Chip Design Gone Virtual? also hardware. It is a thick pack of This circuit was submitted to the paper we are used to receiving every Unpeeling the Circuit Paper Journal only three weeks ago. Only a month by mail. We glance through, We need our Journal for learning. -- few comments by a few experts have we turn pages, like walking through Learning is life, and this we need. been added since then. More will be a painting exhibit. Once in a while How much have we actually added later on, I am sure. I have to we stop and allow the content to learned from the JSSC? add mine as well, if I use it. Other- seep through. We notice the painter Looking at a painting never teach- wise much more money is required. made it twenty years ago. We try to es you all. Going through a paper Thus, design has gone virtual understand what it tries to say, but never reveals all its secrets. Nowadays now, or is it the hardware (chip) we don’t. We feel something is there, the circuit “paper” comes in layers. which has gone virtual? Has the but it will take more time to under- The title and abstract contain its entire design of this hardware gone soft? stand. It will take more effort as well. performance, and it is searchable. As A conference is like the premiere of Right now we don’t have the time. with a number of technical terms, all a painting exhibit. We receive a cata- We will go through it later, some- paintings [sorry, technical exhibits] are logue beforehand. Then we get togeth- where, when we have more time. revealed and explained and put in a er with a few colleagues or friends to comparative table with the FOM of its make a short tour; we exchange our The JSSC Goes Green kind. The next layer goes up or impressions, and on the reception at Today the Journal in paper form is down. Up are all applications, down the end, we have a drink, free for SSCS disappearing. Environmentalists have are the circuit details. The paper is members. Introduction Erik H.M. Heijne, CERN, [email protected]

icroelectronics profoundly power usage far beyond earlier lim- and stimulating the authors and by impacts consumer products its. Also required were better under- coordinating the issue that you have Mand habits, and the products standing and improved tools for in hand. I am certain that the authors’ themselves put rigorous constraints device and circuit simulation. enthusiasm and informed accounts on microelectronics. This reciprocity About a year ago, I suggested to will lead to new ideas and stimulate is perfectly illustrated by the summer Mary Lanzerotti and her colleagues many in our community, demonstrat- 2008 issue of the SSCS News. that Eric Vittoz and his contributions ing the dynamic of the IEEE as an The historical accounts given by to low power microelectronics might international community of engineers some of the actors show how the use be a good subject for an issue of the with high profile and great potential, of microelectronics circuits in wrist- Society News. Mary has implemented including expertise in the area of low watches has forced them to improve this in a marvelous way by contacting power.

4 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 5

Symbiosis in the Technology World Tony Harker, ISLI Alba Centre, [email protected]

ymbiosis has many subtly var- ied definitions depending Supon your angle of attack. In broad terms it can be defined as an association between two or more bodies or groups that may benefit one or all members. When applied to the high technology world, it can manifest in multiple ways, such as straight licence arrangements between suppliers all the way up to complex multi-faceted collabo- rative agreements between global bodies. Either way, the outcome should be of clear benefit to all involved. Once this arrangement breaks down, it can easily move into a no-benefit situation or Potential investors, collaborative partners, staff and students mix at iSLI’s 2008 indeed, in extreme cases, become a open day. parasitic relationship. out Germany, LETI based in France, resources. The trick in any symbiotic and the Institute for System Level More information on these bodies arrangement is to choose your part- Integration (iSLI) & Scottish Univer- can be found at: ner or partners carefully. It is also sities Physics Alliance (SUPA), both www.imec.be vital to bound the relationship with of which are based in the UK. www.fraunhofer.de deliverables which enable you to In addition to the research group- www.leti.fr track and measure output to help ings, there are also significant col- www.sli-instititute.ac.uk justify your resource expenditure. In laborations in which young compa- www.supa.ac.uk the commercial world, return on nies assist one another by pooling www.setsquared.co.uk investment is king. Participants resources and aim generally to rep- www.midas.com should always be willing to pause resent the core of industry through or indeed cancel arrangements broad based representation. Speak- Cross Border Collaboration – which do not yield useful results. ing for the UK and Ireland, there are The EU Model One excellent example of where several excellent examples of such The recently announced European symbiosis should, and in many activity: The National Microelectron- Institute of Technology (EIT) is a cases does, work effectively is in the ics Institute (NMI) acts as a trade good example of a response to a links between the commercial and representative body which, amongst perceived need in a specific geo- academic worlds. This is particular- other things, brokers help to the graphical area. First broached dur- ly evident within Europe, where overall industry to understand who ing 2005, this pan-European entity there are multiple instances of inter- and what is available to further their was envisaged as helping to pro- and intra- University/Industry col- business. The SetSquared partner- duce useable, wealth generating laborations. However, one should ship amongst analogous organisa- output whilst also fostering academ- not overlook the complexities of tions in the UK is based in the South ic growth in the relevant institutions such arrangements and the inherent of England and aims to assist young - a clear example of a true symbiot- frustrations that they may bring to companies through incubation by ic relationship. The EIT has now all parties. providing direct help from seasoned gone through the consultative Institutions such as IMEC, based entrepreneurs to access funding process and has been granted a in Belgium, have a commendable sources. MIDAS, based in Ireland, is budget in excess of 300M Euro to research record based upon close a group comprised of individual cover 2008-2013. The aim of the EIT links with the nation’s industrial companies, local offices of multina- is simple: to allow more wealth gen- base. This is mirrored by similar tionals, and Universities who pool eration for the European Union by institutions in other countries, such their needs to help create a local integrating education, research, and as the Fraunhofer Institutes through- critical mass and share training business-based innovation into the

Summer 2008 IEEE SSCS NEWS 5 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 6

“Knowledge Triangle.” The pro- posed “hub and spoke” model includes a central governing body surrounded by “Innovation Commu- nities” that are expected to relate closely to their localised needs. A key attribute in this case is the inclu- sion of the business community, which will aid the generation of useable outcome. Whilst still in its formative stages, the EIT promises to be an interesting, large scale attempt at bridging the academic and commercial world to bring ben- efits to all stakeholders. For more information see ec.europa.eu/eit

Academic and Commercial Drivers Demonstrating prototypes to potential investors and researchers can pay divi- When dealing with the academic dends in product development. and commercial worlds, it is vital to realise that there are fundamental in turn heaps more pressure on the reporting and measurement mecha- differences in the way that they are development cycle and inherently nism whereby progress can be administered, how they function, more pressure on the innovation measured. This can also aid in and how goals and targets are set environment. Staying one step ahead staged payment deals and acts as a and met. Typically, academic bodies of the game whilst maintaining mar- convenient brake if a project starts are set up to research “blue sky” gins keeps a lot of senior executives to go off the rails. Importantly, the topics and any fruits of this research awake at night. It is therefore not sur- extent to which this structure is put are captured in the papers and prising that many companies favour in place heavily depends upon the kudos generated therein. The net close relationships with academic parties involved and the nature of contribution of such bodies is typi- partners to help fuel the innovative the programme. In some cases the cally at a high level and adds to the process. value may lie in the unbounded, net intellectual capital of countries Such relationships need to be free thinking that academics can and associated communities. handled carefully since they can be bring into the dynamics. In other In many cases, blue sky research fraught with frustration on both cases closely confined, structured can spin off opportunities for more sides. Some of the more commer- research may be more applicable to practical or applied research with cially focused institutions have ded- match the aspirations of the com- more potential for commercial icated groups that specialize in the mercial partner. Either way, whatev- exploitation. It is more usual for sym- commercial interface. Commonly er is decided needs to be agreed biosis to manifest itself at this node known as “Technology Transfer” or upfront and be manageable. It can than any other. The reason is very “Research and Enterprise” entities, be easily argued that reporting and simple – there is opportunity for such bodies are tasked with translat- monitoring is not a value add activ- money to be made here in many dif- ing the needs and deliverables ity. Indeed over-monitoring can sti- ferent forms including IP licensing, between the two worlds. People in fle creativity and ultimately reduce spinout company formation, and con- these groups typically hail from the effectiveness of the partnership. tract-based, focused research/devel- both sides of the fence in that they Large and small companies tend opment. can relate to the sometimes orthog- to be driven towards different The commercial world is driven by onal requirements. engagement models. This is primari- very different factors. Companies are ly driven by their spending power in business to create wealth for their Engagement Models and their ability to mitigate the risk shareholders. They constantly need When considering how to engage of slippage or failure to deliver a to stay ahead of competition through with any partner, it is fundamental money-making result (not all innovation, typically enslaved to a to set down the terms and expected research ends in a positive answer). constantly evolving market. In the outcomes. Whilst this may seem Large companies tend to deal with commercial world, particularly in the obvious, it is surprising how many more left field research since they consumer market, products may have relationships can break down due are more easily able to offset this lifetimes counted in months before to mismatches in expectation. It is against other revenue generating significant revisions are required. This therefore worthwhile building a continued on page 58

6 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 7

TECHNICAL LITERATURE The Electronic Watch and Low-Power Circuits Eric A. Vittoz, IEEE Life Fellow member, [email protected]

A New Research Laboratory production cost for a better precision. This story starts in 1962 with the foundation of CEH There was no real market pull: most people were (Centre Electronique Horloger or “Watchmakers Elec- happy with the precision of their automatic mechanical tronic Center”). The bipolar junction transistor (BJT) watch. Some electrical watches had recently appeared was 14 years old and had already replaced the vacu- on the market, replacing the mechanical drive by an um tube in most electronic systems. A few years had electromagnetic actuator driven from a small mercury passed since the invention of the integrated circuit, battery through a mechanical switch. A French patent followed by that of the planar process by Swiss born was proposing to replace the switch by a transistor physicist Jean Hoerni. (which was realized a few years later). However, this The Swiss watch industry was flourishing, exporting modest change did not bring much advantage, and about 45 million watches per year for a total value of 1.4 those approaches were soon abandoned. billion Swiss francs. But it was undergoing a deep struc- The only really new wristwatch was the Accutron tural mutation from its traditional organisation based on that had been developed for Bulova by Swiss engi- corporatism. The whole watch industry was of a fully neer Max Hetzel. Based on a metallic tuning fork mechanical nature and most of the technical managers oscillating at 360Hz and activated by a single transis- had been educated as traditional watchmakers. Howev- tor, this revolutionary watch had been commercial- er, for several years, a very few visionary managers had ized in 1960. It was, however, still essentially mechan- been watching the recent development taking place on ical, with a complex wheel-ratchet system driving the the other side of the Atlantic Ocean, in this strange new set of gears, and at a frequency too low to avoid domain for them called solid-state electronics. being affected by gravity. Led by Gerard Bauer, the dynamic director of the The electronic quartz clock had already been invent- Federation of the Swiss Watch Industry (FH), a research ed by Warren Marrisson in 1928, and transistorized quartz group representing the major Swiss manufacturers was marine chronometers were just available. Their volume created, to evaluate if and how this new electronics was 1.5dm3, and their power consumption larger than could once be applicable in some way to the wrist- 100mW. Hence a quartz wristwatch did not have to be watch itself. Through the long existing LSRH (“Swiss invented: it already existed as a system. The “only” chal- Laboratory for Watch Research”, founded in the 40’s for lenge was to reduce its volume to less than 3cm3, and to research in all aspects of the mechanical watch), they lower its power consumption by 4 orders of magnitude, entrusted the Swiss Technical Universities with some down to less than 10μW. This was the maximum accept- limited contracts to evaluate these possibilities. They able power consumption to ensure more than one year received rather negative conclusions, but however of life for the 1.35V mercury button cell compatible with decided that a new joint center would be created to the wristwatch volume. This new lab was initially lodged search for possible new watch systems based on elec- just under the roof of the LSRH building in Neuchâtel tronics. After receiving enthusiastic support from Syd- (Fig. 1). In May 1962, I was the very first electrical engi- ney de Coulon, the general Director of Ebauches SA, neer hired at CEH by Roger Wellinger. the powerful producer of all Swiss watch components, they hired Roger Wellinger as technical Director to lead the project. A Swiss electrical engineer, Roger had spent 15 years in the USA, first as an Associate Profes- sor of EE at Illinois State University in Urbana, then with General Electric in Schenectady. In 1962 CEH, the new joint research laboratory, was founded in Neuchâtel by several organisations representing most of the Swiss watch industry, with a tentative global budget of 5 million Swiss Francs, to be released year after year. The general mission of CEH was to develop new time-keeping devices. This goal was soon refined into that of developing an electronic wristwatch, with at least one advan- Fig. 1: LSRH building in Neuchâtel, Switzerland. During its tage with respect to existing watches. No need to first year, CEH was lodged just under the roof of the main say that, at that time, few people could imagine that building at the left. It then moved to this wing, where the one of the main advantages would be a much lower process line was installed.

Summer 2008 © 2008 IEEE IEEE SSCS NEWS 7 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 8

TECHNICAL LITERATURE

A Passion for Electricity I was born in 1938 in Lausanne. As far as I can remember, I have always been fascinated by electric- ity. My grandfather was an electrical engineer work- ing for a local power company. His cellar was a cave of treasures, full of various discarded electricity coun- ters. Back home, I used to take them apart, collecting the various parts. Most interesting was the sub-mil- limeter isolated copper wire of the coils. When I was about six years old, my first applications for it were 220V in-house electrical networks. To connect the meccano-made switches and light bulbs, I just had to scratch the isolation at the wire end with my teeth, bend it, and put it into the power plug. I learned the hard way the meaning of closing an electrical loop when I put my hands both sides of the switch! Nobody seemed to be really worried by the number of shocks I received during this early learning period. However, my father (also an engineer, but in civil engineering) finally decided that I should go to low Fig. 2: At my homemade ham radio station HB9VZ in 1959. voltage and he mounted an old radio transformer in a wooden box with just the 6.3V for filament heating Technology), where the only professor of electronics available from outside. was Roger Dessoulavy. I watched him as a god until My education was in classical literature, with the I could finally attend his courses, during the 4th and threat of “descending” to scientific if my results were last year. Most of the course was based on the vacu- not good enough (so was the hierarchy of matters at um tube, with just two sessions devoted to the tran- that time!). But I lost no opportunity to communicate sistor. Upon my request, my diploma project was part my passion to my classmates. When their presenta- of a transistorized A/D converter. I then spent one tions to the class were about poets and musicians, year as a research assistant, working in the ancient mine were about electronic recording and electrical gardener’s house of EPUL and helping to speed up transformers (with a demo based on a self-made high- this converter to audio frequencies. That’s where voltage transformer driven by a 4.5V battery chopped Wellinger found me and asked me to join his new by an electric doorbell!). Applications of Ohm’s law team. We moved to Neuchâtel with my young wife were the subject of a summer technical contest for Monique. She was going to provide me with an essen- which I built a series of demonstrators. tial support all along my career. My interest soon concentrated on electronics, which at that time was almost synonymous to radio. Initial Research Period At 14, I had built from scratch my first short-wave My very first task was to demonstrate that a quartz receiver, winding the thousands of turns of the clock could be operated with the power consumption 2x350V high voltage and manually sawing and bend- compatible with a wristwatch. I delivered a demon- ing the aluminium plates to mount the components. I strator (Fig. 3) that combined an oscillator circuit with passed my ham radio license at 18 and came “on the a 10kHz commercial quartz, followed by 4 divide-by- air” with a self-made transmitter, with an output ten stages, in order to reduce the frequency down to power of 10W delivered by a Telefunken RL12P35 1Hz. These dividers were synchronized astable multi- power tube (Fig. 2 shows a later version of my sta- vibrators using 2N1711 planar bipolar transistors pro- tion). This was my first contact with the USA and an duced by SGS that exhibited a large current gain excellent opportunity to start practicing my school much below the microampere level. All of the elec- English. I still feel the excitement of waiting for an tronics was consuming less than 10μA at 1.5V. But the “opening” of the 10 meters band to contact American display was a commercially available electric clock or Australian stations as if they were next door! With using a stepping motor and consuming more than the Internet, the excitement of distant communication 1mW. With this simple demonstrator on the table, is lost nowadays, but at that time it was achieved with Roger Wellinger had his 1.8 million franc budget for nothing more than my homemade rig. 1963 accepted by the board of directors. The real work I received my technical education from the “Ecole could start, but experienced engineers were needed. Polytechnique de l’Université de Lausanne” (EPUL, Roger was a very enthusiastic and visionary man- that later became EPFL, the Swiss Federal Institute of ager. Using all possible means, he was able to con-

8 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 9

TECHNICAL LITERATURE

Fig. 4: First prototype of 8192Hz small size quartz res- onator developed at CEH.

quartz resonator. Against all odds and predictions, he was finally able to mount a miniaturized 8192Hz quartz oscillating in flexural mode in the vacuum of a Fig. 3: Microwatt clock demonstrator. small metallic package (Fig. 4). The third activity was a search for an adequate dis- vince a bunch of talented Swiss engineers emigrated play system. The LCD did not exist yet and the LED in the USA to abandon their interesting and promising had just been demonstrated. It was decided to rely on jobs to come back to Switzerland and bring their electromechanical solutions, by taking advantage of experience to CEH. Among them, Max Forrer left the matchmakers’ skills in micromechanics. Various General Electric in Palo Alto to lead the circuit and schemes were evaluated, using electromagnetic and system section (he became my direct boss for the next piezoelectric actuators. Two electromagnetic solutions 27 years!), and Kurt Hübner came to lead the micro- were finally retained for the first prototypes. electronic section with his 5-year experience at the The fourth activity was in electronics, essentially Shockley Semiconductor Lab. The real work then evaluating different frequency division techniques. I started on four parallel paths. was mostly involved in this development; hence, I Most essential was the building-up of an in-house can describe it with more details. IC facility, and the development of a process adapted The planar technology was very novel, only able to to low voltage and very low power. Although the first combine just a few components per chip. The rate at functional MOS transistors had already been pub- which this number could be increased was uncertain. lished, it was decided to start concentrating on the No low-power process was available yet, and we did more traditional bipolar process. A fully operational not discard the possibility to build the first watch by facility, including a mask shop, was installed in the using discrete components. Therefore, our first aim west wing of the LSRH building (Fig. 1). was to minimize the number of components, and we The second activity was the search for an adequate started by looking into all kinds of analog division time base. The goal was to obtain a precision better techniques. than 10ppm (corresponding roughly to 1 second per One possibility was to use synchronized relaxation day) at a frequency lower than 10kHz, to limit the oscillators (Fig 5a). I tried various schemes, including power needed for frequency division. The size of one based on the tunnel diode (that was considered existing quartz resonators at this frequency was much a very promising device at that time). Another scheme too large, and experts in the field pretended that there consisted in the step-by-step accumulation of some was no way to reduce it without drastically reducing quantity until a threshold would be reached (Fig. 5b). the quality factor. Hence we first searched for various I first tried to accumulate magnetic flux in a tiny types of metallic resonators combined with piezo- toroidal magnet (of the kind used for computer mem- electric or electromagnetic transducers. We even eval- ories at that time), but the energy per cycle was too uated a statistical time base using alpha particles emit- high. A better solution was to accumulate a charge in ted by a radioactive source. a capacitor, in a kind of switched capacitor integrator, But none of these approaches ended successfully. combined with a discharge circuit made of comple- It is the merit of Armin Frei, another Swiss engineer mentary BJT’s. A hybrid version of a single divide-by- repatriated from the USA, to have attacked and solved eight stage mounted in a TO5 package was presented the problem of reducing the size of a sub-10kHz at the 1964 International Congress of Chronometry

Summer 2008 IEEE SSCS NEWS 9 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 10

TECHNICAL LITERATURE

was held by a second order filter that produced the volt-

age vc controlling the VCO frequency. The phase of the VCO could be locked to that of the input signal for any

frequency ratio N = fin / fout that was keeping fout inside the hold range of the loop. The divider ratio was con- trolled by the initial condition imposed to the loop (and stored in its filter). The hold range could be made large enough to compensate for even a large error in the nat- ural frequency of the VCO. The maximum possible ratio Fig. 5: Analog frequency division techniques; (a) Relax- N was limited by the jitter of the VCO. Indeed, this jitter ation oscillator synchronized by pulses; (b) Step by step was producing a phase noise proportional to N, thus a accumulation. probability of unlocking increasing with N. (where CEH was asked to be present, although we A breadboard implementation of this divider (which were normally supposed to keep our results secret!). became the subject of my Ph.D. thesis) is shown in Fig. It is during this period that I made my first visit to 7. Without the oscillator, this divider contained only 3 the USA, to attend the ISSCC’64 that was still held in bipolar transistors, 4 discrete MOS transistors fabricated Philadelphia. During an evening panel on “Minimum at CEH and a few resistors and capacitors. A frequency power solid-state devices and circuits”, I was asked by ratio as high as 1000 could be obtained by means of just a friend of Wellinger to say a few words about our a few low-precision components. Consuming a few work for an electronic watch. As I can remember, this microamperes at 1.35V, it stayed in lock during the year idea was considered foolish, if not impossible! This needed to write my dissertation. This project was a lot trip was also a fantastic opportunity to visit a number of fun. It was an opportunity to learn about nonlinear of companies and universities and to meet personali- and discrete-time systems, and it made me love the sub- ties whose famous names were associated with the ject of PLL. But the reliability was definitely not suffi- incipient semiconductor technology. cient (risk of loosing the ratio) to consider a mass pro- Analog solutions were indeed limiting the number duction of watches based on this approach. Fortunate- of components, but the maximum possible dividing ly, in the meantime, our in-house process develop- ratio was limited by the precision of these compo- ments were sufficiently advanced to consider using a nents. No more than a factor ten per stage could be cascade of integrated binary dividers instead. expected, even less with the intended integrated ver- sions. So I looked for an analog solution that could provide a very large divider ratio even with low-pre- cision components. This was based on a phaselock loop as illustrated by Fig. 6. The loop was made of a low frequency VCO (astable multivibrator) followed by a pulse shaper, the output p of which sampled the high frequency input. The result

Fig. 7: Experimental phaselock loop frequency divider. It includes a 8kHz oscillator based on an early quartz prototype.

The first binary divider that we developed in 1966 (Fig. 8) was a modified version of a circuit found in the literature, itself a transistor implementation of the T- Flip-Flop used with vacuum tubes. The idea of current mirrors had not been proposed yet, and neither was the implementation of lateral pnp’s (although both ideas seem so obvious a posteriori, like so many great ideas!). Hence, high-value resistors were the only avail- able way to reduce the power. They were implement- ed by using the base layer, pinched between emitter E and collector C. Their maximum frequency of opera- tion was thus limited by a large distributed capacitor and could be maximized by letting the E and C layers Fig. 6: Principle of phaselock loop frequency division. unconnected (floating). Implemented with our 10

10 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:53 AM Page 11

TECHNICAL LITERATURE

micron bipolar process, this divider could operate up to 5kHz for a current drain of 1μA. Circuit simulators did not exist yet, so circuits had to be verified on a breadboard before integration. All para- sitic capacitors were extracted manually from the layout,

Fig. 10: First LSI circuit developed for a wristwatch. to ensure a battery life longer than one year. All the circuitry was integrated on a single chip, under the responsibility of Raymond Guye. This very first large Fig. 8: First binary frequency divider. scale integration circuit for a watch (Fig. 10) com- bined 110 components (NPN transistors, high-value but these were of course smaller than those associated diffused resistors and junction capacitors) in an area with the breadboard itself. To circumvent this problem, of 8.7mm2, and was consuming 12μA at 1.3V. Several the value of all extracted capacitors was multiplied by a other modifications of the watch prototype were large factor (typically 1000), whereas the frequency was introduced to realize the industrial version (Fig. 11) reduced by the same factor. The dynamic behaviour of that was named Beta 21. An electronic module was the integrated version could then be predicted with good supporting the quartz (not visible in the picture), the precision. We used this simulation technique during plastic encapsulated chip, and an adjustable trimmer many subsequent years, until circuit simulators and tran- capacitor for fine frequency adjustment. The latter sistor models at very low current were finally available. became the source of many problems and would have It is this integrated frequency divider that was used to be eliminated in the future. The components were in the first prototypes of the electronic watch. fabricated by several Swiss companies, but the circuit was produced by CEH in the IC facilities of its micro- The First Quartz Wristwatch1 electronic division. A total of about 6000 units were The efforts of the various groups at CEH came to con- delivered, and sold under different Swiss trade names. vergence with the realization of two different prototypes of the quartz wristwatch (Fig. 9). Both used the 8192Hz quartz cantilever developed by Armin Frei, driven by a symmetrical negative resistance circuit. Prototype Beta 1 needed 13 stages of binary division followed by some logic to drive the moving coil of a 1Hz stepping motor. In order to reduce the power consumption, prototype Beta 2 had only 5 stages of division. The 256Hz output was driving an electromagnetic resonant motor, with a ratchet driving the train of wheels. In 1967, ten of these prototypes were presented at the Neuchâtel Chronome- try Observatory where they pulverized all previous results. They were then disclosed to our unsuspecting shareholders in a memorable technical seminar. The industrial production was organized by CEH in collaboration with several interested watch compa- Fig. 11: Beta 21, module of the first commercial watch nies. It was decided to start from the Beta 2 prototype developed at CEH.

I personally made very few direct contributions to the development of this first industrial product. Indeed, since 1967, and in parallel with the drafting of my Ph.D. dissertation, I was involved in the very early development of low-voltage CMOS circuits.

Pioneering Low-Voltage CMOS Circuits While most CEH collaborators were busy working on the first watch prototypes, Fritz Leuenberger was Fig. 9: First prototypes of quartz wristwatch developed by CEH.

Summer 2008 IEEE SSCS NEWS 11 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 12

TECHNICAL LITERATURE

developing a low-voltage CMOS process. Fritz had watch circuit was integrated in this new low-voltage joined CEH after working in the semiconductor process. department of General Electric in Syracuse. Following This experimental circuit included the first realiza- the key paper of Wanlass and Sah at ISSCC’632, and tion of digital frequency tuning. The goal was to elim- strongly supported by Max Forrer, he started devel- inate the trimmer capacitor. Instead of adjusting the oping low-threshold P-channel devices (a few of frequency of the quartz oscillator, the basic idea was them were used in my experimental PLL frequency to adjust the ratio of the frequency divider. The oscil- divider) before working on a low-voltage CMOS lator could then be optimized for a single frequency, process. In 1968, he had assembled a perfectly work- its stability was not degraded by a trimmer capacitor able process3. The n-well was obtained by chemical- and less precision (but no less stability) was required ly etching the p-type silicon substrate and epitaxially for the quartz. refilling it with n-type material. The surface was then We found that the most practical solution was to smoothed by mechanical polishing. A molybdenum- implement an inhibition circuit between the oscillator gold sandwich was used for the gates (and intercon- and a fixed-ratio divider (Fig. 13)5. In this scheme, the nections) to obtain a low threshold voltage for both frequency of the oscillator is slightly increased, so that types of transistors. its exact value due to spreading is always larger or I was asked to design a frequency divider in this equal to 215 Hz. Fine-tuning is obtained by eliminat- new process. A circuit based on transmission gates ing the adequate number of pulses delivered by the had been presented at ISSCC’674, but its topology was oscillator within each adjustment cycle, before they not suited to group P and N channel devices in two enter the divider. With 20 binary stages, the adjust- distinct areas. Instead, I carried out a direct Huffman ment cycle was 1/32s and the average frequency synthesis of the divide-by-two function. The resulting could be adjusted to within 1ppm. The inhibit circuit logic equations were implemented by means of two was controlled by a 7-bit word, providing 128 ppm of 2-level gates and two inverters (Fig. 12), requiring a adjustment range. total of 16 transistors (after merging some transistors). I drew the layout, and Fritz successfully fabricated the circuit. We measured a current consumption of 10nA/kHz at 1.35V, about 20 times less than the bipo- lar dividers used in Beta 21. Since the maximum fre- quency at this low voltage was still about 200kHz, it became possible to increase the frequency of the oscillator and thereby reduce the size of the quartz resonator. This frequency was later fixed at 32kHz and is still used nowadays in most elec- tronic watches. Fig. 13: Principle of digital tuning and its first experimen- tal on-chip implementation.

Of course, the adjustment word must be specific to each device, since it depends on the exact frequency of the oscillator. It must therefore be stored in a mem- ory. The content of the memory must be kept when the battery is changed, but no E2PROM was available at that time. Hence, for this first experimental system called Beta 3, the memory was a set of very simple small switches. But various other solutions were later evaluated, until CMOS compatible E2PROM became finally available. The whole circuit (that did not yet include the oscil- Fig. 12: First low-voltage CMOS frequency divider. lator, because CMOS was still considered too crude to implement analog circuits!) contained approximately In 1970, Fritz and his team had developed a new 500 transistors. Since no computer aid was available, CMOS process in which the lightly doped p-well was the layout was drawn with colour pencils on a large obtained by solid-to-solid diffusion of boron in a sheet of transparent graph paper (on the back side of closed capsule. Standard aluminium gates turned out the paper to avoid removing the frame when erasing to be sufficient to obtain a threshold voltage of about for corrections!). The layout scale was 1000:1 for the 1 volt for both types of transistors. Our first CMOS LSI various blocks and was 200:1 for the overall drawing.

12 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 13

TECHNICAL LITERATURE

Layout rules had to be carefully respected at each step The final product came to the market in 1975 and of the drawing, since remaining errors required the included a control box (available at the retailer’s complete erasing of large layout areas. place). The watch was placed on this box before No computer assisted the mask making either. The pushing a button, and two seconds later its frequen- first step was to report each mask on a special sand- cy was adjusted within 1ppm. A special very small wich of red and white plastic called Rubylith. The red size battery had been added to keep powering the layer was manually cut on a coordinatograph accord- RAM while the main battery was changed. As techni- ing to the pattern, and manually peeled. Although all cal designers of the systems, we were disappointed to divider stages were identical (with only one layout), discover that the company never advertised its origi- their cutting and peeling had to be repeated 20 times. nality. “You do not want to look inside the belly of a Most critical was the mask for the contact holes. For beautiful girl” was their answer! some reason, the Rubylith had to be a negative so that In the early 70’s, we kept working on improving each of the 1000 contact holes (2 per transistor since the most basic blocks of the watch, the frequency this was a metal-gate process) corresponded to a 2 by divider. It was not yet clear whether low-voltage 2 millimeter square of red layer left on the white CMOS circuits could be produced in large quantities. background. They had a natural tendency to peel Thus, with my colleague Jean Fellrath, we started away by themselves, so the final control was a real exploring the possibility to use purely digital binary nightmare! But the masks were successfully finalized, dividers based on BJT NAND gates. Since the most and the circuit did work at first silicon, with a current simple known configurations required 6 gates, I built consumption of 0.45μA at 1.5V. a specialized computer based on TTL circuits, which It should be noticed that the chain of divider stages was searching all possible configurations of n gates is asynchronous, with the advantage of consuming just for a possibly simpler divider-by-two. The machine a little less than twice the first stage alone. But the tim- found a 4-gate structure that just required the control ing of the inhibit signal fed back from the end of the of a race between two gates6. We were trying to divider is totally random with respect to that of the implement it by means of the recently published Inte- pulses delivered by the oscillator. Therefore, the inhi- grated Injection Logic (I2L) when the project was bition circuit was designed to operate properly, inde- abandoned in favour of CMOS solutions. And I later pendently of the order of transition of these two logic found my “new” circuit in the literature! signals. However, this turned out to be insufficient. Our existing CMOS divider cell was driven by com- Indeed, detailed measurements made by the customer plementary input variables and was therefore sensi- of a subsequent implementation showed that the cir- tive to a possible race between them. With my col- cuit stopped operating correctly just within a very nar- laborator Walter Hammer, we decided to search for row range of temperature (less than 1 degree Celsius). race-free structures (meaning that no two gates transit The problem was traced back to the fact that, at this simultaneously), with the goal of increasing the particular temperature, the transitions were exactly robustness of the frequency divider and possibly simultaneous. This unexpected situation had not been reducing its power consumption. It also meant that considered in the synthesis of the inhibition circuit, such structures would have a single input (or would which was later corrected. This example illustrates the be what was later called “single-clock circuits”), and difficulty of implementing asynchronous circuits, even that correct operation would be ensured independ- simple ones. It also shows the necessity to analyze ently of the relative speed of the various gates. them in detail, and not only simulate them. But at first we had to give a precise definition of a The first industrial application of digital tuning was logic gate, the elementary building block of all developed for Complication SA (owner of the Piaget sequential circuits. We came to the following defini- brand), with a special 524kHz resonator developed at tion7: a logic circuit is a gate if, and only if, it can be CEH by Jean Hermann. Since no non-volatile memo- modelled by a single-output delay-free combinatorial ry was available yet, it was decided to store the circuit followed by an inertial delay (that filters out adjustment word in a RAM and to extend it to 14 bit. any pulse shorter that the delay value). A gate has We had developed a new system, called Beta 4, in therefore no internal memory. A two-level gate can be which the adjustment was made automatically by the implemented in CMOS by means of series/parallel watch. Three pulses separated by exactly one second combinations of transistors. But it ceases to be a gate were injected into the watch by magnetic coupling. if one input is delayed by more than the output delay This reference was used by the watch circuit to gen- (such an input delay might be due to a higher thresh- erate the adjustment word. I can still remember the old value, or to some delay in the input connection). semi-discrete experimental system covering the whole An inverter is of course always a gate, but a cascade surface of my test bench, including two elementary of 3 inverters cannot be considered as a single gate. coils providing the coupling (but I have unfortunate- Using this definition, I carried out a computer syn- ly made no photograph). thesis of all race-free divider blocks possible with a

Summer 2008 IEEE SSCS NEWS 13 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 14

TECHNICAL LITERATURE

given number of gates. The computer was the IBM 1130 of the University of Neuchâtel that was fed by punched cards. I was coming in the evening with my stack of cards, retrieving the result the next morning. It took me many days until my FORTRAN program was debugged, but finally I got the results, which is still valid to-day: only one 4-gate race-free divider-by- two is possible whereas 9 solutions exist with 5 gates. The best of these 10 possibilities is the 5-gate struc- ture illustrated in Fig. 14.

Fig. 15: First integrated race-free frequency divider.

developing display motors, Henri had been very active in the industrialization of Beta 21. Returning to circuit design, he joined our project on new CMOS frequency dividers. He soon pointed out that among all the transistors of a sequential circuit, only some are active to change the output state of each gate, by charging or discharging the output capacitor. The oth- ers are just needed to maintain established states against leakage currents. They are therefore not - Fig. 14: Race-free divide-by-two cell. essary if the frequency is high enough.

The five gates and their interconnections are defined by the five equations (or rather logic implica- tions). The input variable is I, each internal variable A to E is produced by a gate (D and E by simple invert- ers), and the gates are interconnected according to the set of equations. The corresponding graph of tran- sitions shows that no more than one variable tends to transit from any given state; hence there is no race between variables. The sequence of stable states shows that each variable transits at half the input fre- quency and may thus be used as output of the divider stage. This circuit was integrated experimentally in a 5μm silicon gate process that had been developed in the meantime8 (Fig. 15). At 1.35V, it was consuming only 1.2nA/kHz, thus about 10 times less than the previous realization. A maximum frequency of 2MHz made it possible to use higher quartz frequencies for special products, such as the Beta 4 mentioned previ- ously. The process itself was running on a pilot line, producing a limited quantity of industrial circuits. Fig. 16: Transformation of the static circuit of Figure 14 The asynchronous divide-by-two cell is the most into its dynamic version. Each transistor is represented elementary non-trivial sequential circuit, and comput- as a circle, with the name of the variable driving its gate. er synthesis of race-free solutions was not applicable Only transistors shown in red are needed in the dynam- to more complex cells. But our younger colleague ic divider. Christian Piguet later developed a methodology appli- cable to various types of cells, including D and JK I formalized the idea10 and immediately tried it on flip-flops9. my new divider. I pulled the non-necessary transistors Returning to 1972, an important contribution was out of their sockets in my breadboard simulator.... and brought by my senior colleague Henri Oguey. After the divider kept working. As illustrated in Fig. 16, the

14 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 15

TECHNICAL LITERATURE

static circuit of 22 transistors was transformed into a Jean started with a known current reference (Fig. dynamic version of only 10 transistors (the inverters 18a), in which the size ratio K between two N-chan- were not needed any longer, since the transistors driv- nel transistors is compensated by the building up of a en by them had been removed). Since the total capaci- voltage across a resistor. On this basis, I developed an tance to be switched was reduced, the power con- amplitude-controlled quartz oscillator (Fig. 18b). In sumption was reduced by about one half. The photo- this circuit, the oscillation voltage at the gate of the graph shows that the dynamic circuit (D/2) is about half active transistor of the oscillator is filtered out (by a the area of the static version (S/2). A dynamic divide- non-critical RC filter) at the gate of the K-time larger by-three cell (D/3) proposed by Oguey11 is also shown. device, whereas both transistors share the same DC A semi-dynamic version was also developed by component. As the amplitude of oscillation increases, exploiting the fact that the short duration of the input the bias current delivered to the oscillator decreases, signal could be propagated down to low frequencies until equilibrium is reached. Later, the resistor shown by using A as output variable driving the next stage. I in green line was added to limit the start-up current, later carried out a systematic synthesis of race-free and the oscillator was separated from the regulator for dynamic divide-by-N blocks up to N=6. We then more flexibility. I am still very proud of this circuit extended the idea of race-free dynamic CMOS to that, with these modifications, has become a standard other logic blocks, including D, T and JK flip-. in watch oscillators.

Pioneering Weak Inversion for Analog CMOS Most of a watch chip area is occupied by digital cir- cuits. But the most critical part, the quartz oscillator, is indeed an analog circuit. To respect the power lim- itations, transistors had to be biased at unusually low current levels below 1μA. The result of my first meas- urement of a MOS transistor at very low current is shown in Fig. 17. Fig. 18: Analog circuits exploiting weak inversion: (a) Cur- rent reference borrowed from BJT design. (b) Amplitude- controlled quartz oscillator.

While we were developing and testing other cir- cuits exploiting these exponential characteristics, sev- eral authors were publishing models to describe this “weak inversion” or “sub-threshold” behaviour of MOS transistors (that appears as a DC leakage current in CMOS digital circuits). Most remarkable was the paper by Swanson and Meindl12. Indeed, not only did the authors bring important improvements in model- ling weak inversion, but they also showed that digital CMOS circuits could operate in weak inversion at a supply voltage as low as 200mV. But the correspon- ding maximum frequency was so low that the idea was forgotten for the next 30 years! Fig. 17: First measurement of a MOS transistor at very low current (annotated copy of my notebook). We put together these various publications, and developed our own simple model that was directly This was in April 1967. The transistor was a P-channel applicable to hand-design (Fig. 19). The width-to- device fabricated in 1966 by Fritz Leuenberger, with a length ratio was originally not included inside current

threshold of about 1 volt. I was deeply surprised to dis- ID0. This current was later decomposed in the EKV cover that, when the gate voltage was reduced below its model, showing its exponential dependency on the

threshold VT, the transfer characteristics were nicely equilibrium threshold voltage VT0. This model exponential across more than 5 decades of drain current. includes several features that were kept in later There was no explanation in the literature, since the tran- developments leading to the modern EKV model: the sistor was always characterized by a square law behav- source-drain symmetry is preserved by defining the iour. So we painfully started trying to model this strange various voltages with respect to the (local) substrate, behaviour. With my colleague Jean Fellrath, we were and a slope factor n is introduced to characterize the struck by the similarity with the BJT and very excited by reduced effect of the gate voltage. It also emphasizes the possibility to implement analog schemes developed the similarity with BJT’s. Indeed, it corresponds to the for BJT’s, but with a device needing no control current. Ebers-Moll model of a BJT with no base current. But

Summer 2008 IEEE SSCS NEWS 15 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 16

TECHNICAL LITERATURE

the MOS transistor is a 4-terminal device, with the gate voltage controlling what would be the specific current of the BJT.

Fig. 20: Splitting of a quartz oscillator for precise simula- tion of amplitude and frequency. Stable oscillation is [15] obtained for Zc(1)= Zm . This is a very powerful technique15, capable of sim- ulating not only the amplitude of oscillation, but also the precise amount by which the circuit is pulling the frequency of oscillation away from the (series) mechanical resonant frequency of the quartz. It is still Fig. 19: Model of the MOS transistor in weak inversion. UT = kT/q , n is the slope factor and VTO is a bias-independ- very useful today, since it can be applied to computer ent threshold voltage. simulation as well. It provides much more insight and precision than the standard lengthy time simulation. An example of full-blown version of watch oscilla- The model and our various circuits were presented tor with very low power consumption is illustrated in at ESSCIRC’7613, followed by an extended version in Fig. 2116. This circuit was fabricated in a bi-doped our seminal paper of 197714. I still remember that, polysilicon process: in order to minimize the thresh- after giving the ESSCIRC paper, a comment from the old voltage of both types of transistor, the polysilicon floor did seriously question the reliability of analog gate layer was p-type for P-channel transistors, and n-type circuits based on the “leakage current” of transistors. for N-channel devices. Hence, a lateral diode appeared at Of course, weak inversion is not a leakage current. It each transition inside the polysilicon layer (normally, it is a well-controlled mode of operation that is only had to be short-circuited). The first application of this slightly dependent on process parameters. Circuits diode was proposed by Henri Oguey: he used its large operating in weak inversion have since been pro- leakage current to maintain some logic states in dynamic duced by hundreds of millions for applications in circuits, in a scheme called resistance-CMOS circuits17. In watches. this oscillator, they were used either as floating diodes, or As already mentioned, all circuits were simulated to replace high-value resistors, by using quads of diodes on a breadboard, after scaling up the values of cir- to obtain symmetrical voltage-current characteristics. As a cuit capacitors to render those of the breadboard result, no real resistor was needed, and the total current negligible. This approach posed a special problem drain was less than 100nA at 32kHz, almost constant for for simulating quartz oscillators, because no electri- a supply voltage ranging from 0.8 to 3 volts. The regula- cal circuit could simulate the very high quality factor tor itself consumed only 5% of this current. Q of the resonator. While debugging and optimizing the oscillator of Beta 21, I had developed a special technique to solve this problem. It was based on the fact that with such a high Q, the exchange of ener- gy between the circuit and the resonator can only take place at the fundamental frequency. The non- linear circuit, including the non-motional part of the quartz resonator, was measured by injecting a sinu- soidal current. The resulting voltage was filtered (by means of a band pass filter precisely tuned to the measurement frequency) to obtain the amplitude Fig. 21: Full circuit of a quartz oscillator. The regulator has been separated from the core of the oscillator, with an and phase of its fundamental component. The result amplifier added to drive the frequency divider. was then divided by the value of the injected current to obtain the circuit impedance for the fundamental In 1975, I started the first course on integrated cir-

frequency Zc(1) (Fig. 20). cuit design at EPFL in Lausanne. I specially empha-

16 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 17

TECHNICAL LITERATURE

sized low-power devices and circuits, thereby initiat- later been introduced in special watches (including a ing a culture that has been pursued and expanded by GPS receiver that was not yet conceivable in the mid- several of my students. CEH had introduced a multi- seventies), this dream of a multifunctional watch circuit chip program (a precursor of multi-project never came to reality. The main technical reason is wafers) that was running every three months, so my the difficulty to manually enter information in a small students could design small circuits and measure device such as a watch. Not that we did not try: a them after integration. variety of input devices were developed and tested, Besides the small pilot line of CEH, low-voltage essentially based on capacitive sensors implemented CMOS circuits were produced by Faselec AG in on the watch glass. Another reason is that a watch is Zurich, and by EM Microelectronic Marin SA, close to considered a piece of jewellery rather than a techni- Neuchâtel. cal device (unlike today’s portable phones). We were given a lot of freedom to explore a wide Further Developments in Low-Power CMOS Devices variety of low-power building blocks. In this frame- and Circuits work, our colleague Henri Oguey proved to be par- The work on most basic circuits for the watch was ter- ticularly creative: his 26 notebooks are a mine of minated around 1977, but an important step still had novel ideas, most of them unpublished or even not to be made: the watch microprocessor. I must confess tested. A good example is the switched current mir- that, as the head of CEH circuit design activities, I was ror that he imagined in 1977 (Fig. 22). The explana- not convinced of the real need for such a develop- tion in French in this figure can be translated into ment. But a workgroup was created, including part- “obtain the same effect as with two perfectly identical ners from EPFL, from the University of Neuchâtel and transistors”. For some obscure reason, we did not from the watch industry. This group, led by my young apply for a patent, but I was excited by the idea and collaborators Christian Piguet and Jean-Felix Perotto, I made a test circuit in one of our multi-circuit chips. started working on the idea of long instructions, to This circuit remained unmeasured (lack of time?) till minimize the rate of memory access. This was the the mid-80’s, when I gave it to my student George beginning of an original series of low-power micro- Wegmann, as a starting point for his Ph. D. thesis. processors especially designed for watches (see the George made an excellent work, integrating an opti- article by C. Piguet in this issue). The flexibility mized version, and proving by extensive measure- offered by the approach soon rendered it essential, ments that such a mirror could reach a precision as and nowadays all electronic watches include a special good a 0.1%20. At the end of 1988, he was ready to microprocessor. publish his results when Daubert et al. published the The watch also needed some auxiliary circuits. principle that they named a current copier21. Among them was a circuit detecting the end of the battery life. Based on a voltage measurement, it required a precise voltage reference on the CMOS chip. We developed our first band gap reference by combining the base-emitter voltage of the vertical BJT available in CMOS with a PTAT voltage produced by MOS transistors in weak inversion18. Another refer- ence imagined by Oguey was again exploiting the bi- doped poly process. It was using the voltage differ- ence of about one band gap between the threshold voltage of two N-channel transistors with opposite types of gate doping19. Fig. 22: Excerpt of Oguey’s notebook dated May 1977. At that time, our low-power CMOS circuit research had been extended much beyond traditional watch Discussions with Henri Oguey were also at the ori- circuits, this for two main reasons. One was the need gin of a new scheme for accurate compensation of to serve customers outside the watch industry. The offset in amplifiers22. In this scheme, an offset com- other was our dream to introduce many other func- pensation voltage is stored, not at the main input of tions in the watch. Our reasoning was that since the the amplifier, but at an auxiliary input of lower sensi- watch occupies a unique position on its owner’s arm, tivity. The effect of charge injection can thereby be it could and should provide several useful functions reduced by a large factor. or services. Many possibilities were proposed: calcu- After the idea of switched capacitor (SC) circuits lator, electronic money, electronic key, communica- was first published in 197723, we applied it to very tion device, electronic compass, altimeter, personal low-power circuits. My first realization was a quasi- data storage, and physiological check of the wearer. sinusoidal SC oscillator, intended as a VCO for a track- Even though some of these additional functions have ing filter, and consuming less than 50nA24. As I can

Summer 2008 IEEE SSCS NEWS 17 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 18

TECHNICAL LITERATURE

remember, the framework was the search for a possi- me: the oscillator had stopped and the battery was ble watch-to-watch communication, using a very nar- empty. I took the system back to my lab and meas- row bandwidth to minimize power. We later devel- ured it with a new battery; it was working perfectly, oped several versions of low-power SC circuits, in so I send it back to him. This cycle was repeated sev- collaboration with EPFL25, 26. I demonstrated that eral times. While I was once more measuring the cir- operational amplifiers with low output impedance cuit, the current suddenly jumped by 4 orders of mag- should be replaced by OTA’s in order to minimize nitude when I switched on my big oscilloscope. It noise27. By operating the transistors in weak inver- returned to its microampere level after switching off sion, their intrinsic voltage gain was maximum, so and on the supply voltage. Although we had stopped that more than 100dB of gain could be obtained in a designing bipolar circuits, I had always kept an inter- single cascoded stage (although a cascode is indeed a est in the BJT. So I was quickly able to identify a very special 2-stage configuration). Hence no phase thyristor effect. A model made it easy to understand compensation was needed and the architecture of the what should be done to avoid the problem. Latch-up OTA was very simple. But slew rate limitations were could easily be eliminated in sub-microampere cir- aggravated by the low bias current level, and we had cuits, such as watch circuits: A minimum latch-up cur- to develop some schemes for class AB operation28. rent of about 1mA was guaranteed by applying ade- The principle of one of our new schemes is illustrat- quate layout rules, and a 2kΩ poly resistor was placed ed in Fig. 2329. The tail current of the input differen- in series with the 1.5V battery, limiting the maximum tial pair is increased proportionally to the difference current below this value. of its two output currents. For a proportionality factor My sustained interest for the BJT made me suggest D≥1, the differential transconductance increases with to operate a standard MOS transistor as a lateral BJT the input voltage, instead of decreasing to zero as in (which looks obvious, at least a posteriori, given the a normal differential pair (D=0). structural similarity of the two types of devices). After negative results obtained by some collaborators, I was not discouraged and decided to measure it myself. I took care to consider it as a 5-terminal device (the 4 terminals of the MOS transistor in its well, and the substrate), and it worked as expected. My first appli- cation was a multiple cascoded current mirror shown in Fig. 24. The same devices could be operated as MOS transistors or as lateral BJT’s, to demonstrate the drastic improvement in precision. I also demonstrated a band gap voltage reference and a low-noise ampli- fier59. Figure 25 shows a later measurement of 1/f Fig. 23: Principle of a class AB amplifier and transfer char- acteristics in weak inversion. noise, in which the decreasing gate voltage VG is com- pensated by a more negative source voltage VS to μ maintain the drain current ID constant (at 1 A). Oper- At low supply voltage, the problem of charge injec- ation is therefore progressively moved from MOS to tion by switches (also called clock feedthrough) was BJT, showing a dramatic reduction of flicker noise. particularly serious. In order to be able to reduce it by a compensation technique, I carried out the first detailed analysis of this important problem. This analysis, based on an equivalent circuit, was original- ly only presented at a course in Leuven 27 (and also published in an obscure journal). It was later con- firmed by other authors30, and by detailed measure- ments in the framework of our work on switched mir- rors at EPFL31. Fig. 24: Multiple cascode current mirror. Each inner con- Latch-up was an important problem in the early centric device can be configured as a MOS transistor or as time of CMOS. We discovered it accidentally, before it a lateral BJT. had been reported in the literature. My colleague Jean Hermann was developing a new type of quartz res- Weak inversion provides several advantages that onator, and he wanted to carry out long-term meas- can be exploited in low-power circuits. But this mode urements inside an oven. For this purpose, I gave him of operation also has some drawbacks, the most one of my recently developed oscillator chips, pow- important being the poor precision of current mirrors. ered by a big 10Ah battery. After a few days, he called Hence, even in very low-power circuits, the various

18 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 19

TECHNICAL LITERATURE

plementary tool, but should not replace the analysis as is does too often nowadays). Overall, this CEH period was a fantastic adventure for all of us.

Low-Power Circuits at EPFL and CSEM CSEM was more development-oriented, with well- defined specifications to be reached in a well-defined period of time. I moved more of my personal research to EPFL in collaboration with my Ph.D. students. A very imaginative student, François Krummenach- Fig. 25: Flicker noise measurements (from Stephan Cser- er had independently solved the problem of sensitiv- veny, unpublished). ity of SC circuits to parasitic capacitors during his Diploma work. He later developed a low-power SC transistors must usually be biased with various filter approach with intrinsic double correlated sam- degrees of inversion, depending on their function. pling to reduce the 1/f noise32, and his Ph.D. thesis This is the reason why we needed a model covering was about the optimisation of very low-power SC fil- all levels of current, from weak to strong inversion. In ters. As a first assistant, he later developed along the collaboration with Stefan Cserveny, Henri Oguey years a multiplicity of novel low-power circuits, developed a model called CEMOS that was an impor- including continuous-time filters33. tant step towards our later EKV model. They intro- François also helped me supervise the Ph.D. project duced the notion of control voltage (that became the of Christian Enz on high-precision CMOS micropower pinch-off voltage in EKV). They also emphasized the amplifiers. It is in this framework that previous devel- symmetry of the transistor by expressing the drain opments of a MOS model at CEH were pursued and current as the difference of two values of the same extended at EPFL. This new model was later called function, one calculated at the source, the other at the EKV, after its first publication by the three of us34.At drain (these two values became the forward and this stage, the model was already including the reverse components of drain current in the EKV dynamic behaviour and the noise, but it still used the model). The function itself was changing smoothly mathematical interpolation from weak to strong inver- from the exponential of weak inversion to the square sion proposed by Oguey and Cserveny. The model law of strong inversion, by means of a mathematical was then extended year after year with the help of interpolation. several students, under the leadership of Christian In 1983, the CEH research laboratories were Enz, who had become professor. Christian also start- merged into CSEM (a French acronym for Swiss Cen- ed an activity on low-power low-voltage RF CMOS tre for Electronics and Microtechnology), a newly circuits. Transceivers were developed that could oper- founded organization partially supported by the Swiss ate below 1V of supply voltage by biasing transistors government. During its more than 20 years of exis- close to or in weak inversion35, 36. His team also tence, CEH had provided a very open research envi- demonstrated new log domain filters based on the ronment with a lot of research freedom. The defini- exponential characteristics in weak inversion37 (see tion of most of the projects was sufficiently general to the article by C. Enz in this issue). allow the researchers to explore new ideas inside Although CSEM was more oriented towards devel- wide domains. I like to compare this kind of explo- opment and industrial projects, we kept several ration with the exploration of unknown territories of advanced research projects, in particular in low- the World. We were exploring in particular the conti- power integrated circuits. Among them was a project nent of very low-power circuits (we called them on analog VLSI inspired by biology. The underlying micropower circuits), with no predefined milestones idea was the following. It can be demonstrated that (what are milestones in the exploration of a terra analog remains more power efficient than digital for incognita?). The cafeteria was always a place of carrying out tasks requiring no large signal-to-noise intense technical discussions and exchanges between ratio38, 39, 40. This is the case for tasks of perceptive designers and process specialists. We were given the nature, like vision or audition. Instead, as our brain time and the freedom to generalize our ideas and to does, they require a massively parallel system of conceptualize them (a very important step to progress strongly interconnected cells to carry out collective in research). Of course, there were dead ends, but computation. they often could be transformed into new ideas appli- Thus, analog VLSI appeared best suited to imple- cable elsewhere. The lack of computer resources ment, in particular, low-power image processing sys- forced us to use analytical approaches, which give tems-on-chip (often called somewhat incorrectly “arti- much more insight than computed sets of numbers or ficial retinas”). One important problem was (and still plots (the computer is of course an invaluable com- is) the density of interconnections that is much lower

Summer 2008 IEEE SSCS NEWS 19 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 20

TECHNICAL LITERATURE

on-chip than in the brain. One solution is to imple- cept of pseudo-resistors. According to this concept, ment connections to the neighbouring cells only. This illustrated by Fig. 27, any network of linear variable was possible for the motion detection chip shown in resistors can be replaced by a network of MOS tran- Fig. 26, that we developed for a pointing device41. sistors in weak inversion if only currents are consid- This circuit was inspired from the rabbit’s eye, and ered46. The value of the pseudo-resistor may be con- evaluates separately the vertical and horizontal trolled directly by the gate voltage of transistor T, or

motion components of a pattern of dots. by the current Ic in an associated control transistor Tc. All transistors are in the same substrate, and all con-

trol transistors may share the same voltage Vref. If a node (e.g. node B) is grounded in the resistive net- work, it corresponds to a saturated transistor in the pseudo-resistive network (side B of T saturated), according to the concept of pseudo-ground.

Fig. 27: Equivalent linear networks with respect to current. (a) Resistor R between nodes A and B of a network of vari- able resistors. (b) Corresponding pseudo-resistor T in weak inversion. Fig. 26: Layout of a motion detection chip for pointing devices. This linearity with respect to currents can be traced back to the fact that diffusion (which is the sole cause For more general communication the solution was to of channel current in weak inversion) is a linear use the scheme called address-coding events (ACE)42. process. But the principle is also applicable if the According to this scheme, each cell produces very transistors leave weak inversion (the current is then short pulses (called events) that code their position on no longer carried only by diffusion), provided all of a common parallel bus. The analog information is car- them share the same gate voltage, as was first demon- ried by the frequency or by the phase of these events strated by Bult and Geelen in 199247. I formalized the (both of them being continuous values). Different concept in 199748 while describing a number of pos- solutions may be used to deal with the possible colli- sible applications. I am still fascinated by this proper- sion of these asynchronous events. At EPFL, we ty of current linearity that was identified only 30 years developed our particular scheme that simply elimi- after the MOS transistor was first used. This property nates the results of collisions43. This scheme was is linked to the fundamental symmetry of the transis- applied recently by CSEM to a remarkable analog tor, as expressed by the EKV model. In weak inver- vision-sensor chip that computes at the pixel level the sion, this symmetry is only progressively affected contrast magnitude and direction of image features44. when the channel is shortened, whereas structural For each pixel, this pair of analog values is transmit- non-homogeneities along the channel also affect it in ted on two separate buses as the phase of ACE’s with moderate and strong inversion49. But this functional respect to a common clock signal. symmetry is otherwise always independent of the The whole project in bio-inspired analog VLSI was shape of the channel. also intended to force the exploration of totally novel schemes by a kind of lateral thinking. One result was Low-Power, Low-Voltage Today the idea of Oliver Landolt to represent and compute All of the essential CMOS watch building blocks that analog data by means of “place coding”45. This have been developed in the past have been adapted approach should be explored further, since it combines to more recent fabrication processes, and they are still the advantages of digital and analog circuits: it allows used in modern Swiss watches. They include the one to increase the computational accuracy (and the oscillator, the frequency divider and the digital tuning immunity to many kinds of perturbations) of analog with an on-chip E2PROM. The experience on low- data by increasing the number of hardware cells. power quartz oscillator circuits is directly applicable Another by-product of bio-inspiration was the con- to MEM resonators that have the same equivalent cir-

20 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 21

TECHNICAL LITERATURE

cuit. Special microprocessors have evolved with the sion permit a variety of low-power, low-voltage ana- increasing complexity of the watch and are present in log circuits, including translinear loops, log domain all modern electronic watches. Special devices such filters and analog processors exploiting the concept of as the bipolar-operated MOS or the lateral diode pseudo-resistors53. A recent experimental application inside the polysilicon layer are available today in all of the latter is the on-line minimization of the total or some modern sub-micron processes, but they must energy spent by a multiprocessor system-on-chip to be characterized before being used. execute a set of related tasks54. The modern version of the EKV transistor model is The idea of single-clock digital circuits has been fully charge-based and continuous from weak to rediscovered after 25 years for application to very strong inversion. It includes second order effects relat- high-speed circuits55. For digital circuits, power can ed to submicron processes and is applicable to RF IC be reduced by reducing the supply voltage, thereby design as well as to very low power50. Although it has reducing the energy per transition. The resulting lost the race against the PSP model51 to become the reduction in speed can be compensated by using a new standard, it is implemented in many CAD tools52. smaller feature size and/or by resorting to parallelism56. Based on the physical mechanisms underlying the But to maintain a sufficient gate voltage overhead (in transistor behaviour, it only requires a limited number order to maintain high speed), the threshold voltage of parameters that can be predicted from the process, must be reduced. As a result, at zero gate voltage the and it maintains the symmetry inherent to the device transistor is no more completely blocked since some (which is very useful, if not absolutely necessary, to non-negligible weak inversion current remains. Often understand and analyse some analog circuits). It pro- called “DC leakage current”, this residual off-state cur-

vides a very precise “gm/I”(transconductance-to-cur- rent tends to become an important part of the total rent ratio as a function of the normalized saturation power consumption. During its first 30 years of exis- current) relationship that is a very useful tool for opti- tence, the MOS transistor could be considered as an mum analog design. It is also coherent from its sim- ideal on-off switch, and CMOS logic was only con- plest form that can be used in understanding and suming energy during transitions. The power con- teaching circuits, to its most detailed form applicable sumption of idling gates was negligible, thus their in CAD tools. percentage did not affect power consumption. At the beginning of the 90’s, the World has awok- Nowadays, the MOS transistor must be looked at as en to low power. First because of the increasing a voltage-controlled current modulator, with a limited importance of portable devices, but also to limit on- on/off current ratio. Since the maximum speed is chip heat generation and to reduce the cost of power proportional to the on-current, the off-current and the supplies in computers. Indeed, power consumption resulting DC power consumption becomes propor- has been moved high in the list of chip specifications tional to the required speed. But this DC power con- (from which it was previously often simply absent). sumed by a gate is also proportional to the idling time Moreover, with modern submicron processes, the of this gate. It can therefore be reduced by increasing maximum voltage must be limited to limit electric the duty factor α<1 of the gates, defined as the ratio fields. Hence, low-voltage has also become very between their effective switching frequency f and its

important nowadays. Circuit techniques developed maximum possible value fmax. along the years for low power and low voltage have Now, for a given supply voltage (hence a given therefore gained more importance. gate voltage swing), the maximum on/off current ratio For analog subcircuits, a voltage reduction does not is obtained in weak inversion. Thus, as I have shown help reducing their power consumption40. Worse, and in a recent analysis57, CMOS logic circuits in weak especially below 1V, it tends to increase the minimum inversion can be optimized to reach the ultimate min- power needed to achieve a given speed and a given imum of power P for a given switching frequency and signal-to-noise ratio. One reason for this is the reduc- with a given process. This minimum is shown in Fig. tion of maximum voltage amplitude due to the drain 28 together with the corresponding optimum supply

to source saturation voltage VDSsat of MOS transistors. voltage VB. The maximum possible frequency fmax can The amount of channel inversion must therefore be be selected by adjusting the off current according to

reduced to reduce VDSsat, which reaches its minimum the model of Fig. 19, by means of the source-substrate in weak inversion. As a consequence, in any analog voltage VS. The minimum power depends on the circuit operated below 0.5V, transistors must be process via the total load capacitance C of the gate biased close to or in weak inversion. This connection (including interconnections). It splits into a dynamic of weak inversion with low voltage is more funda- component and a static component. mental than that with low current (since transistors Compared with 1 Volt operation (for which the stat- can in principle remain in strong inversion even at a ic power would be negligible), a power reduction by very low-current if their length-to-width ratio is more than 50 would be possible if a duty factor α =1 increased). The special characteristics in weak inver- could be ensured. But this is only possible with 3-stage

Summer 2008 IEEE SSCS NEWS 21 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 22

TECHNICAL LITERATURE

phase of these pulses. Its program and data memory is distributed and is stored in the pattern of interconnec- tions and in their variable strengths (synaptic weights). Its learning capability has inspired the approach of arti- ficial neural networks (with interesting but limited results, due probably to an inadequate adaptation to the silicon environment). But what is known today is cer- tainly a very small part of all the unusual schemes exploited by the brain, and new ones are being uncov- ered by very active teams of neurophysiologists. Reducing the power consumption of processing chips while increasing their complexity will be possible by fur- ther developing traditional techniques, at all levels from Fig. 28: Minimum power consumption P of a CMOS gate process to software. But there will be limits, beyond operated in weak inversion (red curve) for an average fre- which totally new approaches will be needed. Why not quency f of on/off transition cycles (not to confuse with then try to borrow some of the schemes used by the the clock frequency). Corresponding optimum supply volt- brain, thereby harvesting the results of half a billion age VB (black curve). years of evolution? Undoubtedly, these schemes will ring oscillators (that are not very useful circuits). For a have to be cleverly adapted to the constraints and pos- more realistic value α =1/100, the reduction can still be sibilities of the silicon environment. But this adaptation more than a factor 10, with a maximum possible switch- will only be possible if a sufficient number of open- ing frequency of about 3MHz for a 0.18 micron process minded deciders in the industry and in universities (which would reach 500MHz for α=1). organize a close collaboration of their most inventive cir- This ultimate limit assumes that the off-currents of p- and cuit designers with the community of brain researchers. n-channel transistors are adjusted to the same value (by adjusting the source-substrate voltage, which requires a 1 H. J. Oguey “The first quartz wristwatch,” to be published in true twin well process). It might not be reached in practice IEEE Spectrum. 2 F. M. Wanlass and C. T. Sah, “Nanowatt Logic Using Field- due to several side effects like mismatch or drain induced Effect Metal Oxide Semiconductor Triodes,” ISSCC’63 Digest barrier lowering. The new century has seen the emergence of Technical Papers, pp. 32-33, 1963. of a new interest for weak inversion logic (now called sub- 3 F. Leuenberger and E. Vittoz, "Complementary MOS low- threshold logic) with the realization of digital sub-systems power low-voltage integrated binary counter,” Proc.IEEE, operating at a clock frequency of a few kHz and a supply vol.. 57, No 9, pp 1528-1532, Sept. 1969. 58 4 A. K. Rapp et al. ”Complementary MOS integrated binary voltage of just a few hundred millivolts (see article by J. counter,” ISSCC’67 Digest of Technical Papers, pp. 52-53, 1967. Kwong and A. Chandrakasan in this issue). There is no 5 E. Vittoz, W. Hammer, M. Kiener and D. Chauvy, “Logical cir- doubt that further research in this direction (and further cuit for the wristwatch,” Eurocon 1971, Lausanne, paper F2-6. process scaling) will permit an increase in system speed. 6 E. Vittoz, "LSI in watches,” Solid-State Circuits 1976, Ed. But, at ambient temperature, it will never be possible to Journal de Physique, 1977, Paris. Also published in Pulse, Jan. 1978, pp. 14-20 (Invited paper ESSCIRC’76). decrease the supply voltage much lower (the absolute min- 7 E. Vittoz, C. Piguet and W. Hammer, "Model of the logic imum for regenerative logic being 2ln(n+1)•UT). It is inter- gate,” Proc. Journées d'Electronique 1977, EPF-Lausanne, esting to point out that these voltage levels are comparable pp. 455-467. to that of the action potential in the brain. 8 E. Vittoz, B. Gerber, and F. Leuenberger, "Silicon-gate CMOS The human brain is a fantastic computing machine. frequency divider for the electronic wrist watch,” IEEE J. 11 12 Solid-State Circuits, vol. SC-7, No2, pp. 100-104, April 1972. With its 10 neurons (or 10 cells if we include the sup- 9 C. Piguet, “Logic synthesis of race-free asynchronous CMOS porting glial cells), it consumes about the same power circuits,” IEEE Journal of Solid-State Circuits, vol. 26, No 3, as a fast modern microprocessor. But its processing March 1991, pp. 271-380. power is immensely larger. It must therefore use much 10 E. Vittoz and H. Oguey, "Complementary dynamic logic cir- more energy efficient computation strategies. Some of cuits,” Electronics Letters, 15th Jan. 1973, vol.9, No 4. 11 H. Oguey and E. Vittoz, “CODYMOS frequency dividers them are known. A massive parallelism compensates for achieve low power consumption and high frequency,” Elec- the much lower speed of each neuron (maximum firing tronics Letters, 23rd August 1973, vol. 9, No 17. rate of about 2kHz). But it also makes possible the spa- 12 R. M. Swanson and J. D. Meindl, “Ion-implanted comple- tio-temporal representation and processing of informa- mentary MOS transistors in low-voltage circuits," IEEE Jour- tion, by means of arrays of cells organized in maps. The nal of Solid-State Circuits, vol. 7, pp. 146 - 153, April 1972. 13 E. Vittoz and J. Fellrath, “New analog CMOS IC's based on system may be seen as digital, since it uses short pulses weak inversion operation,” Proc. ESSCIRC '76, pp. 12-13, of fixed shape and amplitude (called the action poten- Toulouse, Sept. 1976. tial) to carry the signal. But it is essentially analog since 14 E. Vittoz and J. Fellrath, "CMOS analog integrated circuits the information is carried by the frequency and by the based on weak inversion operation,” IEEE J. Solid-State Cir-

22 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 23

TECHNICAL LITERATURE

cuits, vol. SC-12, pp. 224-231, June 1977. 37 D. Python and C. C. Enz, "A micropower class-AB CMOS 15 E. Vittoz, M. Degrauwe and S. Bitz, "High-performance crys- log-domain filter for DECT applications,” IEEE Journal of tal oscillator circuits: theory and applications,” IEEE J. Solid- Solid-State Circuits, vol. 36, pp. 1067 - 1075, July 2001. State Circuits, vol. SC-23, pp. 774-783, June 1988 38 B. J. Hosticka, “Performance comparisons of analog and dig- 16 E. Vittoz, "Quartz oscillators for watches,” invited paper, ital circuits,” Proc. IEEE, vol. 73, pp. 25-29, Jan. 1985. Proc. 10th International Congress of Chronometry, pp. 131- 39 E. Vittoz, "Future trends of analog in the VLSI environment,” 140, Geneva, 1979. invited session WEAM-9, ISCAS'90, New Orleans , May 2, 17 H. Oguey and E. Vittoz, "Resistance-CMOS circuits,” IEEE J. 1990, digest pp. 1372-1375. Solid-State Circuits, vol. SC-12, pp. 283-285, June 1977. 40 E. A. Vittoz and Y. P. Tsividis, “Frequency-Dynamic Range- 18 E. Vittoz and O. Neyroud, "A low-voltage CMOS bandgap Power,” Ch. 10 of Trade-offs in Analog Design, ed. By C. Touma- reference,” IEEE J. Solid-State Circuits, vol. SC-14, pp. 573- zou and G. Moschytz, Kluwer, 2002, ISBN 1-4020-7037-3. 577, June 1979. 41 X. Arreguit, F. A. van Schaik, F. V. Bauduin, M. Bidiville, and 19 H. J. Oguey and B. Gerber, "MOS voltage reference based E. Raeber, "A CMOS motion detector system for pointing on polysilicon gate work function difference,” IEEE Journal devices,” IEEE Journal of Solid-State Circuits, vol. 31, pp. of Solid-State Circuits, vol. 15, pp. 264 - 269, June 1980. 1916 - 1921, December 1996. 20 G. Wegmann and E. A. Vittoz, "Analysis and improvements 42 M. Mahowald, “VLSI analogs of neuronal visual processing: a of accurate current mirrors,” IEEE J. Solid-State Circuits, vol. synthesis of form and function,” Ph.D. dissertation, Computation 25, pp.699-706, June 1990. and Neural Systems, California Institute of Technology, 1992. 21 S. J. Daubert, D. Vallancourt and Y. P. Tsividis, “Current copi- 43 A. Mortara, E. Vittoz and P. Venier, "A communication er cell,” Electron. Lett., vol. 24, pp. 1560–1562, Dec.8, 1988. scheme for analog VLSI perceptive systems,” IEEE J. Solid- 22 E. Vittoz, "Dynamic Analog Techniques,” in Design of VLSI State Circuits, vol. 30, pp. 660-669, June 1995. Circuits for Telecommunication and Signal Processing, Edi- 44 P. Rüedi, P. Heim, F. Kaess, E. Grenet, F. Heitger, P. Burgi, S. tors J. Franca and Y. Tsividis, Prentice Hall, 1994. Gyger, and P. Nussbaum, “A 128 _ 128 pixel 120-dB dynam- 23 B. J. Hosticka, R. W. Brodersen, and P. R. Gray, "MOS sam- ic-range vision-sensor chip for image contrast and orienta- pled data recursive filters using switched capacitor integra- tion extraction,” IEEE Journal of Solid-State Circuits, vol. 38, tors,” IEEE Journal of Solid-State Circuits, vol. 12, pp. 600 - pp. 2325 - 2333, December 2003. 608, December 1977. 45 O. Landolt,”Place Coding in Analog VLSI”, a neuromorphic 24 E. Vittoz, "Micropower switched-capacitor oscillator,” IEEE J. approach to computaton,” Kluwer, 1998, ISBN 0-7923-8194-7. Solid-State Circuits, vol. SC-14, pp. 622-624, June 1979. 46 E. Vittoz and X. Arreguit, “Linear networks based on transis- 25 R. Dessoulavy, A. Knob, F. Krummenacher and E. Vittoz, "A tors,” Electronics Letters, Vol.29, pp.297-299, 4th Febr.1993. synchronous switched-capacitor filter,” IEEE J. Solid-State 47 K. Bult and G. Geelen, ISSCC Digest Tech. Papers, pp.198- Circuits, vol. SC-15, pp. 301-305, June 1980. 199, 1992. 26 E. Vittoz and F. Krummenacher, "Micropower SC filters in Si- 48 E. Vittoz, “Pseudo-resistive networks and their applications gate CMOS technology,” Proc. ECCTD'80, vol.1, pp.61-72, to analog collective computation,” Proc. of MicroNeuro’97, Warshaw, 1980. Dresden, pp.163-173, ISBN 3-86005-190-3; also Proc. 27 E. Vittoz, "Microwatt switched capacitor circuit design,” ICANN’97, Lausanne, pp.1133-1150, ISBN 3-540-63631-5, Summer Course on SC circuits, KUL, vol.II, Leuven, June Springer Verlag, Berlin. 1981. Republished in Electrocomponent Science and Tech- 49 E. Vittoz, C. Enz and F. Krummenacher,”A basic property of nology, vol.9, pp. 263-273, 1982. MOS transistors and its circuit implications,” Workshop on 28 F. Krummenacher, E. Vittoz and M. Degrauwe, "Class AB Compact Modelling, Nanotech 2003, WCM-MSM 2003, San CMOS amplifier for micropower SC filters,” Electronics Let- Francisco, Febr. 23-27, Proc. Vol.2, pp.246-249 . ters, 25th June 1981, vol.17, No 13, pp. 433-435. 50 C. C. Enz and E. A. Vittoz,”Charge-based MOS Transistor 29 M. Degrauwe, J. Rijmenants, E. Vittoz and H. De Man, Modeling: The EKV model for low-power and RF IC design,” "Adaptive biasing CMOS amplifiers,” IEEE J. Solid-State Cir- John Wiley & Sons Inc., 2006, ISBN-13:978-0-470-85541-6. cuits, vol. SC-17, pp. 522-528, June 1982. 51 G. Gildenblat, X. Li, H. Wang, W. Wu, R. van Langevelde, 30 B. J. Sheu and C. M. Hu, “Switched induced error voltage on A.J. Scholten, G.D.J. Smit and D.B.M. Klaassen, "Introduction a switched capacitor,” IEEE J. Solid-State Circuits, vol. SC-19, to PSP MOSFET Model,” MSM-Nanotech, 2005. no. 4, pp. 519-525, Aug. 1984. 52 http://legwww.epfl.ch/ekv/. 31 G. Wegmann, E. Vittoz and F. Rahali, "Charge injection in 53 E. Vittoz, “Analog circuits in weak inversion,” chapter 8 of analog MOS switches,” IEEE J. Solid-State Circuits, vol. SC- A. Wang et al., Sub-Threshold Voltage Circuit design For 22, pp. 1091-1097, Dec. 1987. Ultra Low Power Systems, Springer, 2006. 32 F. Krummenacher, “Micropower switched capacitor biqua- 54 Z. T. Deniz, Y. Leblebici, and E. Vittoz, "On-line global ener- dratic cell,” IEEE J. Solid-State Circuits, vol. SC-17, pp. 507- gy optimization in multi-core systems using principles of 512, June 1982. analog computation,” IEEE Journal of Solid-State Circuits, 33 F. Krummenacher and N. Joehl, "A 4-MHz CMOS continu- vol. 42, pp.1593-1606, July 2007. ous-time filter with on-chip automatic tuning,” IEEE Journal 55 Y. Ji-Ren, I. Karlsson, and C. Svensson, “A true single-phase- of Solid-State Circuits, vol. 23, pp. 750 - 758, June 1988. clock dynamic CMOS circuit technique,” IEEE Journal of 34 C. Enz, F. Krummenacher and E. Vittoz, "An analytical MOS Solid-State Circuits, vol. 22, pp. 899 - 901, October 1987. transistor model valid in all regions of operation and dedicat- 56 E. Vittoz, “Low-power design: ways to approach the limits,” ed to low-voltage and low-current applications,” Analog Inte- Proc. ISSCC’94, pp. 14-18, San Francisco, February 16, 1994. grated Circuits and Signal Processing, Vol.8, pp.83-114, 1995. 57 E. Vittoz, “Weak Inversion for Ultimate Low-Power Logic,” 35 A. S. Porret, T. Melly, D. Python, C. C. Enz and E. A. Vittoz, Chapter 16 of Low-Power Electronic Design, Editor, C. “An ultra low-power UHF transceiver integrated in a standard Piguet, CRC Press LLC, 2004. digital CMOS process: architecture and receiver,” IEEE Jour- 58 A. Wang, B. H. Calhoun and A. P. Chandrakasan, Sub- nal of Solid-State Circuits, vol. 36 pp.462-466, March 2001. Threshold Voltage Circuit design For Ultra Low Power Sys- 36 T. Melly, A. S. Porret, C. C. Enz and E. A. Vittoz, “An tems, Springer, 2006. ultralow-power UHF transceiver integrated in a standard 59 E. Vittoz, "MOS transistors operated in the lateral bipolar digital CMOS process: transmitter,” IEEE Journal of Solid- mode and their applications in CMOS technology,” IEEE J. State Circuits, vol. 36 pp.467-472, March 2001. Solid-State Circuits, vol. SC-18, pp. 273-279, June 1983.

Summer 2008 IEEE SSCS NEWS 23 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 24

TECHNICAL LITERATURE A Short Story of the EKV MOS Transistor Model

Christian C. Enz, Member, IEEE, [email protected]

I. THE EKV MOS TRANSISTOR MODEL oped at CEH by H. Oguey and S. Cserveny, and was first published in French in 1982 [12]. The only publi- A. The Early Stage cation in English was at a summer course given in The EKV MOS transistor model finds its roots in the 1983 [13]. This model embodied most of the basic fea- first transistor models accounting for weak inversion tures that were retained later. It introduced a function that started to be published in the 70’s. In 1972, M.B. of the gate voltage called control voltage, later

Barron published a model for the grounded source renamed pinch-off voltage VP. A single function of device showing the exponential dependencies on this control voltage and of either the source voltage or drain voltage and on surface potential, with a rather the drain voltage defined two components of the complex expression relating the surface potential to drain current (which became the forward and reverse the gate voltage [1]. The same year, R.M. Swanson and components). This function was continuous from J.D. Meindl [2] showed that this relation could be weak to strong inversion, using a mathematical inter- accounted for by means of an almost constant factor, polation to best fit moderate inversion. In the mid- which became the slope factor n used in the EKV 80’s, the model of Oguey and Cserveny was simpli- model. The following year, R.R. Troutman and S.N. fied by E. Vittoz for his undergraduate teaching at Chakravarti [3] treated the case of non zero source EPFL. voltage. Then T. Mashuhara et al. [4] showed that the current depends on a difference of exponential func- B. The Continuous Model Stage tions of source and drain voltages. I started to work on the EKV model as part of my In the mean time, micropower analog circuit blocks Ph.D. thesis supervised by E. Vittoz. Although my were developed at the Centre Electronique Horloger Ph.D. work was on the design of a micropower low- (CEH)1. They were first published in 1976 [5], [6], noise amplifier, I quickly realized that I needed a bet- together with a model applicable for weak inversion ter model to design my amplifier, particularly includ- circuit design, which was based on the previously ing the noise not only in weak inversion but also in mentioned work. This model already included two moderate and strong inversion. In collaboration with important features of the EKV model: a) reference to E. Vittoz and F. Krummenacher, we developed the the (local) substrate (and not to the source) for all premises of what would become the EKV model on voltages and b) full source-drain symmetry. The relat- top of the original work done at CEH by H. Oguey ed small-signal model including noise was also pre- and S. Cserveny. The model was formulated more sented [7]. A symmetrical model of the MOS transistor explicitly. Noise and dynamic behavior were intro- in strong inversion was first published by P. Jespers in duced by exploiting the fundamental source-drain 1977 [8], [9]. Based on an idea of O. Memelink, this symmetry. The pinch-off voltage, initially defined as graphical model uses the approximately linear rela- the control voltage by H. Oguey and S. Cserveny only tionship between the local mobile charge density and in strong inversion, was extended to the weak inver- the local “non-equilibrium” voltage in the channel. sion region. The status of the model was presented at This charge-based approach has been adopted and various Summer Courses [14] -[16], but curiously was generalized to all levels of current in the EKV model. never published. It was only after I joined the Elec- Another ingredient of EKV is the representation of tronics Lab of EPFL headed by M. Declercq as an the drain current as the difference between a forward Assistant Professor in 1992 that I realized that our and a reverse component. This idea was first intro- model was actually never published. Together with F. duced for the MOST in 1979 by J.-D. Châtelain [10], by Krummenacher and E. Vittoz, we decided to publish similarity with the Ebers-Moll model of bipolar tran- a full paper on the EKV model in 1995 [17] in a spe- sistors [11]. However, his definition of these two com- cial issue of the AICSP on low-power circuit design. ponents was different from that adopted later, and This publication gave its name to the model, but was not applicable to weak inversion. many important extensions were added later. Even in micropower analog circuits, not all transis- Probably the most important extension was the tors should be biased in weak inversion. There was replacement of the current and transconductance therefore a need for a good model continuous from purely mathematical interpolation functions between weak to strong inversion. Such a model was devel- weak and strong inversion presented in [17] by a more physical one, derived from an explicit lineariza- 1 For more details about the Centre Electronique Horloger (CEH) and its history, please read the article of E. Vittoz on page 7 in this issue. tion of the inversion charge versus the surface poten-

24 IEEE SSCS NEWS © 2008 IEEE Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 25

TECHNICAL LITERATURE

tial. The incremental linear relationship between • A small-signal charge-based non-quasi-static (NQS) inversion charge and surface potential was first con- model was presented by J-M. Sallese and A-S. Por- sidered by M. Bagheri and C. Turchetti [18], but the ret in [30], [36]. linearization of the inversion charge versus surface • Polysilicon depletion and quantum effects were also potential was originally proposed in 1987 by the pio- added [37]-[39]. neering work of M. Maher and C. Mead [19], [20]. At • I extended the EKV model to also cover high-fre- that time we did not realize that most of the charge- quency operation for the design of RF CMOS inte- based formulation, including the effect of velocity sat- grated circuits [40]-[44]. uration, was already present in the model of M. Maher • An accurate model of the extrinsic capacitances was and C. Mead. Strangely, this work was only published developed by F. Prégaldiny et al. [45]. in the proceedings of a local workshop [19] and in the • A compact model cannot be used without an effi- Appendix of a book by C. Mead [20]. Clearly it did not cient parameter extraction methodology. The EKV receive the recognition it actually deserved. model uses an original parameter extraction Several years later, different groups looked at this methodology presented in [46]-[49]. problem of inversion charge linearization. B. Iñiguez • An accurate thermal noise model accounting for and E.G. Moreno [21], [22] derived an approximate short-channel effects was developed by A. S. Roy explicit relation between inversion charges and sur- [50]-[52]. He also looked at the flicker noise model face potential which included a fitting parameter. and revisited some of the fundamental concepts While their first linearization was done at the source showing the equivalence of different noise model- [21], they later obtained a substrate referenced model ing approaches. More importantly, he rigorously [22] based on the original EKV MOSFET model analyzed the effects of a longitudinal nonuniform approach [17], that also included some short-channel doping in the channel and the dependence of the effects. A similar approach was also proposed by mobility on the longitudinal field. A.I.A. Cunha et al. [23] - [26] who obtained an inter- Most of these developments plus some other polate expression of the charges versus the potentials aspects were included in the book Eric and I recent- that used the basic EKV model definitions [17]. We ly wrote, giving a complete overview of the EKV MOS also adopted the inversion charge linearization transistor modeling approach [53]. But the EKV really approach, since it offers physical expressions for both became to be known because it could be used by cir- the transconductance-to-current ratio and the current cuit designers for the design of low-power circuits. that are valid from weak to strong inversion [27]-[30]. This was made possible thanks to the hard work of M. This gave rise to the charge-based formulation of the Bucher who coded the EKV model and carefully EKV model which was the basis of the compact implemented it in many circuit simulation tools. model that was then extended and coded by my Thanks to its single piece continuous formulation it Ph.D. student M. Bucher. The inversion charge lin- ran efficiently avoiding many convergence problems. earization principle was rediscovered once more in The EKV model version 2.6, implemented by M. 2001 by H.K. Gummel and K. Singhal [31], [32]. Final- Bucher was used by designers for many years and is ly, a formal detailed analysis of the inversion charge still used today. linearization process and a rigorous derivation of the A few years ago, it was recognized that threshold- EKV model was finally published by J-M. Sallese et al. based models (such as BSIM 3) were showing their in [33]. Note that this approach actually provides volt- limits when moving towards deep-submicron tech- ages versus currents expressions that cannot be nologies and new generation models were needed explicitly inverted. It can nevertheless be easily invert- [54]. An open call was launched in 2005 by the Com- ed by using a straightforward Newton-Raphson tech- pact Modeling Council (CMC)2 for the next generation nique or by an appropriate approximation. Both these models and version 3.0 of the EKV model that includ- techniques have been used in the final model imple- ed many of the recent developments [56] was one of mentation. the challengers. From the more than 20 models that were initially submitted, only 5 were selected after the C. The Compact Model Stage first round, and EKV 3.0 was one of them. The CMC The basic long-channel charge-based EKV model was finally chose the PSP model to be the new industry further developed by my Ph.D. students and by mem- standard for deep-submicron processes [57]. The PSP bers of the team of researchers to include the follow- model started as the merge of two surface potential ing additional effects: models: SP (developed at Pennsylvania State Univer- • Non-uniform doping in the vertical direction was sity by the team of G. Gildenblat) and MM11 (devel- proposed by C. Lallement et al. in [34], [35]. oped by Philips Research) and continued as a joint development. 2 The Compact Modeling Council is an organization made of industrial and More recently, the research of the EKV team at academic members promoting standardization in the use and implementa- EPFL has been more oriented towards the modeling tion of compact models [55].

Summer 2008 IEEE SSCS NEWS 25 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 26

TECHNICAL LITERATURE

of multi-gate MOS devices and more particularly on double-gate devices [58], [59].

II. THE EKV DESIGN METHODOLOGY One of the main features of the EKV model compared to others is the small number of parameters that it requires. This obviously simplifies the model and its implementation, but also the parameter extraction. Another feature, more important to my eyes, is its hierarchical structure: indeed the complete compact model can easily be reduced to the simple hand cal- culation model by setting some parameters to specif- ic values. This allows for a step-by-step approach in the design of new circuits, starting with the simplest Fig. 1. The Analog Designer tool illustrating the basics of model coherent with the model used for hand calcu- the design methodology based on the inversion coeffi- lation and progressively adding effects in order to cient [61]. evaluate their impact on the circuit performance. Actually, the EKV MOS transistor model was initially factor to include important effects such as velocity sat- not developed with the aim of having a compact uration and mobility reduction due to the vertical model for circuit simulation, but rather for having a field, allowing for a more accurate design for short- simple hand calculation model that can help design- channel devices at high inversion factors. Drain ing and sizing circuits that are biased in all modes of induced barrier lowering (DIBL) is also included in operation including strong and weak inversion but the calculation of the voltage gain, since it often dom- also the region in between called the moderate inver- inates the channel length modulation (CLM) effect at sion. The available models at that time were simplis- short transistor length and low inversion factors. D. M. tic square-law models that were often inaccurate in Binkley recently published a book on his work mak- strong inversion and totally wrong in moderate and ing it the first true reference on the original EKV weak inversion. The EKV MOS transistor model intro- design methodology and the many extensions he duced the powerful concept of inversion factor (also developed [60]. called inversion coefficient IC) as the main transistor design parameter that is more general and replaces III. LOW-POWER CIRCUIT DESIGN the long-time used overdrive voltage, distinctive of The EKV MOS transistor model and the related design strong inversion models, but meaningless in weak methodology has been taught for many years and has inversion. For more than 25 years, E. Vittoz and I have been used by many designers for the design of low- been teaching analog circuit design based on the use power circuits. Many circuits that were developped by of this concept of inversion factor. This approach E. Vittoz, F. Krummenacher, our Ph.D. students and allows for expressing all the important parameters of myself, have in one way or another used and a single transistor biased in saturation, such as small- improved the methodology. signal parameters, including transconductances and I started to use the EKV design methodology imme- capacitances, noise parameters, versus a single diately after my Ph.D., when, together with F. Krum- parameter: the inversion factor. Sweeping the inver- menacher (the “K” of EKV) we founded Smart Silicon sion factor allows exploring all the design space for a Systems in 1989. We were working together with Erik single transistor. In 1996, I created a first sizing tool Heijne and other teams at CERN developing several actually called Analog Designer and running on a CMOS strip and pixel detector front-end read-out Mac. The GUI is shown in the Fig. 1, below. It chips3 [62]-[65]. After I joined the Electronics Labs at allowed calculating all the important design parame- EPFL in 1992, apart from the modeling work men- ters from the inversion factor, corresponding to the tioned above, my other Ph.D. students were working top axes in the figure. Then all the other parameters on low-power and low-voltage CMOS design and were updated simultaneously when sweeping the first were intensively using the EKV design methodology. vertical bar. The designer, hence, could then optimize With the purpose of having ever decreasing supply the operating point most appropriate for the particu- voltages, the concept of log-domain circuits was first lar task of the single transistor to be sized. investigated. The log-domain approach uses instanta- EKV design methodology was extended by D. M. neous companding where the currents, having basi- Binkley beyond what was initially created, looking at cally an unlimited dynamic range (DR), are com- all the different design cases that may be faced. For 3 For more details on the history of CMOS read-out chip design for particle example, he extended the definition of the inversion physics experiment, please read the article by E. Heijne in this issue.

26 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 27

TECHNICAL LITERATURE

pressed logarithmically when transformed into volt- a ages and expanded exponentially when converted back to currents. The voltage swings are hence strongly reduced making them almost independent of the supply voltage, which can be reduced to the min- imum required for a proper operation of the circuit. This principle is illustrated by the simple integrator shown in Fig. 2(a), where the companded voltage across the linear capacitor C is expanded when trans- b formed to the output current iout using the nonlinear function f(v), which for log-domain circuit is simply an exponential function. It can be shown that, in order to have a linear

transfer function from the input current iin to the out- put current iout, the current on the capacitor C has to be inversely proportional to the derivative of the expanding function f(v). This can obviously easily be implemented using the exponential I-V characteristic of bipolar transistor since the derivative remains an exponential function which can be matched to the output expander. It leads to the simple translinear integrator shown in Fig. 2(b). The principle was suc- cessfully implemented in several BiCMOS filters by G. van Ruymbeke and M. Punzenberger [66]-[69]. The c basic integrator used in [68] is presented in Fig. 2(c). The same concept can also use the exponential char- acteristic of the MOS transistor in weak inversion, which was explored by D. Python in his Ph.D. thesis [70]. The basic CMOS log-domain integrator used in [70] is shown in Fig. 2(d). Other innovative circuits taking advantage of the MOS transistor operating in weak inversion were developed by R. Fied for the purpose of low-power d analog signal processing. The goal was to emulate the behavior of large electric power distribution networks in order to determine their stability [71]. The main advantages of using an analog VLSI circuit are the much shorter computation time and lower complexi- ty compared to the classical simulation methods based on numerical calculations [71]. The limited accuracy achieved by the analog simulation can be circumvented by using the solution found by the cir- cuit as initial conditions for the numerical simulation, greatly speeding up the convergence. All the circuits mentioned above were operating at a rather low frequency. Following the exploration done by other research groups in the US and in Fig. 2. Log-domain integrators: a) principle of instanta- Europe in the 90’s to investigate the potential of neous companding integrator b) implementation principle CMOS for RF, we also started to work on RF CMOS in bipolar [69], c) implementation principle in BiCMOS design but focused on low-power and low-voltage [68], d) implementation principle in CMOS (weak inver- circuits for low data rate and short distance wireless sion) [70]. communication targeting applications such as wireless sensor networks. The goal was to study the feasibili- was running at 1-V, despite the 0.75-V threshold volt- ty of designing a complete RF CMOS transceiver oper- ages of the 0.5 μm process, and consumed around 1- ating in the ISM 433 MHz band and running at 1-mA mA in receive mode, demonstrating the feasibility of from a 1-V supply voltage. A.-S. Porret, T. Melly and implementing low-power radio in CMOS [72], [73]. It D. Python designed such a complete transceiver. It also showed that the EKV design methodology could

Summer 2008 IEEE SSCS NEWS 27 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 28

TECHNICAL LITERATURE

tary MOS Transistors in Low-Voltage Circuits,” IEEE Journal of be extended to the design of low-power and low- Solid-State Circuits, vol. 7, no. 2, pp. 146-153, April 1972. voltage RF-CMOS circuits. This work was then contin- [3] R. R. Troutman and S. T. Chakravarti, “Subthreshold Character- istics of Insulated-Gate Field-Effect Transistors,” IEEE Trans. ued at the CSEM, migrating the original design to a Circ. Theory, vol. 20, no. 6, pp. 659-665, Nov. 1973. 0.18 μm standard digital process and including all the [4] T. Masuhara, J. Etoh, and M. Nagata, “A Precise MOSFET Model functions required by a wireless sensor node (sensor for Low-Voltage Circuits,” IEEE Trans. Electron Devices, vol. 21, interface, ADC, μC, embedded low-leakage SRAM, no. 6, pp. 363-371, June 1974. power management, etc) on a complex system-on- [5] E. Vittoz and J. Fellrath, “New Analog CMOS ICís Based on Weak Inversion Operation,” in European Solid-State Circ. Conf. chip (SoC) [74]. This set the basis for new RF-CMOS Dig. of Tech. Papers, Toulouse, Sept. 1976, pp. 12-13. activity at CSEM that started in 2001 and focuses on [6] ___, “CMOS Analog Integrated Circuits Based on Weak Inver- the design of ultra low-power radios for wireless sen- sion Operation,” IEEE Journal of Solid-State Circuits, vol. 12, sor networks [75]. This constitutes a very good exam- no. 3, pp. 224-231, June 1977. ple illustrating the technology transfer mission of [7] J. Fellrath and E. Vittoz, “Small Signal Model of MOS Transistors in Weak Inversion,” in Proc. d’Electronique ‘77, EPF-Lausanne, CSEM: Ideas are first explored in the academic envi- 1977, pp. 315-324. ronment (for example at EPFL) and if successful they [8] P. G. A. Jespers, C. Jusseret, and Y. Leduc, “A Fast Sample and are transferred to CSEM for further improvement and Hold Charge-Sensing Circuit for Photodiode Arrays,” IEEE Jour- consolidation before being proposed to industry as a nal of Solid-State Circuits, vol. 12, no. 3, pp. 232-237, June 1977. technology platform that can be customized and [9] H. Wallinga and K. Bult, “Design and Analysis of CMOS Analog Processing Circuits by Means of a Graphical MOST Model,” industrialized for a particular application. More recent- IEEE Journal of Solid-State Circuits, vol. 24, no. 3, pp. 672-680, ly, this activity has been combined at CSEM with the June 1989. development high-Q resonators such as bulk acoustic [10] J.-D. Châtelain, Dispositifs à Semiconducteur, 2nd ed., ser. wave (BAW) resonators and temperature-compensated Traite d’Electricite. Editions Georgi, 1979, vol. VII. low-frequency MEMS resonators for the implementa- [11] J. J. Ebers and J. L. Moll, “Large-Signal Behavior of Junction Transistors,” Proc. IRE, vol. 42, no. 12, pp. 1761-1772, Dec. tion of an ultra low-power MEMS-based radio [76]-[79]. 1954. [12] H. Oguey and S. Cserveny, “Modèle du transistor MOS valable IV. CONCLUSION dans un grand domaine de courants,” Bulletin ASE, vol. 73, no. From its beginning, the EKV MOS transistor model real- 3, pp. 113-116, 1982, in French. ly enabled the design and optimization of new low- [13] ___, “MOS Modelling at Low Current Density,” ESAT, Summer Course on Process and Device Modeling, June 1983. power and low-voltage analog and RF circuits where [14] E. Vittoz, “Micropower Techniques,” in Design of MOS VLSI Cir- most transistors were operating in the weak and mod- cuits for Telecommunications, Y. Tsividis and P. Antognetti, erate inversion regions. Together with the development Eds. Prentice-Hall, 1985. of the EKV compact model, a design methodology for [15] ___, “Micropower Techniques,” in Design of Analog-Digital low-power circuits based on the inversion factor was VLSI Circuits for Telecommunications and Signal Processing, J. Franca and Y. Tsividis, Eds. Prentice-Hall, 1994. formulated. This powerful concept allows the optimum [16] EPFL Summer Course on Low-power Design, ”MOS Transistor,” operating point to be chosen and the transistor to be EPFL, yearly since 1988. sized accordingly. The availability of a MOS transistor [17] C. C. Enz, F. Krummenacher, and E. A. Vittoz, “An Analytical model and the related design methodology that is valid MOS Transistor Model Valid in All Regions of Operation and in all modes of operation becomes even more crucial Dedicated to Low-Voltage and Low-Current Applications,” Spe- cial Issue of the Analog Integrated Circuits and Signal Process- today. Indeed, with the aggressive downscaling of ing Journal on Low-Voltage and Low-Power Design, vol. 8, pp. CMOS technologies, the operating points of analog and 83-114, July 1995. even RF circuits transistors are more and more shifted [18] M. Bagheri and C.Turchetti, “The Need for an Explicit Model from the traditional strong inversion region towards the Describing MOS Transistors in Moderate Inversion.” El. Letters, moderate and eventually the weak inversion regions. vol. 21, no. 19, pp. 873-874, 1985. [19] M. A. Maher and C. A. Mead, “A Physical Charge-Conrolled Model for the MOS Transistors,” in Advanced Research in VLSI, Proc. of the 1987 Stanford Conference, P. Losleben, Ed. Cam- ACKNOWLEDGMENT bridge, MA: MIT Press, 1987. First I would like to thank Prof. Eric Vittoz who taught [20] M. A. Maher and C. Mead, “Fine Points of Transistor Physics,” me the art and science of low-power CMOS analog IC in Analog VLSI and Neural Systems. Addison-Wesley,1989. [21] B. Iñiguez and E. G. Moreno, “A Physically Based C∞-Contin- design based on a deep understanding of the operation uous Model for Small-Geometry ,” IEEE Trans. Elec- and correct modeling of the MOS transistor. I would tron Devices, vol. 42, no. 2, p. 283-287, Feb. 1995. also like to thank all my Ph.D. students who pushed [22] ___, “C∞-Continuous Small-Geometry MOSFET Modeling for the limit of low-power IC design always a bit further. Analog Applications,” in Proc. 38th Midwest Symp. on Circ. and Syst., Aug. 1995, pp. 41-44. [23] A. I. A. Cunha, S. M. Acosta, M. C. Schneider, and C. Galup- Montoro, “An Explicit MOSEFT Model for Analog Circuit Simu- REFERENCES lation,” in Proc. IEEE Int. Symp. Circuits Syst., May 1995, pp. [1] M. B. Barron, “Low Level Currents in Insulated Gate Field Effect 1592-1595. Transistors,” Solid-State Electronics, vol. 15, pp. 293-302, 1972. [24] A. I. A. Cunha, M. C. Schneider, and C. Galup-Montoro, “An [2] R. M. Swanson and J. D. Meindl, “Ion-Implanted Complemen-

28 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 29

TECHNICAL LITERATURE

Explicit Physical Model for Long-Channel MOS Transistor Gallium Arsenide and other Semiconductor Application Sympo- Including Small-Signal Parameters,” Solid-State Electronics, vol. sium (GAAS 2000), Oct. 2000, pp. 536-539. 38, no. 11, pp. 1945-1952, 1995. [44] ___, “An MOS Transistor Model for RF IC Design Valid in All [25] A. I. A. Cunha, O. C. Gouveia-Filho, M. C. Schneider, and C. Regions of Operation,” IEEE Trans. Microwave Theory Tech., Galup-Montoro, “A Current-Based Model for the MOS Transis- vol. 50, no. 1, pp. 342-359, Jan. 2002. tor,” in Proc. IEEE Int. Symp. Circuits Syst., June 1995, pp. 1608- [45] F. Pregaldiny, C. Lallement, and D. Mathiot, “A Simple Efficient 1611. Model of Parasitic Capacitances of Deep-Submicron LDD MOS- [26] A. I. A. Cunha, M. C. Schneider, and C. Galup-Montoro, “An FETs,” Solid-State Electronics, vol. 46, no. 12, pp. 2191-2198, MOS Transistor Model for Analog Circuit Design,” IEEE Journal Dec. 2002. of Solid-State Circuits, vol. 33, no. 10, pp. 1510-1519, Oct. 1998. [46] G. Machado, C. C. Enz, and M. Bucher, “Estimating Key Para- [27] M. Bucher, C. Lallement, C. Enz, F. Theodoloz, and F. Krum- meters in the EKV MOST Model for Analogue Design and Sim- menacher, “Scalable Gm/I Based MOSFET Model,” in Proc. of ulation,” in Proc. IEEE Int. Symp. Circuits Syst., May 1995, pp. the Int. Semiconductor Device Research Symp., Charlottesville, 1588-1591. pp. 615-618, Dec. 1997. [47] M. Bucher, C. Lallement, and C. C. Enz, “An Efficient Parame- [28] M. Bucher, J.-M. Sallese, C. Lallement, W. Grabinski, C. C. Enz, ter Extraction Methodology for the EKV MOST Model,” in Proc. and F. Krummenacher, “Extended Charges Modeling for Deep IEEE Int. Conf. on Microelectronic Test Structures, March 1996, Submicron CMOS,” in Proc. of the Int. Semiconductor Device pp. 145-150. Research Symp., Charlottesville, Dec. 1999, pp. 397-400. [48] W. Grabinski, “EKV v2.6 Parameter Extraction Tutorial,” in [29] M. Bucher, “Analytical MOS Transistor Modelling for Analog ICCAP Users’ Web Conference, Dec. 2001. Circuit Simulation,” Ph.D. Thesis, Swiss Federal Institute of [49] ___, “EKV v2.6 Parameter Extraction Tutorial,” in ICCAP Users’ Technology, Date 1999, thesis No. 2114. Conference, Berlin, 2002. [30] J.-M. Sallese and A.-S. Porret, “A Novel Approach to Charge [50] A. S. Roy and C. C. Enz, “Compact Modeling of Thermal Noise Based Non Quasi Static Model of the MOS Transistor Valid in in the MOS Transistor,” in Proc. of the 11th Int. Conf. on Mixed all Modes of Operation,” Solid-State Electronics, vol. 44, no. 6, Design of Integrated Circuits and Systems (MIXDES), Szczecin, pp. 887-894, June 2000. Poland, June 2004, pp. 71-78. [31] H. K. Gummel and K. Singhal, “Inversion charge modeling,” [51] ___, “Compact Modeling of Thermal Noise in the MOS Transis- IEEE Trans. Electron Devices, vol. 48, no. 8, pp. 1585-1593, tor,” IEEE Trans. Electron Devices, vol. 52, no. 4, pp. 611-614, Aug. 2001. April 2005. [32] ___, “Intrinsic MOSFET Capacitance Coefficients,” IEEE Trans. [52] ___, “An Analytical Thermal Noise Model of the MOS Transis- Electron Devices, vol. 48, no. 10, pp. 2384-2393, Oct. 2001. tor Valid in All Modes of Operation,” in Int. Conf. on Noise and [33] J.-M. Sallese, M. Bucher, F. Krummenacher, and P. Fazan, Fluctuations, pp. 741-744, Sept. 2005. “Inversion Charge Linearization in MOSFET Modeling and [53] C. C. Enz and E. A. Vittoz, Charge-Based MOS Transistor Mod- Rigourous Derivation of the EKV Compact Model,” Solid-State eling The EKV Model for Low-Power and RF IC Design, 1st ed. Electronics, vol. 47, pp. 677- 683, 2003. John Wiley, 2006. [34] C. Lallement, M. Bucher, and C. C. Enz, “Simple Solution for [54] J. Watts, C. M. Andrew, C. Enz, C. Galup-Montoro, G. Gilden- Modeling the Non-Uniform Substrate Doping,” in Proc. IEEE blat, C. Hu, R. v. Langevelde, M. Miura-Mattausch, R. Rios, and Int. Symp. Circuits Syst., May 1996, pp. 436-439. C.-T. Sah, “Advanced Compact Models for MOSFETs,” in NSTI [35] ___, “Modelling and Characterization of Non-Uniform Substrate Nanotech -Workshop on Compact Modeling (WCM 2005), Ana- Doping,” Solid-State Electronics, vol. 41, no. 12, pp. 1857-1861, heim, May 2005, pp. 3-12. Dec. 1997. [55] www.geia.org/index.asp?bid=597. [36] A.-S. Porret, J.-M. Sallese, and C. C. Enz, “A Compact Non- [56] M. Bucher, C. C. Enz, F. Krummenacher, J.-M. Sallese, C. Lalle- Quasi-Static Extension of a Charge-Based MOS Model,” IEEE ment, and A.-S. Porret, “The EKV 3.0 Compact MOS Transistor Trans. Electron Devices, vol. 48, no. 8, pp. 1647-1654, Aug. Model: Accounting for Deep-Submicron Aspects,” in Workshop 2001. on Compact Modeling at the International Conference on Mod- [37] J.-M. Sallese, M. Bucher, and C. Lallement, “Improved Analyti- eling and Simulation of Microsystems, Puerto Rico, April 2002, cal Modeling of Polysilicon Depletion in MOSFETs for Circuit pp. 670-673. Simulation,” Solid-State Electronics, vol. 44, no. 6, pp. 905-912, [57] G. Gildenblat, W. W. X. Li, H. Wang, A. Jha, R. v. Langevelde, June 2000. G. D. J. Smit, A. J. Scholten, and D. B. M. Klaassen, “PSP: An [38] M. Bucher, J.-M. Sallese, and C. Lallement, “Accounting for Advanced Surface-Potential-Based MOSFET Model for Circuit Quantum Effects and Polysilicon Depletion in an Analytical Simulation,” IEEE Trans. Electron Devices, vol. 53, no. 9, pp. Design-Oriented MOSFET Model,” in Simulation of Semicon- 1979-1993, Sept. 2006. ductor Processes and Devices, C. T. D. Tsoukalas, Ed. Springer, [58] J.-M. Sallese, F. Krummenacher, F. Prégaldiny, C. Lallement, A. 2001, pp. 296-299. S. Roy, and C. Enz, “A Design Oriented Charge-Based Current [39] C. Lallement, J.-M. Sallese, M. Bucher, W. Grabinski, and P. C. Model for Symmetric DG MOSFET and its Correlation with the Fazan, “Accounting for Quantum Effects and Polysilicon Deple- EKV Formalism,” Solid-State Electronics, vol. 49, no. 3, pp. 485- tion From Weak to Strong Inversion in a Charge-Based Design- 489, March 2005. Oriented MOSFET Model,” IEEE Trans. Electron Devices, vol. [59] A. S. Roy, J.-M. Sallese, and C. Enz, “A Closed-Form Charge- 50, no. 2, pp. 406-417, Feb. 2003. Based Expression for Drain Current in Symmetric and Asym- [40] C. Enz and Y. Cheng, “MOS Transistor Modeling Issues for RF metric Double Gate MOSFET,” in European Solid-State Dev. Res. Circuit Design,” in Advances in Analog Circuit Design Conf. Dig. of Tech. Papers, Grenoble, pp. 149-152, Sept. 2005. (AACD99), W. Sansen, J. Huijsing, and R. v. d. Plassche, Eds. [60] D. M. Binkley, Tradeoffs and Optimization in Analog CMOS Kluwer Book, 1999. Design, John Wiley and Sons, 2008. [41] ___, “MOS Transistor Modeling for RF IC Design,” IEEE Journal [61] C. C. Enz and E. A. Vittoz, “CMOS Low-power Analog Circuit of Solid-State Circuits, vol. 35, no. 2, pp. 186-201, Feb. 2000. Design,” in Emerging Technologies, Tutorial for 1996 Interna- [42] C. Enz, “MOS Transistor Modeling for RF Integrated Circuit tional Symposium on Circuits and Systems, R.Cavin and W.Liu, Design,” in Proc. IEEE Custom Integrated Circuits Conf., May Eds. Piscataway: IEEE Service Center, 1996, pp. 79-133. 2000, pp. 189-196. [62] C. C. Enz and F. Krummenacher, “An Experimental 10 MHz [43] ___, “MOS Transistor Modeling for RF IC Design,” in Proc.of the Low-Power CMOS Analog Front-End for Pixel Detectors,”

Summer 2008 IEEE SSCS NEWS 29 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 30

TECHNICAL LITERATURE

Nuclear Instruments and Methods in Physics Research, vol. Tortori, A. Vouilloz, and P. Volet, “A 2.4GHz MEMS-based A288, pp. 176-179, 1990. Transceiver,” in Int. Solid-State Circ. Conf. Dig. of Tech. Papers, [63] F. Krummenacher, C. C. Enz, and R. Bellazzini, “A Multi-Chan- pp. 158-167, Feb. 2008. nel Integrated Circuit for the Read-out of the Microstrip Gas Chamber,” Nuclear Instruments and Methods in Physics Research, vol. A313, pp. 483-491, 1992. About the Author [64] C. C. Enz, F. Krummenacher, and R. Bellazzini, “A 16 Channel Christian C. Enz (M’84) received the CMOS High Gain and Fast Shaping Charge Amplifier for Multi- M.S. and Ph.D. degrees in electrical electrode Detectors Read-out,” in IEEE Nuclear Science Sym- engineering from the Swiss Federal posium Dig. of Tech. Papers, pp. 820-824, Nov. 1993. [65] ___, “MICA: a Multichannel Integrated Charge Amplifier for the Institute of Technology, Lausanne Readout of Multielectrode Detectors,” Nuclear Instruments and (EPFL) in 1984 and 1989 respectively. Methods in Physics Research, vol. A332, pp. 543-553, 1993. From 1984 to 1989 he was research [66] G. v. Ruymbeke, C. C. Enz, F. Krummenacher, and M. Decler- assistant at the EPFL, working in the cq, “A BiCMOS Programmable Continuous-Time Filter Using field of micropower analog CMOS integrated circuits Image-Parameter Method Synthesis and Voltage-Companding Technique,” IEEE Journal of Solid-State Circuits, vol. 32, no. 3, (IC) design. In 1989 he was one of the founders of pp. 377-387, March 1997. Smart Silicon Systems S.A. (S3), where he developed [67] M. Punzenberger and C. C. Enz, “A 1.2 V Low-power BiCMOS several low-noise and low-power ICs, mainly for high Class AB Log-Domain Filters,” IEEE Journal of Solid-State Cir- energy physics applications. From 1992 to 1997, he cuits, vol. 32, no. 12, pp. 1968-1978, Dec. 1997. was an Assistant Professor at EPFL, working in the [68] M. Punzenberger and C. Enz, “A Compact Low-Power BiCMOS Log-Domain Filter,” IEEE Journal of Solid-State Circuits, vol. 33, field of low-power analog CMOS and BiCMOS IC no. 7, pp. 1123-1129, July 1998. design and device modeling. From 1997 to 1999, he [69] C. Enz and M. Punzenberger, “1-V Log-Domain Filters,” in was Principal Senior Engineer at Conexant (former- Advances in Analog Circuit Design (AACD98), W. Sansen, J. ly Rockwell Semiconductor Systems), Newport Huijsing, and R. v. d. Plassche, Eds. Kluwer Book, 1998. Beach, CA, where he was responsible for the mod- [70] D. Python and C. Enz, “A Micropower Class-AB CMOS Log- Domain Filter for DECT Applications,” IEEE Journal of Solid- eling and characterization of MOS transistors for the State Circuits, vol. 36, no. 7, pp. 1067-1075, July 2001. design of RF CMOS circuits. In 1999, he joined the [71] R. Fried, R. S. Cherkaoui, C. C. Enz, A. Germond, and E. A. Vit- Swiss Center for Electronics and Microtechnology toz, “Approaches for Analog VLSI Simulation of the Transient (CSEM) where he launched and lead the RF and Stability of Large Power Networks,” IEEE Trans. Circuits Syst. I, Analog IC design group. In 2000, he was promoted vol. 46, no. 10, pp. 1249-1263, Oct. 1999. [72] A.-S. Porret, T. Melly, D. Python, C. C. Enz, and E. A. Vittoz, Vice President, heading the Microelectronics “An Ultralow-Power UHF Transceiver Integrated in a Standard Department. Digital CMOS Process: Architecture and Receiver,” IEEE Journal He is also lecturing and supervising undergraduate of Solid-State Circuits, vol. 36, no. 3, pp. 452-466, March 2001. and graduate students in the field of analog and RF [73] T. Melly, A.-S. Porret, C. C. Enz, and E. A. Vittoz, “An Ultralow- IC design at EPFL, where he is Professor since 1999. Power UHF Transceiver Integrated in a Standard Digital CMOS Process: Transmitter,” IEEE Journal of Solid-State Circuits, vol. His technical interests and expertise are in the field 36, no. 3, pp. 467-472, March 2001. of very low-power analog and RF IC design and [74] V. Peiris, C. Arm, S. Bories, S. Cserveny, F. Giroud, P. Graber, semiconductor device modeling, with a particular S. Gyger, E. L. Roux, T. Melly, M. Moser, O. Nys, F. Pengg, P.- focus on noise. He is the author and co-author of D. Pfister, N. Raemy, A. Ribordy, P.-F. Ruedi, D. Ruffieux, L. more than 140 scientific papers and has contributed Sumanen, S. Todeschini, and P. Volet, “A 1V 433/868MHz 25kb/s-FSK 2kb/s- OOK RF Transceiver SoC in Standard Digi- to numerous conference presentations and advanced tal 0.18μm CMOS,” in Int. Solid-State Circ. Conf. Dig. of Tech. engineering courses. Papers, Feb. 2005, pp. 258-259. Together with E. Vittoz and F. Krummenacher he is [75] C. C. Enz, A. El-Hoiydi, J.-D. Decotignie, T. Melly, and V. Peiris, one of the developer of the EKV MOS transistor “WiseNET: An Ultralow-Power Wireless Sensor Network Solu- model and the author of the book “Charge-Based tion,” IEEE Computer Magazine, vol. 37, no. 8, pp. 62-70, Aug. 2004. MOS Transistor Modeling - The EKV Model for Low- [76] J. Chabloz, C. Muller, F. Pengg, A. Pezous, C. Enz, and M.-A. Power and RF IC Design” (Wiley, 2006). Dubois, “A Low-Power 2.4 GHz CMOS Receiver Front-End He is member of several technical program com- Using BAW Resonators,” in Int. Solid-State Circ. Conf. Dig. of mittees, including International Solid-State Circuits Tech. Papers, Feb. 2006, pp. 320-321. Conference (ISSCC) and European Solid-State Circuits [77] C. C. Enz, J. Baborowski, J. Chabloz, M. Kucera, C. Muller, D. Ruffieux, and N. Scolari, “Ultra Low-Power MEMS-based Radio Conference (ESSCIRC). He has served as a vice-chair for Wireless Sensor Networks,”in Proc. of the European Con- for the 2000 International Symposium on Low Power ference on Circuit Theory and Design (ECCTD), Sevilla, Spain, Electronics and Design (ISLPED), exhibit chair for the Aug. 2007, pp. 320-331. 2000 International Symposium on Circuits and Sys- [78] J. Chabloz, D. Ruffieux, A. Vouilloz, P. Tortori, F. Pengg, C. tems (ISCAS) and is chair of the technical program Muller, and C. Enz, “Frequency Synthesis for a Low-Power 2.4 GHz Receiver Using a BAW Oscillator and a Relaxation Oscil- committee for the 2006 European Solid-State Circuits lator,” in Proc. of the European Solid-State Circ. Conf. (ESS- Conference (ESSCIRC). CIRC), Munich, pp. 492-495, Sept. 2007. Since 2001, he has been the chair of the IEEE Solid- [79] D. Ruffieux, J. Chabloz, M. Contaldo, C. Muller, F.-X. Pengg, P. State Chapter of West Switzerland.

30 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 31

TECHNICAL LITERATURE Watch Microelectronics: Pioneer in Portable Consumer Electronics Mougahed Darwish, Marc Degrauwe, Thomas E. Gyger, Günther Meusburger at EM Microelectron- ic-Marin SA, Jean Claude Robert at ETA SA Manufacture horlogère suisse, Switzerland, [email protected] Abstract set and traditional approach of doing things, they The quartz watch as pioneering media for new tech- were unable to anticipate a coexistence of quartz and nologies is highlighted with examples both from the mechanical watches. By that time, Swiss watches rep- past and the present. Technical orientations and resented about 44% of the world market; fifteen years resulting areas of expertise for EM Microelectronic, later this share had dropped to 13% and was at the the semiconductor manufacturer of the Swatch Group edge of disappearing. have been shaped by constraints and requirements With the creation of the CEH, the Swiss watch-mak- genuine to the watch-making industry. The article ing industry did not prevent the quartz crisis leading describes typical "watch microelectronics" skills and to a general economic down-turn and low point solutions and how they diffused into other portable between the 1970's and 1980's, but it had laid the cor- consumer electronics applications. nerstone of its own redemption. Since then, major technical developments followed without interrup- Index Terms tion. Partnerships with academia were established Electronic watches, watch making, low power, low and research institutions constantly adapted to voltage, integrated circuits, microcontroller. respond to the watch-making industry's needs. Research efforts and results gave rise to numerous ini- I. Introduction tiatives, leading watch component manufacturing With the ‘renaissance’ of the mechanical watch, ‘com- companies to invest into microelectronic production plications’, ‘grand complications’ and spinning tour- infrastructures, which allowed them to expand into billons are again enjoying unequaled prestige. Skills, markets well beyond the sole electronic watch mar- handicraft and know-how of – especially Swiss – ket. Portable electronics today quite often uses tech- watchmakers still contribute to the emotional appeal nologies which can be ascribed to insights from the of modern mechanical timepieces. But computer-con- work of Prof. Eric Vittoz and his team at the CEH and trolled equipment, high-tech industrial manufacturing which those companies translated into products. and automated assembly techniques have also facili- tated the return of mechanical watch. Prior to 1970, B. Watchmakers Invest in Microelectronics Swiss time pieces made of small gears and high pre- In 1983, following the advice of Mr. N. G. Hayek, the cision springs set the world standard in timing. Confi- two largest Swiss watch-making groups (SSIH and dent in the tradition of their craft and dominant posi- ASUAG) merged into a single entity, the Société suisse tion on the worldwide watch market, Swiss watch- de microélectronique et d'horlogerie (SMH) better makers could hardly imagine that a paradigm shift in known today as The Swatch Group Ltd. In this con- the way to set the time base of watches would almost text, it is of course impossible to overlook the Swatch annihilate the entire industry. They could even less watch itself, which became instrumental for the imagine that micro and nanotechnologies would once revival of the Swiss watch industry through its novel open new perspectives also to the mechanical watch. approach of production and marketing techniques. Power consumption has always been the critical A. Creation of a Joint Research Lab issue for electronic watches. Therefore, Ebauches SA In 1962 already, in a premonitory effort to build up – which belonged to ASUAG – created a new unit and establish microelectronics know-how in Switzer- entirely dedicated to the development and production land, Swiss watchmakers founded the Centre élec- of CMOS integrated circuits for watches. Ebauches SA tronique horloger (CEH) in Neuchâtel, a joint research bought a CMOS technology license from Hughes Air- laboratory dedicated to microelectronics in horologi- craft Company Inc. and in 1975, the new unit deliv- cal applications. No later than 1967, engineers and ered its first Al-Gate CMOS circuit. researchers from the CEH came up with first quartz This production unit has since become a full- wrist watch prototypes working with an integrated fledged semiconductor company under the name of circuit. The step from research prototypes to industri- EM Microelectronic-Marin SA. As a member of the al products however, confronted the watchmakers Swatch Group Electronic Systems companies, the with unknown problems and challenges and the company today still is the first semiconductor industry leaders at first failed to recognize the rele- provider of the Swiss watch-making industry. Mean- vance of this new technology. Trapped by their mind- while, reaching out into other low power, low voltage

Summer 2008 IEEE SSCS NEWS 31 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 32

TECHNICAL LITERATURE

applications, EM's non-watch business share repre- devices than bipolar still used by Faselec, the Swiss sents more than 90% today. semiconductor manufacturer who produced watch It is probably not exaggerated to consider EM circuits by that time. Through its decision to start an Microelectronic and various other companies and independent CMOS production facility in Neuchâtel, research institutions dedicated to micro and nan- Ebauches SA created the necessary conditions for the otechnologies in the wider Neuchâtel area as an off- emergence of an industrial-scale low power, low volt- spring of the quartz crisis. Together they constitute an age expertise. industrial eco-system strongly rooted in and still serv- The two components that account for the power ing the watch-making industry, even if they have budget in an analog electronic watch are the electric clearly outgrown their native industrial environment. motor and the control electronics, or in other words, the integrated circuit. If the motor takes the lion's C. Industrial Cross-fertilization share of the consumed power (~80%), the integrated When writing about microelectronics and microcon- circuit couldn't be neglected and has been trimmed to trollers in watch applications here, we do it as a glob- the absolute minimum power consumption. Funny al semiconductor manufacturer with origins and situation sometimes arise when circuits based on strong ties in the watch-making industry. Our aim will watch-making know-how arrive into other industrial be to show how the watch – electronic and mechan- applications: a customer testing one of our oscillator ical alike – constitutes a permanent innovation factor circuits called and claimed that the circuit was work- for the broader micro and nano-technological indus- ing very well, but he couldn’t measure any current try and vice-versa. Watches have played a pioneer consumption. We then had to ask him to switch his role to bring a set of key technologies to industrial ampere-meter from the mA scale to the nA scale. maturity and to consumer awareness. There is a con- A simple watch circuit consists of an oscillator, a stant interaction and cross-fertilization between the divider chain and a motor driver. Since its creation, watch-making industry and the overall micro and EM continuously improved the oscillator block, which nano-technological industry (fig. 1). Ideas, technolo- accounts for the largest part of the circuit's current gies and solutions generated on one side bring about consumption. Figure 2 illustrates the evolution of the new ideas, technologies and solutions on the other current consumption in such a simple watch circuit side. Moreover, in the whole process, the very specif- from the company's beginning till today. ic skills and know-how genuine to the watch-making industry is permanently being refined, honed and optimized through the interaction itself.

Fig. 1: Industrial interaction diagram II. Areas of Expertise Specific to Watch-Making EM Microelectronic has been founded originally to Fig. 2: Evolution of watch circuit current consumption design and produce integrated circuits for the watch industry. Many of its technical orientations and result- B. Voltages Well Below 1.0V ing areas of expertise have therefore been pre-deter- Low-voltage is another area of expertise. Electronic mined by constraints and requirements genuine to the watches are traditionally battery operated devices; watch-making industry. even if today alternatives based on various energy harvesting techniques (kinetic or solar energy) do A. Early Low-Power Efforts exist, the majority of electronic watches is still battery- Very low power consumption is certainly the first and operated. Small size silver-oxide primary batteries foremost area of expertise a semiconductor manufac- such as produced by Renata AG – a Swiss battery turer serving the watch industry had to develop. In manufacturer – provide a lifetime of more than three the early 1970’s it appeared that CMOS technology years to most analog quartz watch movements. Their would be a more promising path to low-power form factor and size allow for the smallest and

32 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 33

TECHNICAL LITERATURE

thinnest watch caliber sizes. Typical voltage of this licensing such technology to semiconductor giant battery technology is 1.5V, but watch IC's are speci- Intel in 1993. fied to guaranty stable oscillator and microcontroller Especially in the area of assembly and packaging operations down to a supply voltage of 1.1V. By techniques, solutions were often the result of a col- today's standards, this is not an outstanding perform- laborative effort between several electronic systems ance anymore, but EM Microelectronic had reached companies within the Swatch Group. The field- this voltage level already in 1975 for watch ASICs and proven System-in-Package solution to produce Real- in 1992 for watch microcontrollers. This low-voltage Time Clocks for the cell phone industry has been know-how was essential to help the company to fur- applied to watch applications, demonstrating once ther push back the limits. Today's low-power, low- more the technological cross-fertilization of compa- voltage microcontrollers (e.g., produced for health nies working simultaneously for the watch industry care and body-care electronic devices) are able to and the electronics industry in general. EM Microelec- operate down to 0.85V. Low-voltage know-how tronic and sister company Micro Crystal – Swiss pro- proved also to be critical for the development of the ducer of quartz crystals – have collaborated with ETA first RFID circuits in 1989. SA Manufacture horlogère Suisse – one of the world’s In 2002, EM Microelectronic pushed the low-volt- largest manufacturers of watches and movements - to age limits even further down with the development of develop and integrate a crystal oscillator and a watch a fully depleted Silicon-on-Insulator (FD SoI) 1µm IC into a single smallest possible SMD ceramic pack- process allowing operating voltages below 0.5V. Pro- age, thus reducing the electronics of the watch to just totype watches built with these circuits highlighted one component. The component is factory pre-cali- new limits that may be achieved with appropriate brated and programmable by the watchmaker, design techniques. depending on its final use.

C. Mixed-mode Circuits E. Non-Volatile Memory Watch integrated circuits are used to control devices, Early activities on non-volatile memory at EM Micro- such as motors and they often process the input from electronic reaches back to 1979 with development of devices or sensors they are controlling. To interface the world's first completely TTL-compatible, single 5V those devices, the circuit (simple ASIC and microcon- supply, nonvolatile RAM utilizing a three-layer poly- troller alike) needs analog to digital converting capa- silicon process and a low-current floating-gate tunnel- bility, meaning that every watch circuit is also a ing approach [1]. Memory development has later been mixed-mode analog and digital circuit. Mixed-mode refocused to technologies allowing lower voltages. semiconductor processes usually do not reach the The introduction of the revolutionary Swatch man- ultimate feature size used to produce purely digital ufacturing process in 1983 also brought unforeseen devices. However, they require an accurate control of challenges. The Swatch assembly model had been passive components’ (i.e., resistors, capacitors, inspired by the “Delirium Tremens” concept devel- diodes) design parameters, as well as over the char- oped by engineers at Ebauches SA in 1979 and allow- acteristics of parasitic bipolar transistors. ing one year later to achieve a gold watch less than 2 mm thick. They had used the back of the case as the D. Miniaturization, a Collaborative Effort bottom plate for the movement. Engineers at sister- Because of the confinement level of the different company ETA took over this idea for the Swatch plas- watch parts, miniaturization is another crucial area of tic watch. Synthetic materials were chosen for the expertise in watch-making. Feature size on the IC watch cases as well as a new ultra-sonic welding itself is however not the primary issue here because process to seal the case. even for the more complex watches, relative IC com- In horology, precision of a timepiece has to do plexity remains limited. The challenge lies more in the with frequency stability of a time piece independent- assembly and packaging technologies. Very thin ly of environmental conditions (temperature, position watches might require wafer thinning techniques, of the watch, etc). Accuracy has to do with having the leading EM Microelectronic to develop a back-lapping frequency set to the proper value. Before regulating a capability to produce ICs down to 100-150µm in pro- watch, it must be adjusted for sufficient precision that duction volumes. Such capability is again an advan- allows it to be regulated to the desired accuracy. tage for the production of chips delivered for very Mechanical watches are regulated by tuning the bal- thin RFID labels. ance wheel, hair spring and escapement. In a quartz Space saving connection technologies, such as flip watch, precision is intrinsic to the technology of the chip assembly, have also been developed. Although tuning fork crystal. To achieve the desired accuracy in bumping techniques were known from the labs, EM first quartz watch models, the quartz crystals needed Microelectronic was one of the first companies to be matched with the proper capacitor in order to worldwide to industrialize bumping processes, even reach an operating frequency of exactly 32.768kHz.

Summer 2008 IEEE SSCS NEWS 33 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 34

TECHNICAL LITERATURE

With the Swatch assembly concept, engineers Functional diversity however remains a must to observed that the ultra-sound welding process meet diversity in customers' preferences, a point detuned the crystal, but then, the watch was already which is not easy to conciliate with cost optimization sealed and its parts couldn't be directly accessed any- requirements of high volume industrial watch pro- more. The only way left to access the electronic cir- duction. Watch components (i.e., also semiconductor cuitry of the watch after the assembly process was components) should therefore be as generic through the battery contact plates. EM's engineers (reusable) as possible, while remaining as cost-effec- decided to replace the capacitor matching or trim- tive as possible: there is always a compromise or bal- ming principles with a digital tuning of the frequency ance to be found. Reusability across different models divider chain by means of non-volatile memory. For limits development costs and increases flexibility in this purpose, EM Microelectronic developed its own an environment characterized by a high innovation EEPROM cells accessible over a dedicated 2-wire pro- pace in functionality and design. Cost effectiveness in gramming protocol and they delivered the first non- semiconductor is essentially limiting chip size and volatile memory based CMOS watch ICs in 1984 to using cost-effective production process. The quest for ETA. IC design engineers, supported by the process the right optimum especially affects watch microcon- team designed the EEPROM cells which could be real- trollers used in more complex or functionally rich ized with only one additional mask in EM Microelec- models. In theory, it would be ideal to have one tronic’s HCMOS process. The availability of this very generic microcontroller chip applicable to every economic memory technology gave the company a watch model; in reality however watchmakers are significant advantage in a number of non-watch working with microcontroller families built around a applications. It was essential already in early RFID few dedicated microprocessor cores. products and especially also in a number of sensor A microcontroller is generally considered as a dig- interface circuits, which always needed trimming or ital device. A watch microcontroller however is a calibration functions. Compared to other external mixed-mode device with a digital microprocessor processes, EM Microelectronic has since been able to core, memories and a set of mainly analog interfaces. keep the number of additional masks for memory The most common interfaces are motor drivers with (EEPROM or Flash) in its own semiconductor process- adaptive control; there are up to 6 motor drivers on es at the lowest levels. microcontrollers for purely analog chronograph watches. Other interfaces include LCD drivers for dig- F. Resilience ital displays, LED drivers for backlight, sensor inter- Finally, robustness is also an issue in electronic faces (touch, shock, acceleration, temperature, etc), watches. The developed assembly and packaging vibrating alarm and buzzer drivers, I2C or SPI inter- techniques have to withstand a very tough accelera- faces, button and crown rotation detection and more. tion test: the homologation process of an electronic watch model includes a 5000G shock test to which, B. Technology Transfer and Diffusion the watch has to resist without damage. An integrated Almost all watch microcontrollers developed by EM circuit may well resist mechanically such a test, but Microelectronic for Swatch Group products are based the designers didn’t imagine that the shock would on microprocessor cores designed by the Swiss Cen- induce a voltage peak into the piezo-electric buzzer ter for Electronics and Microtechnology (CSEM). used in some models. The first circuits were CSEM was founded in 1984 out of the CEH and other destroyed and the subsequent versions would include research labs and represents the continuation of the the needed over-voltage protection. original research initiatives of the Swiss watch-making industry. As will be shown further down in this arti- III. From Microprocessor Prototypes to cle, the microprocessor example epitomizes the cul- Microcontroller Products tural proximity and actual technology transfer process between research institutes of the Neuchâtel area A. Watch Microcontroller & Interface Functions (e.g., CSEM, Institute of Microtechnology of the Uni- The electronic watch seems to be the first portable, versity of Neuchâtel) and the Swiss watch-making battery operated electronic mass market product in industry. But it also underlines the key role of an history. On June 1, 2006, Swatch celebrated the 333 industrial semiconductor manufacturer in transform- millionth Swatch, a time piece which has been pro- ing research and lab results into products ready for duced in several thousand models, with different mass-production. The microprocessor core has to be functions. Through its novel approach of watch pro- optimized, design libraries need to be developed, duction and marketing, Swatch signaled that func- memory technologies have to be added, digital and tionality and time-telling were no longer the primary analog interfaces need to be defined. Finally, a micro- selling points in a watch. Swatch was not so much controller remains useless without software develop- marketing time-telling as it was fun and fashion. ment tools (high level programming language, com-

34 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 35

TECHNICAL LITERATURE

piler, linker, debugger, in-circuit emulator). and automotive). Together with Swatch, the group’s The article's examples show how technologies, semiconductor company has further pioneered inno- originally developed for watch applications are vative public transport solutions [2]. The company brought to industrial maturity; it further underline belongs now to the top three RFID circuit manufac- how these technologies are then "diffused" into other, turers worldwide. Low-voltage and EEPROM technol- mostly portable electronic applications. ogy developed for watches, as well as an RFID-in-a- watch project were the foundation out of which a IV. From RFID in a Watch to RFID Everywhere whole new business segment could be developed. RFID ICs are field powered devices whose reading distance depends on the voltage at which the device V. From Multifunctional Watches to Flexible can start to operate (typically 1.0V). Low-power, low- Display Technologies voltage microelectronics know-how developed for watch-making applications was a cornerstone for the A. Multitasking 8-bit Watch Microcontroller development of an entire RFID business. Transpon- In 1991, EM Microelectronic took a license of the 8-bit ders for pigeon races developed in 1989 has been multitasking PUNCH-microprocessor core developed EM's first RFID application. The same technology has by the CSEM on behalf of several Swiss watch-making then been integrated rapidly into Swatch watches companies. The PUNCH architecture has been used for access control applications, giving EM anoth- designed in an effort to create a generic building er incentive to invest in RFID. The Swatch thus block for more sophisticated quartz watches. EM became the first mass market media to bring RFID to Microelectronic's first microcontroller based on this the public. Today more than 700 ski resorts in 25 core integrated motor drivers for analog watches and countries worldwide can be accessed with Swatch's LCD drivers for combined analog/digital watches. Tis- Snowpass (fig. 3). Swatch Access technology is also in sot's Two-Timer, a multifunction analog/digital wrist- use in several other sports, such as soccer plays. watch – launched in 1986 – able to display two time These early applications have been developed in a zones and a single crown to control all functions was low-frequency, 125kHz technology. EM Microelec- the first commercial wristwatch to feature a PUNCH tronic has since developed product families in all rel- microcontroller. evant RFID standard frequencies (125kHz, 13,56MHz, A further PUNCH-version was used in the Swatch UHF and 2.45GHz) and all major application domains Beat (fig. 4). This first multifunctional entirely digital (i.e., access control, animal identification, logistics Swatch watch was introduced in 1999, one year after

Fig. 3: RFID technology developed originally for Swatch Access applied to car immobilizer and remote keyless Fig. 4: From multifunctional watch (Swatch Beat) to flexi- entry systems ble plastic LCD displays

Summer 2008 IEEE SSCS NEWS 35 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 36

TECHNICAL LITERATURE

the launch of the Internet Time, a new decimal time concept. Instead of dividing the virtual and real day into 24 hours and 60 minutes per hour, Swatch's Inter- net Time system divided the day into 1000 “beats”. One of the goals of the system was to simplify the way people in different time zones communicated about time, mostly by eliminating time zones alto- gether. Internet Time was also based on a new Merid- ian (as opposed to the Greenwich Meridian) going through Swatch’s office in Biel, Switzerland and is called the BMT Meridian. So in addition to the stan- dard time format, the digital Swatch would also dis- play beats prefixed with an @ sign.

B. Need for Enhanced Display Technologies Microcontrollers were first designed into watches with analog and digital displays. Microcontrollers opened new possibilities but also new needs especially on the display side. Higher end brands such as Omega and Rado have also created models with mixed-mode displays or specialty displays (e.g., full-dial LCD display with a hole in the middle for the watch hands). Displays available on the market didn't always meet the esthet- ical standards (i.e., contrast, color) or physical requirements (i.e., viewing angle, shape) of the Fig. 5: 4-bit µPUS Microcontroller in Swatch Musicall and watchmakers. In 1986, EM Microelectronic installed electronic body care devices an LCD production infrastructure and started produc- tion of LCD displays simultaneously for the watch controller alternatives were able to output only two industry and for other industrial segments (e.g., avion- frequencies. EM Microelectronic built the microcon- ics). Initially producing display in TN technology, the troller and the first Musicall watch was launched in activity has since been diversified. Besides watch 1993 by Nicolas G. Hayek and French electronic applications, the company’s displays are widely used music composer and performer Jean-Michel Jarre in today in white goods, portable electronic devices, the Palais de I’Unesco in Paris. computer peripherals etc. In its latest move, still aim- Several versions of µPUS-based microcontrollers ing at miniaturization and increased design flexibility have been realized subsequently for different multi- for watches, EM Microelectronic and Asulab, the functional watches. Today this microcontroller family Swatch Group’s corporate R&D lab, have co-devel- is still at the heart of most analog quartz chronograph oped a flexible plastic LCD display technology (fig. 4). watches made by Swatch and ETA's analog quartz chronograph calibers. VI. From Minimal Complexity Watch Microcontrollers to Body Care Devices B. Low Voltage in Body Care Devices Expanding from watches into other portable, battery- A. 4-bit Microcontroller Gives the Tone operated applications, EM Microelectronic introduced For some watch applications, an 8-bit microcontroller its first sub-1.0V microcontroller in 2004. The EM6682 was over-dimensioned, both from the point of view of is a 4-bit microcontroller with a voltage operating product cost and capability. In 1992, EM Microelec- range of 5.5 down to 0.9V. Sub-1Volt operation in this tronic asked the CSEM whether it would be feasible circuit was achieved without artificial means; the to realize a 4-bit low-power microprocessor architec- entire internal circuitry is running down to the lowest ture with no more than 1mm2 footprint in a 2µm ana- voltage. Taking into account the discharge character- log low power technology (called ALP-2). The task istics of a 1.5V AA battery, a microcontroller operat- was given as diploma work to a student who came up ing down to 0.9 volt will be able to extend the battery with the µPUS (acronym for microprocesseur ultra- life by 50%, compared to a 1.2V circuit (fig. 6). The simple) architecture and the result caught the interest key point with such a technology is not only the of ETA who was looking for a solution for Swatch's increased battery life-time, but also the fact that Musicall (fig. 5), a wristwatch featuring an alarm func- devices can be operated with a single cell, instead of tion and playing a 7 tone melody. Japanese micro- two, which allows increased miniaturization and

36 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 37

TECHNICAL LITERATURE

Fig. 6: Constant power discharge curves of a standard AA alkaline cell

Fig. 7: Tactile areas on the Tissot T-Touch design freedom, as well as lower manufacturing and usage cost. interface, LCD drivers, bidirectional motor drivers, Cost optimized ASIC versions of EM's 4-bit micro- sensor interfaces (a mechanical compass coupled controller can be found today in electric toothbrush- with a Hall sensor detects the north and the direction es (fig. 5). Another application example of this mini- is displayed with the watch hands. mal complexity and lowest voltage microcontroller is The T-Touch has generated by-products in two a shaver model with a vibrating motor in the handle, areas outside of the watch-making industry. The first which optimizes the shaving quality. In this applica- one is of course the tactile technology itself, which tion, the microcontroller is used to control the motor has since been applied to display modules, ready to and maximize the life-time of the single cell, 1.5V be integrated into either in white goods, consumer AAA battery. electronic devices and computer peripherals. The second important area is MEMS interface VII. Tactile Technology: T-Touch know-how for accelerometers (fig. 8), angular rate The availability of a dedicated watch microcontroller in the Swatch Group fueled the creativity of the devel- opment teams in the Swatch Group and allowed a number of pilot projects to investigate the integration of various technologies in watches. Asulab, the R&D company of the Swatch Group, was at the forefront of such efforts and presented sev- eral such watch prototypes [3] always with the con- straints of retaining the specific characteristics of watch-making products such as size, precision, water- resistance or resilience. Ergonomic characteristics and intuitive use of the product were of primary impor- tance. One of the key technologies tested and improved in such projects is certainly the tactile sap- phire glass, brought to fame by Tissot's T-Touch (fig. 7 & 8). The watch combines compass, thermometer, barometer, altimeter in a regular steel case analog/digital watch. The tactile glass can be activat- ed with the right center crown and all the watch func- tions can then be controlled by simply touching one of the seven sensitive areas on the glass (fig 7). This watch ideally illustrates the need for a multi- tasking capable microcontroller able to manage the different functions and peripherals, based on a pri- mary battery without sufficient life-time. Originally, the T-Touch microcontroller has been designed around the PUNCH core by Asulab’s engineers, who Fig. 8: Sensor interfaces in Tissot T-Touch and game con- also developed and integrated the capacitive touch troller with 3D acceleration sensors

Summer 2008 IEEE SSCS NEWS 37 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 38

TECHNICAL LITERATURE

sensors, pressure sensors, featuring atto Farad detec- the required typical watch interface building tion level (10-18F) and full self-test capability including blocks, the addition of Flash memory. The original the MEMS part and interface. Sensor interface tech- SIM card Flash memory could not simply be trans- nology was used also in the Swatch Touch family; hit- ferred to a watch circuit. Programming voltage and ting the glass with the tip of the finger would set off power consumption common to cell phone appli- some function such as light up the dial, stop an alarm cations were much too high for a wristwatch. The of play a small game. technology had to be adapted; current Flash mem- ory for watch applications operates at a program- VIII. From SIM-Cards to Flash-based RISC ming voltage of 1.8V. Microcontrollers The CoolRISC microcontroller family is now in use in Tissot’s technical watch family (latest T-Touch, A. Flash Memory and Time-to-Market Silent-T models, Navigator 3000 (fig. 10)). Swatch In 1997, EM Microelectronic was looking for semi- also uses it in the Swatch Fun Scuba (fig. 9) and conductor projects to be realized in more advanced Swatch Fun Boarder models. The Fun Scuba is the silicon processes than the ones it had in-house. first Swatch with an automatic depth-meter which is Smartcards seemed to be a promising application, automatically activated when the watch is one both because of the level of integration required and meter below the water surface. The hour hand dis- because of the fast evolution pace in this market. An plays depth down to a maximum of 40 meter. The initial SIM card market analysis revealed that the minute hand shows the total dive time. Information major market share relied on ROM-memory chip ver- about a dive can be stored and viewed/replayed by sions, implying a new chip for every application evo- the diver at any time. Once out of the water, the lution. Considering the decreasing time-to-market of watch automatically switches back to display the such products, EM Microelectronic took the approach time, just like any other watch. The Fun Boarder is of developing SIM-Card (fig. 9) circuits with Flash a variation on the same theme: instead of a depth- program memory. Such technology represented a sig- meter, it features an altimeter; the minutes hand nificant competitive advantage and helped the com- shows the height up to 995m, while the hours hand pany to reach a leading position in the worldwide shows the height per 1,000m. SIM-Card IC market. If watch-making was the cause for EM Microelec- tronic to develop its EEPROM technology, giving the company an advantage for non-watch applications, we can say that the smartcard industry gave the com- pany the impulse to introduce Flash memory technol- ogy, which now in turn paves the way for other inno- vations in watch-making. From the microcontroller point of view, multifunc- tional quartz watches followed a path similar to many other mass-market microelectronics applications. Originally the products were based on ASIC circuits, implying a new IC for every new product or even product version. With the µPUS and PUNCH cores and a set of interface building blocks, the watch mak- ers introduced a more modular approach; but these circuits were all ROM-based versions, meaning that every software change required a new metal mask, i.e., a new chip. If original watch ASICs were consid- ered non-programmable devices, ROM-based micro- controllers were qualified as fab-programmable (i.e., programmable by the wafer foundry) devices. The next natural evolution step was then to offer field- programmable devices to the watchmakers (i.e., ETA).

B. RISC Microcontroller Architecture in a Watch In 1998, EM Microelectronic initiated the develop- ment of its latest watch microcontroller generation Fig. 9: Flash memory developed for SIM-cards now used in based on CSEM’s CoolRISC 8-bit core with, besides watch applications (Swatch Fun Scuba)

38 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 39

TECHNICAL LITERATURE

C. Non-Watch System-on-Chip Applications computer peripheral manufacturers. The latest deliv- As always, when a given watch technology has a ered circuits are single-chip optical motion calculators potential for non-watch application or vice-versa, EM for wireless mice, which combine the 8-bit RISC Microelectronic would try to take advantage of it. The microprocessor core, RAM, ROM, full sensor and light company has reused the CoolRISC core for embedded source control, a 27MHz transmitter for the wireless industrial sub-1Volt microcontroller versions. function, single battery DC-DC converter, optical sen- The EM6819 features 0.9V operation without exter- sor with image processor for either LED or laser light. nal components, 17kB Flash memory freely shareable Over the years, cumulating simple and most complex between program and data memory. The Flash mem- chips, EM Microelectronic has delivered well over 1 ory is readable and writeable within the whole circuit billion ICs to computer mice manufacturers (fig 10). supply voltage range. The EM6819 is also the first 8- Several other technologies originally developed for bit, Flash-based microcontroller worldwide able to the watch-making industry help EM Microelectronic to work on a single battery cell down to 0.9Volt, without provide ever more sophisticated solutions to the auto- external components (coil and capacitor). motive industry. Adapting its early RFID circuits, the From the design of a microprocessor core com- company entered into automotive applications with bined with a library of different interface building immobilizers for car keys (fig. 3), a request of insur- blocks it is a short distance to reach a System-on-Chip ance companies to car manufacturers following a design approach. Sometimes it is a mere definition of surge in car theft in the 1990's. terms depending on the complexity level of the said Today, car makers tend to integrate (fig. 10) the building blocks. Anyway, drawing from the know- remote control function, remote keyless entry func- how developed in the various application domains, tion and immobilizer into single chips. Current circuits EM's engineers started to combine and integrate vari- integrate a RISC microcontroller, a UHF transceiver, ous functions for battery-operated consumer electron- advanced cryptography with side channel attack ic devices. countermeasures, Flash memory and an RFID func- EM Microelectronic has a long lasting presence in tion in one chip able to be operated in battery or field computer mice applications. As mice became wireless powered mode. and battery operated, EM’s specific low-power, low- voltage know how became increasingly critical for the IX. From the Pager Watch to Bluetooth ULP

A. Swatch The Beep The very first microcontroller developed by EM Microelectronic was in 1991 for Swatch “The Beep”, the first analog wristwatch with an integrated pager function (fig. 11). The 4-bit microcontroller chosen by ETA to control the application couldn’t handle incoming mes- sages fast enough in early models, so EM Microelec- tronic was given the task do develop an ASIC to speed up message handling and buffering. EM's designers based their ASIC on specifically designed 8- bit microprocessor architecture with a dedicated instruction set. But the already booming cell phone market soon made this masterpiece of a highly inte- grated system solution obsolete.

B. Watches and Communication Technologies Swatch The Beep was an early example of a recurring issue in wristwatches: communication technologies. Almost all relevant communication technologies have been prototyped so far in wristwatches by the Swatch Group, but not every effort ended up in a commercial products. Asulab has developed Swatch Talk GSM, an agenda watch with RF link to a PC, another Cell phone watch, a GPS watch to mention only some [3]. Starting in 2004, ETA developed watches based on Fig. 10: 8-bit RISC microcontroller in a Tissot Navigator 3000 and in a System-on-Chip optical motion calculator Microsoft's Spot technology a type of advanced pager for wireless computer mice function based on the usage of an FM sub-carrier fre-

Summer 2008 IEEE SSCS NEWS 39 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 40

TECHNICAL LITERATURE

the technological quest for the better, smaller, more efficient and even more beautiful. Watch-making not only generates new technologies and whole indus- tries, but often also acts as an early adopter. Numer- ous new technologies have been pioneered in watch applications; because wristwatches are a mass-market product, they are also the ideal platform to bring tech- nological improvements to public awareness. Tactile technology increasingly becomes pervasive especially in portable electronic devices (music play- ers, cell phones, etc). Tissot had introduced its T- Touch already in the year 2000. Electronic wristwatches have brought a long-term and steady contribution to the availability of low- power, low-voltage technologies and they have there- by significantly contributed to the feasibility of a wealth of other portable electronic applications. The cross-fertilization described earlier in this arti- cle is however not limited to the electronic watch industry. Today, wristwatches have advanced to an abundance of choices from very cost effective entry- level quartz watches to the very high-end bejeweled mechanical masterpieces. It is no secret that currently mechanical watches are again high in the trend. Tech- nological improvements and innovations continue to happen also in the mechanical segment. Nivarox – a company of the Swatch Group spe- Fig. 11: Early watch with telecom application (Swath The Beep) and ultra-low power RF circuits for portable elec- cialized in the manufacturing of time-regulating com- tronic devices ponents for mechanical wristwatches – prepares to install a production facility for silicon watch parts. Sil- quency. These watches integrated a 32-bit dedicated icon is insensitive to magnetic fields and opens the ARM-Core platform. One of these models, the High-T, way to new and more complex shapes. Moreover, sil- has been built for Tissot. The model featured a graph- icon is lighter and harder than steel, needs no lubri- ical user interface (GUI) based on a dot-matrix LCD cation and is resistant to corrosion and wear. Produc- display and tactile glass technology integrated in a tion readiness of such parts originates on results of a steel case. Network and services availability being joint MEMS project run by Swiss research institutes available only in the US, these models remained con- and Swiss watch-makers. fined to this market. This latest example further underlines how a very traditional handicraft (mechanical watch-making) can C. Ultra Low Power (ULP) Bluetooth take advantage of the most advanced technologies to Most communicating wristwatches realized so far with improve the precision of its masterpieces, thereby either a longer communication range or higher data developing and improving a unique know-how which rate capability could only be realized with recharge- will not fail to show fall-outs again outside of the able batteries. Acknowledging the need for demand- watch-making industry. But such an example also ing communication technologies at very low power, proves the inevitable and essential role of Switzer- be it for watches or other applications, EM Microelec- land’s industrial network, which remains at very fore- tronic is currently working on a joint development front of watch-making technology. project with partners from the industry and academic research to build a very low-power RF front end chip, Acknowledgement compatible with the currently standardized ULP Blue- To all engineers of the Swatch Group who over the tooth channels. years have contributed to bring research results to industrial maturity. X. Conclusion History tells that watch-making has been and still References remains an extremely favorable, creative and innova- [1] J. Drori, S. Jewell-Larsen, R. Klein, W. Owen, R. Simko, W. Tchon, tive context for micro and nano-technologies. The M. Darwish, H. Dill, "A single 5V supply nonvolatile static RAM", Solid-State Circuits Conference. Digest of Technical Papers. 1981 wristwatch is acting as an extremely prolific catalyst in IEEE International, Volume XXIV, Issue , Feb 1981, pp. 148 - 149.

40 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 41

TECHNICAL LITERATURE

[2] Th. Gyger, O. Desjeux, "EasyRide: active transponders for a fare In 1999, he joined EM Microelectronic where he collection system", Micro, IEEE, Volume 21, Issue 6, Nov/Dec became COO in 2006 and CEO in 2007. 2001, pp. 36 - 42 [3] Some examples presented by Asulab : "SwatchTalk: The first wristwatch phone" : Congrès Européen de Chronométrie - Karl- Thomas E. Gyger was born in Switzer- sruhe, Germany, 1998; "GPS-Watch: An analogue wristwatch land, in 1961. He received the engineer including a very low power GPS receiver" : ION GPS 2000 Con- degree engineer in electronics from the ference - Salt Lake City, USA, 2000; "Vibrato: Touch only time University of Applied Sciences of West- reading and alarm setting" : Société Suisse de Chronométrie - Geneva, Switzerland, 2002. ern Switzerland (HES-SO) in Saint-Imier in 1984. Between 1984 and 1999, he worked as software About the Authors project manager for various companies of Ascom, a Mougahed Darwish holds a doctorate in international telecom solutions group, including 4 Physics from the Swiss Federal Institute of years at the corporate R&D labs. He is working for EM Technology in Lausanne (EPFL), Switzer- Microelectronic since 2000 as project manager for land. He has been member of the Extend- electronic systems and RFID projects with a focus on ed Group Management Board of the data security. He took over the function of Communi- Swatch Group since 2005 and is respon- cation Manager in 2007. Part of his time at EM was sible for EM Microelectronic, Micro Crystal, Renata, devoted to project management for Swatch Group Microcomponent, Michel Präzisionstechnik, Sokymat Research & Development, working on several watch Automotive, Oscilloquartz and Lasag. Dr. Darwish has projects with advanced technologies. Th. Gyger is been with the Group since 1979, starting as Project also member of the Swiss Informatics Society. Manager for the Xicor-Ebauches joint venture. Previ- ously, he had been active in research and develop- Günther Meusburger was born in Aus- ment for the Centre électronique horloger (CEH) tria 1945. He received the Academic (today Centre Suisse de l'électronique et de Degree from Technische Universität, microtechnique SA, CSEM). From 1985 to 2006, he ran Vienna, Austria. EM Microelectronic as a CEO, where he is now a From 1973 to 1978 he was with member and delegate of the board. Dr. Darwish is also Siemens Research Laboratories, Munich, member of the Swiss Academy of Technical Sciences. Germany where he was involved in the design of DRAMs. In 1978 he joined Eurosil GmbH, Munich Marc G. R. Degrauwe was born in Brus- where he was responsible for the Design department sels, Belgium on August 16th, 1957. He for CMOS Low power, low voltage IC's. In 1984 he received the engineering degree in elec- moved as Design Manager to EM Microelectronic in tronics and the Ph.D. degree in applied Marin, Switzerland, a company of the Swatch Group sciences from the Katholieke Universiteit developing and producing also CMOS Low Power Leuwen (KUL) Leuven, Belgium, in 1980 and Low Voltage IC's. Since 1994, G. Meusburger is and 1983, respectively. business unit leader and responsible for the develop- During the summer of 1980 he was on leave at the ment of Watch IC's for the Swiss watch industry. Centre électronique horloger (CEH), Neuchâtel, Switzerland. From autumn 1980 to 1983 he was asso- Jean-Claude Robert graduated in elec- ciated with KUL where he worked on the design of tronics from Swiss Federal Institute of micropower amplifiers and sampled date filters. In Technology in Zürich (ETHZ), Switzer- July 1983 he returned to CEH. In 1984 when CEH was land, in 1971. reorganized into the CSEM, he became Head of the He joined the Technical Direction of Circuits Department, reporting to Dr. E. Vittoz. Later Ebauches SA in Neuchâtel where he was in on, he became responsible for the Microsystems activ- charge of developing integrated circuits for watches ity, the IC design, mask shop and tribological meas- with LED, LCD and electrochromic displays. Since 1986 urement equipment. he has been responsible for the electronic development Dr. Degrauwe also lectured on analog circuit at ETA SA Manufacture Horlogère Suisse in Grenchen. design from 1985 till 2006 at the University of Neuchâ- He is currently Project Manager in the Advanced tel, Switzerland. He authored or co-authored more Research group at ETA and works in the field of new than 10 papers on low power in the JSSC Journal and technologies and devices for the future watches. the ISSCC Conference. He was also secretary of the J.-C. Robert has been Member from 1986 to 1998 European program committee of ISSCC. Dr. and President from 1993 to 1995 of the Scientific Degrauwe received the 1987 ESSCIRC Conference Board of the Centre électronique horloger (CEH, Best Paper Award for a paper on crystal oscillators he french acronym for Watchmakers Electronic Center). co-authored. He is also member of IEEE.

Summer 2008 IEEE SSCS NEWS 41 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 42

TECHNICAL LITERATURE It’s about Time: A Brief Chronology of Chronometry Thomas H. Lee, Stanford University, [email protected]

Prologue The lobby clock’s label boasted, in HP’s characteristi- cally understated way, that a cesium standard con- trolled the displayed time. While waiting for his host to greet him, Max Forrer reflexively checked his wrist- watch, and noted a three-second disagreement. Although most people in 1968 would have dismissed the difference as trivial (if, indeed, they had noticed one at all), the discrepancy bothered the new director of the Centre Electronique Horloger (CEH). Since CEH’s founding in 1962, engineers had toiled in secret at the Centre’s labs in Neuchâtel, Switzerland to develop the world’s first quartz-controlled wristwatch. They had succeeded brilliantly: In December of 1967, ten “Beta 2” prototypes had swept the top ten spots in the annual Concours held at the Observatoire de Neuchâtel, smashing all previous records by exhibit- ing drifts of only a few hundred milliseconds per day. Given the magnitude and consistency of that triumph, Forrer could not accept that his wristwatch was off by three seconds. Of logical necessity, then, the lobby clock had to be wrong, cesium notwithstanding. Once his host appeared, Forrer shared his reasoning, and a subsequent investigation revealed that the lobby clock was indeed off by about two seconds [1]. Word spread quickly among HP engineers that a wristwatch Figure 1 – The Luxor Obelisk at the Place de la Concorde had caught an error in their atomic-controlled clock. in Paris, where it was moved from Egypt in 1829 (photo The times – and certainly timepieces – they were “a- credit: David Monniaux) changin.” first written description. Consisting of a leaky bowl The Age of Continuous Time with graduations on the inner surface, sloping sides Rejoice at simple things; and be but vexed by sin and helped compensate for a varying drain speed as the evil slightly. bowl emptied. This early clock represents the first in Know the tides through which we move. a line that would see considerable refinement in the – Archilochos, c. 650BCE hands of the Greeks, who called them clepsydras (“water thieves,” because of the outflow of water), The path to Forrer’s moment of rejoicing was any- starting around 325 BCE. Water clocks reached their thing but linear and predictable. Simple things – nat- evolutionary peak with a succession of Chinese water ural, continuous processes – such as the regular towers (200 CE to 1300 CE), the last of which operat- motions of the sun, moon and stars, had marked the ed bells and other mechanical indicators [2]. tides through which we move for most of human existence. Sundials had been used since at least 3500 A Little Relaxation is Good BCE, when obelisks appeared for this purpose in The rather long periods (e.g., a day or a lunar month) Egypt [2]. The 3300-year-old Luxor Obelisk is a beau- of the natural processes accessible to the ancients tiful example of how far the ancients were able to made it difficult to mark time accurately in fine incre- develop this technology (Fig. 1). Standing 23 meters ments. Besides possessing periodicities that aren’t tall, the 200,000-kilogram pink-granite monolith still directly traceable to any fundamental time constants, tells time as accurately as it did when it was built. water clocks also suffer from the temperature- and Portable sundials appeared about two millennia impurity-sensitive characteristics of water, to say noth- later, contemporaneously with the first timekeepers ing of freezing. Partial solutions devised over the cen- based on an artificial (but still continuous) process: turies include sundials surrounded by an array of the flow of water. The oldest surviving example dates marker stones to facilitate resolving shorter intervals, to the reign of Amenhotep III, over a century after the as well as the substitution of mercury for water in at

42 IEEE SSCS NEWS © 2008 IEEE Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 43

TECHNICAL LITERATURE

least one Chinese clock (around the year 976 CE) [3]. the burden of timekeeping away from continuous These improvements, useful (if occasionally toxic) processes, with their inconveniently large and often though they were, represented only incremental mod- fixed time constants, to artificial processes possessing ifications of technologies that had served well enough much shorter and freely chosen time constants. The not to have stimulated more radical changes for five importance of that seemingly minor shift can hardly millennia. be overstated. After countless centuries of relative stasis, revolu- The dominant form of escapement for about 400 tionary (both figuratively and literally) technologies years was the verge-and-foliot mechanism (see Fig. 3). abruptly appeared in Europe, starting around the 13th century CE. Evidently, something had suddenly made people feel that there was a problem to be solved. Historian David Landes offers a provocative (and much-debated) hypothesis to explain why Europe was the center of these activities, instead of other regions with far better-established horological tradi- tions. He argues that it was the growth of a profes- sional class, with its need to charge for services on a finer-grain basis than by the lunar month, and the Catholic Church, with its almost arbitrary schedule of prayer and other liturgical activities, that together cre- ated a time-granularity problem [4]. The first examples of new clocks designed to solve this problem appeared in the late-13th to early-14th century. Richard of Wallingford’s Tractatus Horologii Astronomici (c. 1330) provides the earliest known description of a weight-driven clock that employs an Figure 3 – Detail of an early escapement, showing the crown wheel, and the verge with palettes (pallets in Eng- escapement [5], and Giovanni de Dondi’s Il Tractatus lish). Foliot not shown. (Wikipedia: Escapements.) Astrarii (c.1370) contains the first drawing of an escapement (Fig. 2) [6]. The invention of the escapement is what marks this Through a gearing mechanism (not shown), force era as wholly distinct from what preceded it. The sub- from hanging weights ultimately applies a constant tle and profound action of the escapement transfers torque to the axle of the crown wheel, causing it to turn in the direction indicated in the figure. The saw- toothed wheel in turn drives the verge discontinuous- ly by alternately hitting one of two palettes. As shown, the palettes are typically disposed in quadra- ture around the rod. A saw-tooth hits one palette, causing the verge to rotate until the other palette encounters a saw-tooth on the opposite side of the crown wheel. That encounter briefly stops the verge and crown wheel altogether (indeed, it actually induces a small retrograde motion in both), until the continued application of torque on the crown wheel’s axle eventually forces a restart. In this way, the con- tinuous pull of weights produces a periodic intermit- tent rotation of the verge and, coincidentally, pro- duces the now-familiar “tick-tock” sound we take for granted. The periodicity of that motion is a function of the applied torque, as well as of the inertia of the verge. The typical method of adjusting the clock’s speed is Figure 2 – Early mechanism, from Giovanni de Dondi’s Il to alter the verge’s inertia by using a propeller-like bar Tractatus Astrarii. It is the oldest extant drawing of a called the foliot. In this arrangement, the verge then device using a verge-and-foliot escapement. Although acts as an axle for the foliot, and additional, movable what is shown is actually part of an orrery, it can function weights attached to the ends of the foliot bar provide as a clock essentially without modification. (Wikipedia: de a means for changing the inertial moment, and thus Dondi.) the speed of the clock. The somewhat unusual

Summer 2008 IEEE SSCS NEWS 43 sscs_NLsummer08.qxd 7/11/08 8:54 AM Page 44

TECHNICAL LITERATURE

motion of the foliot may have given the bar its name Huygens’ Resounding Success (folle is French for crazy). The verge-and-foliot arrangement, though revolution- An electrical analog of this type of clock is an RC ary, suffers from several important deficiencies that relaxation oscillator. A constant force on the main one may readily identify from a circuit analogy. The weights (a battery) accelerates (charges up) a mass verge’s palettes are in contact with the crown wheel’s (capacitor) up to some limit, which mass then gives teeth a large fraction of the time, ensuring substantial up its all of its kinetic energy prior to the cycle begin- frictional losses. Perhaps worse, the verge-and-foliot’s ning anew. As with electrical relaxation oscillators, oscillation frequency is a function of several variables the verge-and-foliot escapement permits oscillation that are hard to maintain constant, and so it is over a wide range of frequencies, and thus enables inevitable that accuracy suffers. the subdivision of time into almost arbitrarily fine From circuit theory, we know that many of these intervals. And as with RC oscillators, the stability of a problems can be mitigated through the introduction verge-type clock is somewhat less than ideal. Never- of a resonator – every circuit designer knows that an theless, the escapement permitted the construction of LC oscillator is generally much better than an RC clocks with “good enough” performance for a great relaxation oscillator. The introduction of a resonator many tasks. Measurements on the oldest working into clocks began with observations by Galileo. By clock in Europe, at the Salisbury Cathedral in Eng- 1602, he had deduced important facts about a free land, give us a rough idea about the typical accuracy pendulum’s motion. In a letter that year to his patron, one could expect. The Salisbury clock, built in 1386, Guidobaldo del Monte, Galileo described experiments drifts a large fraction of an hour per day. With care, that revealed an independence of oscillation period one could perhaps expect to lose or gain half an hour on the mass of the pendulum. Within his limits of per day [7]. By today’s standards, of course, that level measurement precision, he concluded that the period of error is considered unacceptable, but in the 14th is similarly independent of amplitude, and only a century, it was unheard-of precision. Indeed, as late function of length [8]. as the 15th century, soldiers were still using roosters The Dutch astronomer Christiaan Huygens later as portable alarm clocks [4], conveying some idea of performed a careful theoretical analysis, and realized what performance level was tolerable. As a bonus, that Galileo was somewhat in error: In truth, ampli- severely off-spec roosters could always be eaten. tude does matter. However, this same analysis As with many other clocks of this period, the Salis- revealed the result now taught in every elementary bury has no face. Instead, a bell chimes every hour, a physics class: For “small enough” angular displace- function that is reflected in etymology: The very word ments, the period of oscillation is indeed a function clock comes from the Latin clocca (bell); other cog- only of length. From there, it is a short intellectual nates include glocke (German), klocke (Dutch), and step to exploit the near-isochrony of the pendulum to cloche (French), highlighting the universality of this enable better clocks. Huygens himself took that next early use of clocks. Prior to the mid-14th century, step, allegedly inventing the pendulum clock on derivatives of the Latin term horologium had applied Christmas Day, 1656 by proposing the replacement of to all timekeeping devices. The development of the the aperiodic weighted foliot with the resonant pen- vastly superior escapement-controlled clocks required dulum. Not being an instrument-maker himself, he a new word to distinguish this invention from the sun- had the clock built in 1657 by someone who was: dials, water and sand clocks, and graduated slow- Salomon Coster, whose clock now resides at the burning candles that had previously represented the Boerhaave National Museum of the History of Sci- state of the art. ence, in Leiden. Clocks of that type are capable of Replacement of the suspended weights by a spring errors measured in minutes per day, representing an drive enabled much more compact shapes, bringing order-of-magnitude improvement over the older the wristwatch a step closer to reality. Peter Henlein verge-and-foliot clocks. Huygens described these of Nuremberg gets credit for building the first spring- developments the following year, in his much-cele- driven clocks in the period 1500-1510, and is thus the brated Horologium Oscillatorium [9]. In short order, father of the portable clock, and the grandfather of clocks all over Europe were being upgraded by the wristwatch. Although the torque applied to the replacing foliots with pendulums. foliot diminished as the spring unwound, the revolu- The superiority of the pendulum highlighted defi- tionary portability itself made the spring drive attrac- ciencies in the rest of the clock mechanism by con- tive despite the systematic drift. Later developments, trast. The largest remaining error source was the very such as the invention of the fusée – a cone-shaped large swings forced on the pendulum by the legacy coupler that provides a continually varying gear ratio verge escapement. The amplitudes were somewhat in as the spring unwinds – helped to reduce the varia- excess of the 90-degree spacing of the palettes, and tion in foliot torque until still better compensation thus well outside the “small-swing” regime that corre- methods came along [4]. sponds to near isochrony. Conscious realization that

44 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 45

TECHNICAL LITERATURE

this problem limited further improvements stimulated tor came to dominate the remaining loss, and so the development of better escapements. The first of William Shortt devised in 1921 a master-slave arrange- these was by Robert Hooke, who developed the ment of two weakly-coupled pendulums. The slave anchor escapement the same year that Coster built shouldered the burden of driving an indicator, while Huygens’ clock [10]. It was the first important innova- the master pendulum suffered only its own infinitesi- tion in escapements in the 300-year history of the mal frictional losses and a tiny coupling energy as dis- technology. By reducing swings by an order of mag- sipation. The slave pendulum acted as a phase-locked nitude, stability was improved by about an order of buffer between the master oscillator and the load. magnitude as well. Errors were now denominated in Shortt’s free-pendulum clock was so precise and sta- tens of seconds per day. A mathematical analysis of ble that it enabled the discovery of the earth’s own the escapement would finally be carried out by rotational instability [4]. After more than 600 years of Astronomer Royal George Biddell Airy in 1830 [11]. steady development, artifice had finally bested nature. Interest in how escapements function remains high, with modern treatments continuing to appear in the Piezoelectricity literature [12]. Shortt had pushed the art of mechanical engineering The length-dependent periodicity of the pendu- beyond all reasonable expectations. Any additional lum clock produces a sensitivity to the temperature major improvements would have to come from else- coefficient of expansion of the materials used. where. Additionally, a growing demand for accurate George Graham of England introduced the idea of personal timepieces would force continued innovation using a combination of metals to reduce the overall in still different areas as well. Fortunately, a decades- temperature coefficient, and by 1721 had improved old discovery by Pierre Curie and his brother Jacques accuracy yet another order of magnitude. Errors in 1880 would shortly develop into a technology that were now of the order of a second per day [13]. Not would advance horology on several fronts. long after, a carpenter named John Harrison began During a study of pyroelectricity (in which heating to elaborate on Graham’s compensation ideas, and induces electrostatic polarization in certain crystalline supplemented those with compensation also for solids), the brothers Curie had found that mechanical motion. By 1761, the self-taught horologist bettered stress would also induce polarization in these crystals; Graham by achieving errors of 250ms a day, and in they had discovered piezoelectricity. Among the a shipboard installation, no less. Miraculously, Harri- piezoelectric materials they identified was quartz [14]. son was eventually able to shrink the mechanism to Not long after the Curies announced their discov- pocketwatch dimensions (Fig. 4). By famously solv- ery, their colleague at the Sorbonne, Gabriel Lipp- ing “the longitude problem” Harrison revolutionized mann, argued on thermodynamic grounds that the the art of navigation [13]. converse effect should exist [15]. The Curies soon ver- ified that applying a voltage across the crystal did indeed cause mechanical deformation. Lippmann would remain important to the Curies, becoming Marie Sklodowska’s thesis advisor a decade hence. Piezoelectricity remained the object of purely sci- entific study for almost 40 more years. That changed with the outbreak of the First World War in 1914. The need to detect enemy submarines led Paul Langevin, a former student of Pierre Curie, to devise a sonar sys- tem using quartz ultrasonic transducers [16]. The war ended before the invention could be put into service, but the utility of piezoelectric technology was now Figure 4 – Harrison’s final chronometer, the H5 firmly established. (Wikipedia: John Harrison). Langevin was not alone in pursuing the develop- ment of sonar. In the United States, Walter G. Cady For the next 150 or so years, further improvements had developed a sonar system that used piezoelectric were aimed at reducing frictional losses to their crystals made of Rochelle salt. As had happened to absolute minimum values through a combination of Langevin, the Armistice was signed before Cady’s sys- lubrication and the use of better materials. Escape- tem could be used. The experience nevertheless stim- ments underwent a continuing evolution to reduce ulated a fascination with piezoelectricity, and Cady the duration and surface area of metal-metal contact. continued his research at Wesleyan University after Eventually, damping by air became a limiting factor, the war. In the years immediately following the war, and so the best clocks were operated in a vacuum. he discovered resonant phenomena in piezoelectric Finally, the tiny energy required to operate an indica- crystals, filing a patent for the resonator in 1920 [17].

Summer 2008 IEEE SSCS NEWS 45 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 46

TECHNICAL LITERATURE

He was the first to generate the now-familiar electri- “It Doesn’t Tick – It Hums!” cal model for a crystal, in which a series-RLC circuit is Aside from renewing interest in piezoelectric technol- shunted by a capacitance. In short order, Cady devel- ogy, the First World War produced a generation of oped an oscillator based on insights facilitated by this soldiers who relied on wristwatches instead of the model (Fig. 5) [18]. less-practical pocket watches that had previously been in fashion. Consumer demand for wristwatches grew steadily in the postwar years, and watch manu- facturers responded to the growing interest. By the end of the Second World War, wristwatches were a commonplace item. The invention of the transistor made it inevitable that watches and clocks would eventually benefit somehow. The first company to put an electronic watch into pro- duction was Bulova, an American company with facilities in Switzerland. Swiss employee Max Hetzel received per- mission in 1952 to begin research on his ideas for what would be called the Accutron – a wristwatch based on an electromagnetic tuning fork as the resonant element. Oscillation would be maintained by placing the tuning Figure 5 – Two-port crystal oscillator (from Cady’s fork in the feedback loop of a single-transistor circuit. In patent application [18]). early 1953, Raytheon delivered a few CK722 germanium alloy transistors, and Hetzel started work in earnest. With- Cady’s work caught the eye of George Washington in a year he had a working prototype with a 5cm fork Pierce, an acquaintance who was teaching at Harvard. oscillating at 200Hz. His Swiss colleagues were not terri- Cady graciously demonstrated his two-port oscillator bly impressed, and Hetzel eventually moved to Bulova’s to Pierce, who then devised a simpler oscillator that New York headquarters to continue work on the project required only one vacuum tube and a one-port crys- [20]. Working closely with fellow employee William Ben- tal resonator. The Pierce oscillator has been a stan- nett, the fork was shrunk to fit within a typical wristwatch, dard circuit block ever since (Fig. 6) [19]. with a resulting resonance at 360Hz. Each Accutron coil was wound with 8000 turns of 15μm-diameter insulated copper wire, conveying some idea of the manufacturing challenges that they had to overcome. An exploded view of a second-generation Accutron is shown in Fig. 7.

Figure 6 – First published schematic of a Pierce oscillator (from Pierce’s patent [19]).

Both Cady and Pierce were motivated by the need for frequency-stable oscillators in the nascent radio art. Standard LC oscillators were hard-pressed to maintain frequency within a 1% tolerance band. Quartz-controlled oscillators are at least a hundred times more stable, an attribute equally valuable for transmitters and clocks. Figure 7 – Exploded view of Accutron model 218 [21].

46 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 47

TECHNICAL LITERATURE

The first Accutrons were offered for sale in Novem- chairman of Seiko, who signed an agreement with ber of 1960, just in time for the Christmas shopping Intersil on the spot [23]. Thanks to the growing IC season. The 360Hz vibration of the tuning fork was industry, a few short years would be enough to turn quite audible, and Bulova chose to highlight this char- electronic watches into a throw-away commodity. acteristic as a feature: “It doesn’t tick – it hums!” Jim Up to 1970 or so, these new electronic watches still Williams of Linear Technology notes that “if you left had traditional analog displays. The Hamilton Watch an Accutron on a glass coffee table in a quiet room, Company took out ads in the spring of 1970, the hum would drive you nuts.” announcing the Pulsar all-digital LED watch, largely as a market research exercise. The reaction was wildly “It Doesn’t Hum – It Squeals!” enthusiastic, but engineering difficulties forced them The accolades that Bulova enjoyed for having devel- to delay taking orders until March of 1972. They set oped the Accutron, as well as their steadfast refusal to the initial price at $2100, knowing that Roger Moore license Hetzel’s patents [22], contributed to the forma- would be sporting a Pulsar in the upcoming James tion of CEH in response. It also spurred the Japanese Bond film, Live and Let Die. The Pulsar finally began into action as well. Seiko’s head of R&D, Nakamura shipping in 1973. Tsuneya, started a secret project in reaction to the The same year that Hamilton started taking orders, Accutron’s announcement [23]. Rejecting the electro- Motorola began offering CMOS-based watch electron- magnetic tuning fork resonator (which was patented ics to all comers for $15, and the watch business went by Bulova in any case), Seiko chose instead an into a frenzy. Intel, worried that they might miss one 8192Hz quartz crystal as the resonator, just as CEH of the Next Big Things, bought a company called had. Because Seiko lacked an IC capability at that Microma Universal in 1972 to get into the watch busi- time, the circuitry in the “Astron” was fully discrete, ness. The disastrous result motivated Intel to sell off consisting of 76 transistors, 29 capacitors, 83 resistors the Microma division at a considerable loss only five and a smattering of other components. This collection years later [25]. of components was painstakingly hand-assembled. As the cost of the electronics plummeted, the res- Prototype Astrons competed with CEH’s Beta pro- onator remained a sore spot because of its lack of totypes at the 1967 Concours. Although CEH swept scaling. The 8192Hz resonators were somewhat the top 10 spots. Seiko won two of the next three bulky, constraining case size, and were also some- places, so it was a complete sweep for quartz tech- what expensive. A breakthrough in resonator design nology. The triumph was so complete, in fact, that the was announced in 1973, when Juergen Staudte of Concours was suspended in mid-1968, then cancelled forever; quartz and electronics had taken the charm out of the contest [4]. Manufacturing Astrons must have been a night- mare; the difficulties undoubtedly explain why only 200 of the watches were ever offered for sale. The high initial price of $1250 – roughly that of a compact car at the time – also strongly suggests that the Astron was more of a marketing experiment than an earnest attempt at production. Seiko did get to enjoy some bragging rights, however, for their introduction of the Astron on 25 December 1969 made Seiko the first company in the world to offer a quartz electronic watch for sale on the open market. Watches based on CEH’s Beta21 (for “Beta2, version 1”) module went on sale the following April. Unlike the Astron, the Beta21 module was intended for high-volume pro- duction, thanks to the IC technology developed by Eric Vittoz and his CEH colleagues [24]. Once the “IC genie in the bottle” had been released, there was no turning back. Swiss-born Jean Hoerni, who had invented the planar process at Fairchild, left to found Intersil in 1967 with the partial backing of Swiss companies. Two years later he returned to his homeland to ask for $75,000 to make Figure 8 – Quartz tuning fork resonator of Juergen Staudte CMOS ICs for the watch industry. Rebuffed, he flew [26][27]; typical unit shown on bottom with cover removed onward to Japan, where he met with Hattori Shoji, the (photo credit: Erhard Schreck)

Summer 2008 IEEE SSCS NEWS 47 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 48

TECHNICAL LITERATURE

Statek described his quartz resonator [26]. By creating References an electrostatic version of a tuning fork, Staudte was [1] Christian Piguet, “The First Quartz Electronic Watch” in able to shrink dimensions dramatically (Fig. 8). Addi- Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, Proceedings of the 12th tionally Staudte introduced photolithographic batch- International PATMOS Workshop, Seville, Spain, Septem- processing techniques to quartz resonator manufac- ber 11–13, 2002, Springer Berlin/Heidelberg 2002, pp. 275- ture, including laser trimming, allowing the same 288. economies of scale enjoyed by the IC business. Statek [2] James Jesperson and Jane Fitz-Randolph, From Sundials to even offered a transparent glass package option that Atomic Clocks – Understanding Time and Frequency, U.S. Dept. of Commerce, Technology Administration, National allowed laser trimming on packaged resonators. Institute for Standards and Technology, Monograph 155, Thanks to Staudte’s brilliance, a compact, inexpen- March 1999. sive, but accurate resonator could oscillate at [3] Joseph Needham, Wang Ling, and Derek de Solla Price, 32.768kHz. This frequency has since become the stan- Heavenly Clockwork: The Great Astronomical Clocks of dard frequency for clocks, including those found Medieval China," 2nd Edition, Cambridge University Press, 1986. Also see Joseph Needham, Science & Civilisation in inside desktop and laptop computers. China, vol. IV, chapter 2: Mechanical Engineering, Cambridge The compounding effect of all of these advances University Press, 2000. was a boon to consumers, for now anyone could [4] David S. Landes, Revolution in Time: Clocks and the Making afford a watch whose accuracy was undreamt of only of the Modern World, Revised and Enlarged Edition (Paper- a few years earlier. By the time of Intel’s sale of Micro- back), Belknap Press, April 21, 2000. [5] Richard of Wallingford, Tractatus Horologii Astronomici, c. ma, LED watches were selling for under $10, and LCD 1330. watches would soon follow suit. [6] Giovanni di Dondi, Il Tractatus Astrarii, c.1370. [7] Interview with historian William Andrews, http://www.abc. “What’s Next?” net.au/rn/science/ss/stories/s199675.htm, retrieved 14 May Even the most inexpensive wristwatches available 2008. [8] Galileo Galilei, letter dated 1602 [8, Vol. X p. 97] in Le Opere today are so precise that the need for even better di Galileo Galilei, ed. A. Favaro, Nuovo ristampa della edi- stability no longer drives their evolution. Other fac- zione nazionale, G. Barbèra, Firenze, 1968. tors, such as esthetics, generally matter more to [9] Christiaan Huygens, Horologium Oscillatorium, Apud F. consumers now. The electronics revolution has Muguet, Paris, 1673. Scans of an original manuscript may be continued unabated over the four decades since found at http://historical.library.cornell.edu/kmoddl/toc_huygens1.ht the Beta21 was introduced, so the ability to inte- ml. Those whose Latin is a little rusty may find helpful the grate ever more functions per unit volume explains English translation at http://www.17centurymaths.com/con- why many watches are becoming multipurpose tents/huygenscontents.html. Also, to hear native Dutch information appliances. Wristwatches that are also speakers pronounce “Huygens” (and, as a bonus, “van PDAs, infrared remote controls, pagers, radios, Leeuwenhoek”), see http://frank.harvard.edu/~paulh/misc/pics/huygens_96.mp3. TVs, walkie-talkies and MP3 players have all We are grateful to Prof. Paul Horowitz of Harvard University appeared on the market at one time or another. for providing this valuable service. The primary constraint on adding even more fea- [10] Mark V. Headrick, “The Origin and Evolution of the Anchor tures is the power consumed by all of these func- Clock Escapement,” IEEE Control Systems Magazine, vol. 22, tions. The low-power tradition that Eric Vittoz no. 2, Apr. 2002, pp. 41-52. Also see http://www. geoci- ties.com/mvhw/ anchor.html. established will only strengthen as engineers strug- [11] George Biddell Airy, “On the Disturbances of Pendulums and gle with the constrained power budgets of a wrist- Balances, and on the Theory of Escapements,” Transactions watch form factor. of the Cambridge Philosophical Society, Vol. III, Part I (1830): And for those consumers who are obsessed pp. 105-128, Plate 2. The connection of his analysis to that with accurate time, the ability to communicate of modern oscillators is evident in the oscillator phase noise analysis in [28], which describes the benefits of properly- with GPS satellites can endow a watch today with timed impulsive energy restoration. The Colpitts oscillator traceability to an atomic standard. One can only and pendulum-escapements share this property of impulsive hope that it would maintain a better accuracy than restoration. that lobby clock Max Forrer’s wristwatch bested in [12] Alexander V. Roup et al., “Limit Cycle Analysis of the Verge 1968. and Foliot Clock Escapement Using Impulsive Differential Equations and Poincaré Maps,” Proceedings of the American Control Conference, June 2001, pp.3245-3250. Acknowledgements [13] Dava Sobel, Longitude: The True Story of a Lone Genius Who The author is grateful to Byron Blanchard for calling Solved the Greatest Scientific Problem of His Time, Penguin, attention to Airy’s analysis of the escapement; to Jim New York, 1995. Also see the PBS dramatization based on Williams of Linear Technology for relating his expe- Sobel’s book, Lost at Sea: The Search for Longitude. [14] Jacques Curie and Pierre Curie, “Développement, par riences with the Accutron; and to our polymath edi- pression, de l’électricité polaire dans les cristaux hémiè- tor, Mary Lanzerotti, for her literary and technical dres à faces inclinées” [Development, by pressure, of elec- inspirations. trostatic polarization in hemihedral crystals with inclined

48 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 49

TECHNICAL LITERATURE

faces], Comptes Rendus de l’A- room/press_kit/ pr_003.htm; retrieved authored a “Best Student Paper” at cadémie des Sciences, vol.91, 1880, 11 May 2008. ISSCC, was awarded the Best pp. 294–295. [26] Juergen H. Staudte, “Subminiature [15] Gabriel Lippmann, “Sur le principe de Quartz Tuning Fork Resonator,” Pro- Paper prize at CICC, and is a la conservation de l’électricité, ou sec- ceedings of the IEEE Frequency Con- Packard Foundation Fellowship ond principe de la théorie des trol Symposium, 1973, pp. 50-54. recipient. phénomènes électriques” [On the [27] Juergen H. Staudte, “Microresonator He is an IEEE Distinguished principle of conservation of electric of Tuning Fork Configuration,” U.S. Lecturer of the Solid-State Cir- charge, or a second principle of the Patent 3683213, filed 9 March 1971, theory of electric phenomena], issued 8 August 1972. cuits Society. He holds 43 U.S. Comptes Rendus de l'Académie des [28] Ali Hajimiri and Thomas H. Lee, “A patents and authored “The Sciences, vol. 92, 1881, pp.1049-1051. general theory of phase noise in elec- Design of CMOS Radio-Frequen- [16] Paul Langevin, “Procédé et appareils trical oscillators,” IEEE J. Solid-State cy Integrated Circuits” (now in its d’émission et de réception des ondes Circuits, vol. 33, Feb. 1998, pp. 179- second edition), and “Planar élastiques sous-marines à l’aide des 194. The conditions for minimally dis- propriétés piézo-électriques du turbing an electrical oscillator’s phase Microwave Engineering”, both quartz” [Method and apparatus for the are precisely analogous to those with Cambridge University Press. transmission and reception of under- approximately satisfied by the anchor He is a co-author of four addi- water acoustic waves, using the escapement (and other resonator- tional books on RF circuit design. piezoelectric properties of quartz], escapement combinations well). He is a founder of Matrix Semi- French Patent 505703, filed 17 Sep- tember 1918, issued 5 August 1920. conductor (now part of Sandisk) [17] Walter G. Cady, “Piezo Electric Res- About the Author and ZeroG Wireless. onator,” U.S. Patent 1450246, filed 28 Thomas H. Lee January 1920, issued 3 April 1923. received the S.B., [18] Walter G. Cady, “Method of Maintain- S.M. and Sc.D. ARC Electrical & Mechanical ing Electric Currents of Constant Fre- quency,” U.S. Patent 1472583, filed 28 degrees in electrical May 1921, issued 30 October 1923. engineering, all from in Brooklyn NY needs an [19] George W. Pierce, “Electrical System,” the Massachusetts U.S. Patent 1789496, filed 25 February Institute of Technology in 1983, 1924, issued 20 January 1931. 1985, and 1990, respectively. Electrical Engineer for high voltage [20] “Accutron: Max Hetzel, the Man behind the Invention,” http://mem- He joined Analog Devices in bers.aol.com/msaccutron/, retrieved 1990 where he was primarily power systems for switchgears, 12 May 2008. Also see http://mem- engaged in the design of high- bers.iinet.net.au/~fotoplot speed clock recovery devices. In transformers, substations and /acctech214.htm. 1992, he joined Inc. in [21] “Accutron 218 Service Manual,” Bulo- va Watch Company, 1968. Retrieved Mountain View, CA where he on 13 May 2008 from http://www. developed high-speed analog cir- protective relay control, master’s archive.org/download/Accutron218Se cuitry for 500 megabyte/s CMOS rviceManual/Accutron218ServiceMan- DRAMs. degree in Electrical Engineering ual.pdf He has also contributed to the [22] Max Hetzel, “Electric Timepiece,” U.S. Patent 2929196; “Electric Timepiece,” development of PLLs in the Stron- U.S. Patent 2949727; and “Electrical gARM, Alpha and AMD K6/K7/K8 + 2 years experience (or its Timepiece,” U.S. Patent 2960817, microprocessors. Since 1994, he issued on 22 March 1960, 23 August has been a Professor of Electrical equivalent) for our field 1960, and 22 November 1960, respec- Engineering at Stanford Universi- tively. ty where his research focus has [23] Bob Johnstone, We Were Burning; construction operations. Japanese Entrepreneurs and the Forg- been on gigahertz-speed wireline ing of the Electronic Age, Basic Books, and wireless integrated circuits Westview Press, Boulder, Colorado, built in conventional silicon tech- Competitive salary, fax resume to 1999. nologies, particularly CMOS. [24] Eric A. Vittoz, “Electronic Watch and He has twice received the “Best Low-Power Circuits,” this issue. 718-349-7529. [25] http://www.intel.com/museum/news- Paper” award at the ISSCC, co-

Summer 2008 IEEE SSCS NEWS 49 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 50

TECHNICAL LITERATURE History of the Development of Swiss Watch Microprocessors Christian Piguet, Member, IEEE, CSEM, Neuchâtel, Switzerland

Abstract tronique Horloger) to discover what a microprocessor The history of watch microprocessors is very interest- was [5] and to evaluate if we could design such a ing; on one hand microprocessors today are very component. In 1978, a working group called « Proces- well-known and widely used in most personal com- sor Group » is started with people from CEH (C. puters, PDA and self-phones, and on the other hand, Piguet, J-F. Perotto), University of Neuchâtel (J-J. Mon- microprocessors for electronic watches are very dif- baron, N. Péguiron), EPFL (E. Sanchez, A. Stauffer) ferent from those used in personal computers. So the and from some Swiss watch companies (J-P. Watten- history of the evolution of watch microprocessors has hofer, Asulab). The goal of this Processor Group was been very different compared to the history of gener- to propose many different watch microprocessor al purpose microprocessors. In addition, this history architectures, aiming at very low power, and to com- of watch microprocessors is a very “Swiss” or pare them. This work produced many original ideas “Neuchâtel” story, a completely unknown story, and and microprocessor architectures, as it is reported in consequently an interesting piece for contributing to many publications [6-12]. The proposed microproces- the history of sciences and techniques. sors are very different form the conventional architec- tures due to the requirements in terms of power con- Index Terms sumption. First, the proposed architectures are very Microprocessors, electronic watches, integrated cir- simple, and with less hardware, one can save some cuits, CMOS, history of sciences and techniques. power. By the way, we were very surprised to see that conventional 8-bit Intel microprocessors have to I. Introduction execute many instructions even for very simple tasks, The first microprocessor, called 4004, has been such a +1 in seconds register, +1 in minutes register designed and fabricated by Intel in 1971 [1-4]. But in case of overflow, +1 in hours register and so on. some time was necessary to see this new component Such a task required hundreds of executed instruc- go through Atlantic Ocean, also perhaps because Intel tions, with a large penalty in power consumption. has not fully understood what they have invented! In Our conclusion was that such microprocessors were 1974, microprocessors became a hot topic in Europe, too complicated for a watch microprocessor. resulting in many conferences and seminars. It is not We were influenced by the work of Prof. Daniel sure that speakers have really understood what they Mange at EPFL on Binary Decision Machines (BDM) were speaking about, and it was difficult to find in [13-15]. Such a machine has basically only two dif- their talks the simple and final truth, i.e. a micro- ferent instructions, i.e. an if or a test instruction and processor was a very simple computer on a single a do instruction. The test instruction allows testing chip! It was nevertheless sure that non scientific peo- an input through the test multiplexer located at the ple did not understand a bit of microprocessors; to bottom of Fig. 1. If the input value is “1,” the binary announce a Workshop at EPFL, Switzerland, about machine will execute a branch (or a jump), other- this topic, newspapers have written: “the arrival of wise the next instruction will be executed. The other micro-compressors”! During such conferences, people do instruction allows executing an operation, i.e. to asked us frequently if microprocessors could be use- send a control word to an execution unit not shown ful for electronic watches. The answer was always: in Fig. 1. The instructions or the program are mem- “no, for what purpose?” It was true that at the time, orized in a memory, which is generally a Read Only electronic watch circuits were simply a quartz oscilla- Memory (ROM). The Program Counter (PC) is incre- tor and a divider chain to produce a 1 Hz signal driv- mented through a +1 incrementer (Fig. 1). The stack ing a step motor. A microprocessor was not required allows implementing subroutines by memorizing the for such a task. The second reason was typically return address on top of this stack. The binary deci- Swiss: a very big tradition of secrecy in the Swiss sion machines are in fact a “bridge” between watch industry. Boolean logic and very simple microprocessors. In our case, they were very interesting for their per- First Work about Watch Microprocessors formances in low power consumption due to their In 1975, at Neuchâtel, we were already thinking that simplicity. Instead of having hundreds of instructions a microprocessor could be very useful for a watch. A executed for simple tasks, we will have only tens of very small project was initiated at CEH (Centre Elec- instructions.

50 IEEE SSCS NEWS © 2008 IEEE Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 51

TECHNICAL LITERATURE

Intel 8048. Most of our architectures could be imple- mented with about 20’000 transistors. But we have to say that 20’000 transistors in a 6 micron technology used at that time was about 50 mm2 of silicon area, a very big chip.

The First Watch Microprocessors After these more or less theoretical results, our future was to face reality. The CEH, as leader of this “Processor Group,” was asked by several watch cus- tomers to develop electronic watch circuits compris- ing watch microprocessors. Several circuit develop- Fig. 1. Binary Decision Machine (BDM) ments were started more or less successfully. The first So we designed our first watch microprocessors problem we have to face was the development time. along the principles of binary decision machines. A Suddenly, processor–based watch circuits reach very nice characteristic of these first watch micro- 20’000 transistors including embedded memories, processors designed in 1979 and 1980 [6-12] was an while previous processor-less circuits were around instruction format as a single long word, unlike con- 2’000 transistors. So the development time was large- ventional microprocessors of that time that present ly under-estimated, not so much for the circuit archi- multi-bytes instructions. This multi-bytes format was tecture and detailed transistor circuits, but for the due to the memory organization in bytes. design of the layout that was still designed fully man- The single word instruction format has been by the ually. So 50 mm2 of layout was really a huge task. In way rediscovered in 1981 for RISC machines (Berke- fact, we hit a complexity barrier with the available ley and Stanford) that also take the opposite tack of CAD tools we have at that time. At debriefing time, existing complex CISC machines and result in simpler we try to estimate and to compute layout productivi- microprocessors. Alternative to complexity was obvi- ty, that was a surprising low 5 to 10 transistors per ously simplicity; single word instruction format, less day, but it was the case for any chip in any company instructions, instructions executed in one clock cycle, at that time. So our problem was only under-estima- load/store architectures, hardware control unit. This tion of the effort. single word instruction format was indeed the format A first CEH project, called Silvermoon, was used for the first big computers at the end of World stopped, partially due to big delay and cost increase, War II. So for these watch microprocessors, we have but also due to the fact this circuit was designed for a rediscovered single word instruction format and watch with a digital display. And finally, Swiss watch therefore RISC machines before the RISC revolution makers preferred to have analog displays even for coming from Berkeley and Stanford. electronic watches, unlike inexpensive Japanese Analysis has shown that the number of clock watches. This story could be compared to the cathe- cycles for watch software embedded in our watch dral Sagrada Famila in Barcelona, which is not yet fin- microprocessors was about 100 clocks. For an Intel ished, facing also a complexity barrier 125 years ago 8048 microprocessor, it was about 2’000 clock cycles when it was started. The design of these watch micro- for the same watch program. These watch micro- processors were too complex for the CAD tools we processor architectures have been presented in [12]. have at that time, and we did not search for an adapt- Four of these architectures have been designed by ed design methodology to compensate for these tools four members of the “Processor Group,” and they are weaknesses. compared in the paper. These four architectures have A second project aimed at developing what is the 12 to 18-bit single word instructions and instruction first CEH watch microprocessor, called Combo [16, sets containing 6 to 20 different instructions (they 17], realized for the Delirium Tremens watch of ETA were really RISC or Reduced Instruction Set Comput- (Swatch Group). The main architect was J-F. Perotto ers). Some of these architectures perform the incre- (CEH). The instructions were 16-bit wide, data 7-bit mentation (+1) in software and therefore does not wide (4 bits for BCD coded units and 3 bits for the require a hardware incrementer. The main character- tens 0-5). The instruction set was very small and con- istic of these architectures is the very small number tained only 12 instructions. The ROM memory was of executed instructions for executing a watch pro- storing 800 instructions and data memory (SRAM) 16 gram, from 28 (one clock per instruction) to 252 (sev- words of 7 bits. The processor core was about 2'000 eral clocks per instruction). As consumed energy is MOS, but the complete circuit including memories proportional to the number of executed clocks, the and numerous watch peripheral circuits (LCD driver, most energy efficient architecture we have presented step motor driver, time base) was about 20'000 tran- in [12] was about 70 times more efficient than the sistors (Fig. 2).

Summer 2008 IEEE SSCS NEWS 51 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 52

TECHNICAL LITERATURE

and Texas Instruments [19]. Twenty years later, Intel chairman Gordon Moore still wore his ancient Microna watch (my $30 million watch, he called it) to remind him of that lesson. So Intel leaves this market very rapidly. The explosive rise and fall of the digital watch mar- ket (the same for calculators) was largely due to a brilliant but dangerous strategy of Texas Instruments. TI was a risk-taking, rich company, ready to lower prices of TI electronic watches to kill its competitors. But, ultimately, TI found itself with lines of watches whose prices had been driven so low by the fierce competition that they were not the giant profit gener- Fig. 2. The first watch microprocessor called Combo in ators they had been expected to be. Worse, the mar- 1982 ket was wide open to those survivors with low labor The CEH microelectronic technology used was a 6 costs, i.e. the Japanese Seiko and . Those firms micron CMOS. The circuit was about 40 mm2. Supply soon did to TI what TI had done to its American com- voltage was very low at 1.5 Volt and power con- petitors [19] and TI was forced to leave this market. sumption was already very small to 0.4 μA at 16 kHz. A second watch microprocessor called Beta 32 was The “Punch” Project (1990-1993) designed by Michael Ansorge and co-workers at PUNCH is the name of the watch microprocessor CSEM (CSEM was founded in 1984 joining CEH designed by CSEM in early nineties with C. Piguet as together with other labs). The instruction set of this project leader. Swiss watch makers, shareholders of machine was 20 instructions of 17 bits and data words CSEM, have decided to have a common Swiss watch of 6 bits. The complete circuit was about 24'000 MOS microprocessor that can be used for every Swiss elec- [18]. Subroutines were implemented in software, to tronic watch. It is obviously more economical for time remove some hundreds of transistors. The used tech- to market reason to re-use the same watch micro- nology was a CSEM 4 micron technology. So the sili- processor rather than to re-design a new micro- con area was only 20 mm2 and its power consump- processor for each new watch. Another strategic rea- tion still 0.4 mA at 1.5 Volt. Many other watch micro- son was to have a Swiss microprocessor instead to processors for various electronic watches were buy some Japanese or US microprocessors. Swatch designed in the following years, such as a chrono- Group (it was called SMH at this time) has decided to graph for ETA with 35’000 transistors [20], a pager be completely independent by not buying Japanese watch circuit [21] and some others with circuits up to microprocessors for its Swiss watches. 100'000 MOS. A research project was defined at CSEM The choice of the Punch architecture was to use a in 1988 for studying parallelism and multiprocessors multitask principle shown in Fig. 3 [24-28]. in watch circuits, as it is known that larger parallelism allows a supply voltage reduction for a same through- put and consequently a power consumption reduc- tion [22-24]. Competitors [9] at that time were many companies such as AMI, Eurosil, Motorola, Intersil, Hewlett Packard, Intel, Mitsubishi, National, RCA and Sharp. They proposed watch microprocessors with power consumption in the range of 1 to 50 μA at 1.5 Volt, most of them consuming more than 4 or 5 μA, so 10 to 100 times what we have obtained at CEH/CSEM. Electronic and digital watches market has grown sig- nificantly from 1975. This market was very profitable during some years but was hit by a deep depression [19]. In January 1976, TI was selling a LED watch with 5 functions for $19.95. For Christmas 1976, a similar Fig. 3. Multitask Principle watch was $9.95. By 1977, the price of digital watch- es had fallen from more than 100$ to less than $10 in just two years. Profits evaporated. Once again as with It allows the definition of several independent tasks calculators, there were only three real survivors: that will be executed in pseudo parallelism. Fig. 3 again, two Japanese competitors, Casio and Seiko, describes four independent tasks in a watch program,

52 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 53

TECHNICAL LITERATURE

i.e. time update every second, chronograph up date Swiss watch makers. This was a limitation to the every 100 Hz, crown management to select another CSEM freedom to license a low power microproces- mode and finally step motor management. The latter sor to its customers. could be very long if minutes hands have to move for a 60 minutes range. The originality of the Punch microprocessor consists in the fact that these inde- pendent tasks are executed in pseudo parallelism, i.e. by executing sequentially one instruction of each task. This is interesting for reactivity: as soon as a task is started, first instructions of this task are executed, and it is not necessary to wait for the termination of an already running task. In addition, it is possible to define one to four tasks and therefore to define also a conventional monotask microprocessor if “one” task is selected at starting time. The Punch microprocessor presents 103 assembly instructions of 18 bits with 8 bits data. The core itself has 11'000 MOS and the com- plete watch circuit with embedded memories about 150'000 MOS. Its figure of merit in MIPS/watt (MIPS: Fig. 5. Two Timer Watch from Tissot with a Punch-based circuit millions of instructions executed per second) is 800 MIPS/watt. Fig. 4 shows the test chip of this Punch- based circuit designed mainly by J-F. Perotto and C. This microprocessor was really a successful Lamothe. It has been used for many Swatch electron- design. Powerful instructions, gated clocks (not to ic watches such as the Tissot Two Timer (Fig. 5). clock blocks that have nothing to do), RISC-like machine, a 3-stage pipeline, one clock per instruc- tion instead of about 15 for old microprocessors, these efficient techniques have been applied to this CoolRISC. These techniques were simple and known, but it was the first time that they were applied to so simple microprocessors. The figure of merit of the CoolRISC is 100'000 MIPS/watt for the core only (about 20'000 transistors) in a 0.18 micron technology. This is about 10 or 15 times better than most of 8-bit microprocessors available on the market.

Fig. 4. Test chip of the Punch microprocessor (1993)

The CoolRISC In 1994-1995, CSEM has developed a new micro- processor called “CoolRISC” [29-32]. The main archi- tects were J-M. Masgonty, C. Arm and S. Durand. The goal was to design a microprocessor with the lowest possible power consumption by using low power techniques such as pipelining, gated clocks, etc… This microprocessor had about 20’000 transistors (Fig. 6) and it consumed about five times less than the Punch. This new development was motivated by the need to lower even more the power consumption and also by the fact that the Punch was proprietary of the Fig. 6. Test chip of the CoolRISC microprocessor (1995)

Summer 2008 IEEE SSCS NEWS 53 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 54

TECHNICAL LITERATURE

Conclusion [13] D. Mange, « Arbres de décision pour systèmes logiques câblés Some papers mentioned the huge impact of the ou programmés », Bull. ASE/UCS, Vol. 69, No 22, 1979, pp. 1238-1243. watch industry on the development of the microelec- [14] D. Mange, « Compteurs microprogrammés,” Bull. ASE/UCS, Vol. tronics in Switzerland [33] after the invention in 70, No 19, 1979, pp. 1087-1095. Switzerland too of the first quartz watch [35]. One can [15] E. Cerny, D. Mange, E. Sanchez,” Synthesis of Minimal Binary say the same thing for the microprocessors in Decision Trees,” IEEE Trans. Comput. Vol. C-28, No 7, July Switzerland, as the first Swiss microprocessor has 1979, pp. 472-482. [16] J-F. Perotto, “Circuit horloger à structure de processeur,” Bull. been developed for watch circuits, as shown in this ASE/UCS, Vol. 75, No 11, 1984, pp. 620-624. paper, largely before they have been used for many [17] J-F. Perotto, “Circuit horloger à structure de processeur,” other different applications also requiring very low CIC’84, Session VA, Comm. No 4, Besançon, France, 1984, pp. power consumption. Nevertheless, new challenges 91-94 still do exist [34], but the development of low power [18] M. Ansorge et al. "Design Methodology for Low-Power Full Custom Microprocessors,” EUROMICRO '86, Sept. 15-18, 1986, microprocessors remains and will remain a must for Venice, Italy. the design of low power circuits used in many [19] M. S. Malone, "The Microprocessor. A Biography,” 1995, portable products like watches but also hearing aids, Springer-Verlag, New-York, Inc., published by Telos, Santa- self-phones, wireless sensor networks and so on. Clara, CA, p. 133. CSEM continues to develop microprocessors, more [20] E. Favre, “Le chronographe-bracelet analogique le plus complet du mode,” CEC’88, Session 4, 23-24 sept. 1988, Genève, pp. specialized such as Digital Signal Processors (DSP) 101-106. like MACGIC [36, 37] or icyflex (DSP + 32-bit micro- [21] P-A. Meister, V. Theodoridis, « Swatch Pager », CEC’92, Comm. processor). 32, 29-30 octobre 1992, Lausanne, pp. 155-158. It is also interesting to appreciate the computa- [22] J-F. Perotto, C. Piguet, C. Voirol, "One-Chip Low-Power Multi- tion power provided worldwide by all the electron- processor,” Short Paper EUROMICRO'89, pp. 129-132, 1989. [23] J-F. Perotto, C. Piguet, "Circuits Horlogers à plusieurs ic watches. Recently, the following question was Processeurs,” 62e Congrès SSC, Session 3, Comm. 13, pp. 73- asked: what is the largest unused computation 76, 8-9 juin 1990, Yverdon. power in the world? D. Lando, Lucent Technologies, [24] J-F. Perotto, C. Piguet, A. Kägi, "Multiprocess Architectures for answered that it was the totality of electronic watch- Watch Applications,” Short Paper EUROMICRO'90, Amsterdam, es [38]. According Lando, his Lab is seriously think- August 27-30, 1990. [25] J-F Perotto et al. "Multitask Micropower CMOS Microprocessor,” ing how to use this huge unused computation ESSCIRC'93, 22-24 septembre 1993, Sevilla, Spain power!! [26] J-F Perotto et al. "An 8-bit Multitask Micropower RISC Core," JSSC Vol. 29, No 8, August 1994, pp. 986-991. REFERENCES [27] J-F Perotto, C. Lamothe, C. Piguet, "Microprocesseur Horloger [1] M. S. Malone, "The Microprocessor. A Biography," 1995, Multitâches,” CEC'94, 18-19 Oct. 1994, Besançon, France, pp. Springer-Verlag, New-York, Inc., published by Telos, Santa- 129-132. Clara, CA. [28] J-F Perotto, C. Piguet, "Le microprocesseur Punch multitâches,” [2] F. Faggin et al. "The History of the 4004,” IEEE Micro, Decem- TechnoForum 1-2/1996, janvier 1996, pp. 19-20. ber 1996, pp. 10-20. [29] C. Piguet et al. "Low-Power Embedded Microprocessor [3] "Microprocessor Pioneers Reminisce,” Microprocessor Report, Design,” Proc. EUROMICRO'96, pp. 600-605, September 2-5, Vol. 5 No 24, December 26, 1991. 1996, Prague [4] C. Piguet, H. Hügli, « Du zéro à l’ordinateur, une brève histoire [30] J-M. Masgonty et al. "Low-Power Design of an Embedded du calcul », PPUR, février 2004 Microprocessor,” Proc. ESSCIRC'96, pp. 272-275, Sept. 16-19, [5] C. Piguet et al. "Le séquenceur d'un microprocesseur,” Bull. 1996, Neuchâtel, Suisse. ASE/UCS, Vol. 70, No 3, 10 février 1979, pp. 126-132. [31] C. Piguet et al. "Low-Power Low-Voltage Microcontrollers: [6] J-J. Monbaron et al. “Conception d’un microprocesseur hor- Architectures and Performances Comparison,” LPLV Workshop loger,” Proc. Journées d'Electronique 1979, EPFL, Lausanne, at ESSCIRC'96, Sept. 20, 1996, Neuchâtel, Switzerland. Suisse, 1979, Conf. F3, pp. 207-216. [32] C. Piguet et al. "Low-Power Design of 8-bit Embedded Cool- [7] C. Piguet et al. "Conception d'un microprocesseur horloger,” RISC Microcontroller Cores,” IEEE JSSC, Vol. 32, No 7, July Congrès International de Chronométrie, pp. 271-278, Genève, 1997, pp. 1067-1078 1979. [33] C. Piguet, "L'Horlogerie, moteur de la microélectronique en [8] E. Sanchez, A. Stauffer, « Horloge microprogrammée (MICROM) Suisse,” CEC, Bienne, 17-18 octobre 1996, pp. 45-48. », CIC’79, Genève, pp. 279-284. [34] C. Piguet, “Défis de la microélectronique horlogère,” CEC, [9] J. C. Martin, C. Piguet, J. F. Perotto, "A Review of Micropro- Genève, 28-29 Septembre 2000. grammed Wrist Watches,” EUROCON'80, pp. 626-631, 1980. [35] C. Piguet, “The First Quartz Electronic Watch,” invited talk at [10] C. Piguet et al. “Revue des circuits processeurs pour montres,” PATMOS, Sevilla, Spain, September 11-13, 2002. 55e Congrès SSC, Berne, 10-11 octobre 1980, Comm. No 1, pp. [36] F. Rampogna, J-M. Masgonty, C. Arm, P-D. Pfister, P. Volet, 59-66. C. Piguet, “ Macgic, a Low-Power, re-configurable DSP,” [11] J-J. Monbaron, N. Péguiron, “Conception de micro- Chapter 4 in “Low-Power Processors and Systems on processeurs spécialisés: exemple du microprocesseur hor- Chips,” CRC Taylor & Francis, November 2005, ISBN 0- loger,” Bull. ASE/UCS, Vol. 71, No 11, 7 juin 1980, pp. 558- 8493-6700-X 562. [37] C. Arm et al. “Low-Power Quad MAC 170 μW/MHz 1.0 V [12] C. Piguet et al. "Microcomputer Design for Digital Watches,” MACGIC DSP Core,” ESSCIRC 2006, Sept. 19-22, 2006, Mon- EUROMICRO 81, September 8-10, 1981, pp. 431-442, Paris, treux, Switzerland. France. [38] Industry Watch No 12/96, page 17.

54 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 55

TECHNICAL LITERATURE

About the Author Switzerland and in the ALaRI Master at the University Christian Piguet (M’03) received the M. of Lugano, Switzerland. He is also a lecturer for many S. and Ph. D. degrees in electrical engi- postgraduates courses in low-power design. neering from the Ecole Polytechnique Christian Piguet holds about 30 patents in digital Fédérale de Lausanne, respectively in design, microprocessors and watch systems. He is 1974 and 1981. He joined the Centre author and co-author of more than 200 publications in Electronique Horloger S.A., Neuchâtel, technical journals and of books and book chapters in Switzerland, in 1974. He is now Senior Manager at the low-power digital design. He has served as reviewer CSEM Centre Suisse d'Electronique et de Microtech- for many technical journals. He is member of steering nique S.A. He is presently involved in the design of and program committees of numerous conferences low-power low-voltage integrated circuits in CMOS and has served as Program Chairman of PATMOS'95, technology, including design methodologies, micro- co-chairman at FTFC’99, Chairman of the ACiD’2001, processor architectures and logic design. He is Pro- Program Co-Chair of VLSI-SOC 2001 and Program Co- fessor at the Ecole Polytechnique Fédérale Lausanne Chair of ISLPED 2002. He has been Low-Power Topic (EPFL), Switzerland, lectures in VLSI and micro- Chair at DATE 2004-2006. He is Special Session Chair processor design at the University of Neuchâtel, at DATE 2007.

Summer 2008 IEEE SSCS NEWS 55 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 56

TECHNICAL LITERATURE Eric Vittoz and the Strong Impact of Weak Inversion Circuits

Yannis Tsividis, Columbia University, [email protected]

y the late 1960s, several people had noticed that important work since, in a large variety of circuits and the so-called “threshold” of MOS transistors was systems, including sensors and bio-inspired circuits. But Bnot a threshold after all, and that drain current con- such work cannot be summarized, or even adequately tinues to flow even when the gate-source voltage is low- commented on, in this limited space. So, in this article, I ered below that threshold value. This “leakage” current will focus on his innovative work on weak inversion was found to be due to diffusion in a weakly inverted devices and circuit building blocks. Rather than limit this channel, and to depend exponentially on the gate voltage article to praising Eric, I will also take this opportunity to [1-3]. Investigations were initiated, to see if the impact of speculate on what it is that makes it possible for a per- this current can be eliminated or at least limited, particu- son to be as creative and impactful as Eric has been. larly in dynamic memories. Eric Vittoz got to thinking And, being an educator, I will not resist the temptation instead how this current can be used in analog circuits. to also comment on what Eric’s example may suggest, Ten years after Eric first measured devices in this as to how we should be educating our students. “weak inversion” region, the now classic Vittoz and When you first meet Eric, what strikes you is his ener- Fellrath paper [4] came out. In it, we learned what Eric gy. If you attend one of his lectures, you better be alert, and his colleagues had been up to all this time: They or you will quickly lose the thread. His enthusiasm shines had meticulously characterized devices operating in the through, and is contagious. He has persistently published weak inversion region, and had developed models for and publicized his results in conferences, journals, work- them; they had also developed a variety of circuit build- shops, short courses, and tutorial book chapters. This ing blocks that not only could operate in this region, enthusiasm, combined with other qualities, can make but actually exploited the exponential characteristics in sparks fly. This brings us to Eric’s other qualities. it. Their killer application was the electronic watch, Eric is deep. He can take a problem and dissect it which stands to reason, given that they were working until he gets to the root of it. A case in point is his at CEH, the Centre Electronique Horloger (the research meticulous analysis of the clock feedthrough problem arm of the Swiss watch industry) in Switzerland. The [5, 6]; this work has been very influential in the design first wristwatch containing weak inversion MOS circuits of a variety of circuits which use sampling, such as A/D appeared on the market in 1975. But it was clear from converters and switched-capacitor filters. Closely relat- that terrific paper that the techniques described in it did ed to the above quality is Eric’s ability to get down to not have to be limited to the electronic watch; in fact, fundamentals, and use them to understand and opti- by now they have been used in a score of low-voltage, mize circuit performance. His work on oscillators is an micropower applications, such as biomedical devices, example of this [7]. But for me personally, the work that hearing aids, pagers, sensor interfaces, motion detectors had the most impact in this respect was his contribu- for pointing devices, and a variety of portable instru- tions to the study of fundamental limits of analog cir- ments. That paper taught the design community how to cuits [8-11]. His formulas and plots in that work, togeth- design with low voltage (1 V, three decades ago!) and er with further discussions with him, made it abundant- low current (nanoamps!). There weren’t many analog ly clear to me why the power-speed tradeoff is funda- MOS circuits at the time to compare this feat to, but the mentally coupled to dynamic range, and how this few that existed typically operated with supplies from tradeoff fares in this respect in comparison to digital. My +-5 V to +-15 V, with currents typically in the milliamps. group at Columbia has benefited from these results – The proposition to design analog circuits biased in they have been our guide in our search for circuits with weak inversion was such a drastic departure from the dynamic power dissipation, in which the circuits dissi- norm, that at the time many doubted that this could pate each time only the power needed for the be done reliably. In fact, for many years it was not signal/interference situation at hand [12]. I even ended uncommon after a technical presentation discussing up co-authoring a detailed chapter with Eric on speed- circuits operating in weak inversion, for someone in power-dynamic range tradeoffs (and you can be sure the audience to question whether such operation is that Eric did most of the work for it!) [11]. Eric’s papers reliable, unaware of the fact that the circuits in his/her also exemplify his ability to maintain a bird’s eye view own wristwatch were operating in weak inversion! – to distill what is important, and to not lose the forest Eric had done important work on micropower circuits for the trees [10]. This quality is something that comes, before that time, notably on frequency dividers, using of course, with experience. It is notably absent from both MOS and bipolar transistors. Similarly, he did most students; giving them to read Eric’s papers helps.

56 IEEE SSCS NEWS © 2008 IEEE Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 57

TECHNICAL LITERATURE

Once he has all the pieces figured out, Eric is excel- about. It is very difficult, if not impossible, to find such lent at combining pieces together to do something a place today. Companies rely to a large extent on uni- useful; in other words, he is creative. This quality is versities to do the research. But if you look at what exemplified in his weak inversion work already men- type of work they encourage and fund, it is very often tioned. There are numerous other examples in his too constrained and not far-reaching enough. Many of papers and articles, and I will refer the reader to Eric’s us in this field decry this, and consider its negative own account of them. effects obvious; apparently, they are not obvious to all. Another quality of Eric’s is his breadth. The center of I hope we will be proven wrong. his activities has been circuits, but he has not hesitated Coming back to Eric Vittoz – he did, indeed, find to delve into device modeling in order to support his himself in the right place at the right time. But, as circuit activity. This was already evident in the classic Louis Pasteur has noted, “chance favors the prepared 1977 paper [4] mentioned above, which contains an mind”. Indeed, Eric’s mind was already prepared, and Ebers-Moll-like symmetric model for the MOSFET, and I do not mean just by biology. I believe a key to which has evolved into the EKV model for computer- explaining his career and achievements is his having aided design [13] (“V” of course standing for “Vittoz”). been fortunate enough to tinker as a child. In our To my knowledge, this was the first computationally field tinkering develops intuition, motivates further efficient model that achieved continuous characteris- exposure, and gives tinkerers unique satisfaction, tics, as well as continuous derivatives, as one goes confirming that electronics is ideal for them. I am sure from weak, through moderate, to strong inversion; and that Eric and many others of our generation, who it achieved this not just for the current, but for all quan- were lucky enough to have tinkered as children, will tities of interest, such as small-signal conductances, support me in this claim. Sadly, today it is rare to find charges, capacitances, and noise. The model is notable students who have tinkered1. both for the rather small number of parameters on We are fortunate to have Eric Vittoz in our midst, a which it is based, and for its close connection to circuit researcher with a sharp and prepared mind, willing to go design. (I hope circuits-oriented students who ask against odds and consider new possibilities with enthusi- questions like “why do we need to learn semiconduc- asm; a prolific author, eager to share his important results tor devices” are reading this.) Another example of with the rest of the community. His contributions, rather Eric’s breadth, this one in the other direction, is his than wearing out, are becoming even more influential with work on bio-inspired systems and vision sensors [14]. the passage of time, as low voltage and low power become Finally, Eric is both an industrial researcher and an increasingly important in the age of mobile devices. academic. His teaching and research activities as Pro- A note of thanks: I would like to thank Eric for his fessor at EPFL in Lausanne makes this clear, but even contributions to two books for which I served as co- when he is not pursuing those activities, there is always editor; for the pleasure of collaborating with him, albeit a sense of an “academic” curiosity in him; he can value on only one book chapter; and for our many discus- certain research that does not have immediate applica- sions and arguments – he still hasn’t convinced me that tions. Of course, the vast majority of his research did it’s better to use the substrate as a potential reference find applications, and important ones at that. rather than the source in circuit design, but such con- It is true that Eric happened to be in the right place tinuing debates are part of the fun of knowing him. (the research arm of the Swiss watch industry) at the right time (when weak inversion currents revealed REFERENCES themselves). He was working at a place where ideas [1] H. C. Pao and C. T. Sah, “Effects of diffusion current on char- could thrive, where the management allowed people acteristics of metal-oxide (insulator) semiconductor transistors”, Solid-State Electronics, vol. 10, pp. 927-937, 1966. to think and explore new directions without being con- [2] Y. Hayashi and Y. Tarui, “Exponential current in MOS-type stantly limited by scheduled deliverables. I have never devices and deterioration of reverse current in p-n junctions”, been to CEH, but from Eric’s descriptions I gather that Technical Group on Semiconductors and Semiconductor the spirit there must have been somewhat like that at Devices, Institute of Electronics and Communication Engineers Bell Laboratories, which I was fortunate enough to of Japan, Technical Report SSD 67-6, 1967. [3] R. M. Swanson and J.D. Meindl, “Ion-implanted complementa- experience first-hand in the late seventies during my ry MOS transistors in low-voltage circuits”, IEEE Journal of association with them. Although of course the scale Solid-State Circuits, vol. SC-7, pp. 146-153, April 1972. and scope of the two operations was very different, the [4] E. Vittoz and J. Fellrath, “CMOS analog circuits based on weak results that came out of them have something in com- inversion operation”, IEEE Journal of Solid-State Circuits, vol. mon: they speak volumes as to what can happen if SC-12, pp. 224-231, June 1977. [5] E. Vittoz, “Microwatt switched capacitor circuit design”, Electro- capable individuals are allowed to do their thing, under component Science and Technology, vol. 9, pp. 263-273, 1982. a management that understands what research is all [6] G. Wegmann, E. Vittoz, and F. Rahali, “Charge injection in ana- 1 I have described in the February 2008 issue of this Newsletter log MOS switches”, IEEE Journal of Solid-State Circuits, vol. SC- what we are doing at Columbia to turn things around in this 22, pp. 1091-1097, December 1987. respect. Essentially, what we are trying to do is to make students [7] E. Vittoz, M. Degrauwe and S. Bitz, “High-performance crystal tinker, in the hopes that we can make a few more Erics!

Summer 2008 IEEE SSCS NEWS 57 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 58

TECHNICAL LITERATURE

oscillator circuits: theory and applications”, IEEE Journal of Circuits, vol. 30, pp. 660-669, June 1995. Solid-State Circuits, vol. SC-23, pp. 774-783, June 1988. [8] B. J. Hosticka, “Performance comparisons of analog and digital About the Author circuits”, Proceedings of the IEEE, vol. 73, pp. 25-29, January 1985. [9] P. R. Gray and R. Castello, “Performance limitations in switched- Yannis Tsividis received the B.S. degree from the Uni- capacitor circuits”, Chapter 10 in Design of MOS VLSI Circuits versity of Minnesota, Minneapolis in 1972, for Telecommunications, ed. by Y. Tsividis and P. Antognetti, and the M.S. and Ph.D. degrees from the Prentice-Hall, 1985. University of California, Berkeley, in 1973 [10] E. Vittoz, “Future trends of analog in the VLSI environment”, and 1976, respectively. He is Charles Batch- Proceedings of the 1990 IEEE International Symposium on Cir- cuits and Systems, pp. 1372-1375, New Orleans, May 1990. elor Memorial Professor at Columbia Uni- [11] E. Vittoz and Y. Tsividis, "Frequency - Dynamic Range - versity in New York. His research has been Power", Chapter 10 in Tradeoffs in Analog Circuit Design, ed. in analog and mixed-signal MOS integrated circuits at by C. Toumazou, G. Moschytz , and B. Gilbert, Kluwer Acade- the device, circuit, system, and computer simulation mic Publishers, Boston, 2002. level, starting with the first fully-integrated MOS opera- [12] Y. Tsividis, N. Krishnapura, Y. Palaskas, and L. Toth, “Internal- ly varying analog circuits minimize power dissipation”, IEEE tional amplifier in 1975. A Fellow of the IEEE, he has Circuits and Devices Magazine, January 2003, pp. 63-72. received the 1984 Baker Prize Award for the best IEEE [13] C. C. Enz, F. Krummenacher, and E. A. Vittoz, “An analytical MOS publication and the 2007 IEEE Gustav Robert Kirchhoff transistor model valid in all regions of operation and dedicated Award, and was co-recipient of the 2003 ISSCC L. Win- to low-voltage and low-current applications”, Analog Integrated ner Outstanding Paper Award. He received Columbia’s Circuits and Signal Processing, vol. 8, pp. 83-114, July 1995. [14] A. Mortara, E. Vittoz and P. Venier, “A communication scheme Presidential Award for Outstanding Teaching in 2003, for analog VLSI perceptive systems”, IEEE Journal of Solid-State and the IEEE Undergraduate Teaching Award in 2005.

continued from page 6 streams. Smaller companies do not lawyer. I do, however, have experi- not expect academic bodies to typically have this option available ence in IP discussion. I could wax engage deeply in this area. There is to them and as such tend to keep lyrical for several pages on the per- more to be gained by the commer- tight focus. There is another less ils and pitfalls of IP negotiation from cial partner adding their value in obvious reason for this…whatever is a non legalistic perspective. Howev- this field. They usually know their funded is likely to be much more er, my advice to all interested parties markets very well and are usually important to a small company. Their is simple…be realistic! It is com- the best people for the job. attention span is necessarily limited mon for IP generators to over-value by their market(s). Failure to pro- their product. On the other hand, it About the Author vide a useful result (either positive is equally common that IP is under- Tony Harker graduat- or otherwise) can be catastrophic at valued by the intended recipient. In ed from Northumbria both ends of the spectrum but is a symbiotic relationship, it is impor- University (formerly much more apparent at the small end. tant to provide incentives to all par- known as Newcastle ties such that they can see a clear Upon Tyne Polytech- Do You Speak IP? strategic or monetary advantage. nic) with a degree in Mention IP in different circles and Any agreement needs to reflect the Physical Electronics in 1983. Tony one gets varied responses, from ultimate goal of building success. It has held product engineering, glazed expressions of disinterest to is of little use having a cast iron IP design engineering, design centre the wringing of hands and talk of agreement if it takes millions in management, project management IPO. No matter what your opinion, lawyers’ fees and the target market and director level roles at a senior intellectual property is the lifeblood is missed. Sometimes it is worth tak- level with a number of multination- of collaboration and research. Never- ing a step back to figure out the al IC companies. theless, this can be the biggest high level stakes. In addition to representing IEEE source of angst in any negotiation. IP Of equal importance to core IP SSCS as Associate Editor for Europe discussions can run aground for a development is post sales support. and Africa, Tony currently runs Scot- whole variety of reasons including IP by its very nature is transient and land’s Institute for System Level (but certainly not limited to) sole needs to be refreshed and support- Integration (iSLI). This was set up in right to use versus open access, sin- ed to maintain viability. Anyone 1998 by four of Scotland’s leading gle versus multiple use licences, roy- underestimating the resources that universities in order to enhance the alty based agreements based upon this may absorb does so at their industry/academic interface. In his volume sales, one-off costs and own risk. Some of today’s most suc- time at ISLI, Tony has enhanced the inferred rights transfer, indemnifica- cessful IP trading companies have commercial face of the organisation, tion issues and post sales support, to large support groups to help end increasing its international profile name but a few. customers get the most from their and its interaction with the design I am not, nor ever likely to be, a product. Realistically, one should community.

58 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 59

TECHNICAL LITERATURE Advances in Ultra-Low-Voltage Design Joyce Kwong, Anantha P. Chandrakasan, Massachusetts Institute of Technology, [email protected]; [email protected]

Executive Summary imizing energy. For micro-power systems, switched In the near future, a number of systems will be powered capacitor DC-DC converters can be completely integrat- using energy scavenging technologies, enabling exciting ed on-chip to efficiently provide variable supply voltages new applications such as medical monitoring, toxic gas at a wide range of load power levels. sensors and next-generation portable video gadgets. A survey of demonstration systems illustrates the sig- This will require electronic circuits to operate with nificant advances made in recent years related to sub- utmost energy efficiency. The idea of exploiting weak- threshold design. A few examples, among many, inversion operation for low power circuits was pio- include an 18mV Fast Fourier Transform (FFT) proces- neered by Dr. Eric Vittoz in the 1960’s, and has led to sor, a high throughput motion estimation accelerator, a many recent advances in sub-threshold circuit design. 0.2V flash ADC, and a microcontroller with integrated

While exploring aggressive VDD scaling for energy SRAM and DC-DC converter (Fig. 1). Sub-threshold reduction, researchers discovered that the VDD which SRAMs have explored a wide range of bit-cell and minimizes energy consumption of a digital circuit often peripheral circuit designs. These works have addressed lies in the sub-threshold region. However, ultra-low-volt- important issues, such as device variability, through age design must overcome two key challenges: increased new circuits and system architectures. To transition sensitivity to process variation and the reduced ratio of on these concepts to products, it will be critical to develop to off currents in sub-threshold. In scaled technologies, design techniques and CAD tools to encapsulate varia- exponential effects of local variation necessitate statistical tion-aware methodology for ultra-low-voltage design. design approaches and new circuits to aid low-voltage operation. In SRAMs, for example, an 8-transistor cell can significantly improve cell stability, while peripheral assist circuits enable reliable reading and writing. Furthermore, redundancy has proven to be a powerful technique for managing variation in ultra-low-voltage systems. Powering sub-threshold systems requires energy delivery circuits that can efficiently convert a battery sup- ply to low voltages at µW load power levels. Moreover,

since the optimal VDD of a circuit changes with workload and other conditions, the ability to track the optimum is Figure 1: Die micrograph of 65nm sub-threshold microcon- crucial to maximize energy savings. A digital tracking troller. loop can non-invasively sense the energy per clock cycle consumed by a load circuit, and then direct an embed- This Executive Summary is a preview of full cover- age coming in the fall SSCS News. ded DC-DC converter to supply the optimal VDD for min-

Summer 2008 © 2008 IEEE IEEE SSCS NEWS 59 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 60

TECHNICAL LITERATURE Gigasensors for an Attoscope Erik H.M. Heijne, CERN, [email protected]

Executive Summary When elementary particles cross silicon, they leave a small signal which can be used to trace them. Sophis- ticated silicon trackers with close to 109 sensor cells of 40 -100 µm dimensions are now installed in the experiments at CERN, the accelerator laboratory in Geneva, Switzerland. These systems take snapshots at 40 MHz rate, and allow to record every 25 ns the coordinates of hundreds of particles, that emerge from violent interactions between colliding beams. The purpose is to study the properties of matter in the TeV energy range, where energetic quanta interact on the ‘atto’ (10-18 m) length-scale. The gigasensor system has to operate at high speed and in a small volume, with signal processing, tem- porary data storage and logic operations all integrat- ed in chips that are a part of the detectors. It has been essential to employ low-power CMOS circuits, and these, moreover, have to withstand the ionizing radiation inherent to this application. Fig. 1 Layout for the Medipix3 chip of a 55µm pixel cell in Around 1987, Eric Vittoz studied the basic param- a 130 nm CMOS technology by Campbell and his team at eters for the development of the ‘pixel’ particle track- CERN. Preamplifier, shaper and comparators, resp. 1, 2 ing detectors, in collaboration with our team at and 3 form the analog part, while 4-7 are the control logic, CERN. His collaborators Christian Enz and François counters, configuration and arbitration circuits. This pixel Krummenacher then designed the very first prototype has more than 1080 transistors. implementation with a low noise input amplifier in each pixel, operating at low power. Subsequently, Such pixels can process single quanta and are Krummenacher also implemented a clever scheme designed to have connections with their neighbor- for coping with the dark current of the sensor cell, ing cells, which allows analog and logic operations which allows DC connections at very high density: at ns time-scale for distributed events, where a sin- typically > 104 per cm2 . gle incoming X-ray quantum touches several cells The evolution of these particle imagers now simultaneously. results in matrices of 256x256 pixels with >1000 This Executive Summary is a preview of full cover- transistors per pixel, as illustrated in the Fig. 1. age coming in the fall SSCS News.

60 IEEE SSCS NEWS © 2008 IEEE Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 61

TECHNICAL LITERATURE

Summer 2008 IEEE SSCS NEWS 61 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 62

TECHNICAL LITERATURE

62 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 63

TECHNICAL LITERATURE

Summer 2008 IEEE SSCS NEWS 63 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 64

TECHNICAL LITERATURE

64 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 65

TECHNICAL LITERATURE

Summer 2008 IEEE SSCS NEWS 65 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 66

TECHNICAL LITERATURE

66 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 67

TECHNICAL LITERATURE

Summer 2008 IEEE SSCS NEWS 67 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 68

TECHNICAL LITERATURE

68 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 69

TECHNICAL LITERATURE

Summer 2008 IEEE SSCS NEWS 69 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 70

TECHNICAL LITERATURE

70 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 71

PEOPLE Clark Nguyen Presents DL Talk on RF-MEMS in Japan

SCS Distinguished Lecturer candidates for inclusion into a oscillators, frequency domain com- Clark T.-C. Nguyen presented number of future wireless commu- puters, and frequency translators. Sa talk entitled “Integrated nication sub-systems, from cellular When further integrated together Micromechanical Circuits for RF handsets, to PDA’s, to low-power with other micro-scale devices Front-Ends” to a crowd of 150 at networked sensors, to ultra-sensi- (e.g., transistors, micro-ovens, (NDK) in tive radar and jam-resistant com- micro-coolers, atomic cells), sys- Sayama-city, Saitama, Japan on 24 municators designed for hostile tem level benefits for portable April, 2008. environments. Indeed, early start- applications abound, particularly The one and one-half hour lec- ups have already sprouted to take those for which architectural ture and discussion at the compa- advantage of this technology for changes allow a designer to trade ny was part of NDK’s annual engi- timekeeper applications, and the high Q for lower power consump- neer educational program, said timing of this technology seems tion and greater robustness, with NDK Fellow Takeo Oita, the well placed for wireless markets, potentially revolutionary impact. organizer of this year’s Spring 2008 whose requirement for multi-mode This presentation describes the Special Session. “We at NDK have reconfigurability fuels a need for MEMS technologies and attributes always endeavored to keep up on-chip high-Q resonators to pre- most suitable to enabling such an with new technologies, not only vent the cost of the front-end pas- integrated micromechanical circuit quartz crystal but also other mate- sives in a typical handset from technology. rials and technologies representing obviating that of the IC’s. But the Dr. Nguyen, who is a professor at Si-MEMS,” he said. “Since Clark benefits of vibrating RF MEMS UC Berkeley, gave a shorter version and I have had many discussions technology go far beyond mere of this talk at the 2008 IEEE VLSI- about the above areas for many component replacement. In fact, TSA plenary session in Taiwan at years, I decided to arrange this talk the extent of the performance and the invitation of VLSI-TSA confer- to acquaint our engineers with the economic benefits afforded by ence chair, Dr. Clement Wann. Dr. latest RF-MEMS technology in the vibrating RF MEMS devices grows Nguyen presented another DL talk world. I am sure his remarks exponentially as researchers begin at National Semiconductor in Santa helped our engineers broaden to perceive them more as building Clara, CA on 8 May. their horizons.” blocks than as stand-alone devices. Further information about NDK In particular, when integrated into may be found at www.ndk.com Abstract: micromechanical circuits, in which /en/index.html. More information Recent advances in vibrating RF vibrating mechanical links are con- about the SSCS Distinguished Lectur- MEMS technology that yield on- nected into larger, more general er Program may be found at chip resonators with Q’s over networks, previously unachievable //sscs.org/Chapters/dl.htm. 10,000 at GHz frequencies and signal processing functions excellent thermal and aging stabil- become possible, such as reconfig- About Clark Nguyen ity have now positioned vibrating urable F channel-selecting filter Prof. Clark T.-C. micromechanical devices as strong banks, ultra-stable reconfigurable Nguyen received the B. S., M. S., and Ph.D. degrees from the Universi- ty of California at Berkeley in 1989, 1991, and 1994, respectively, all in Electrical Engineering and Com- puter Sciences. In 1995, he joined the faculty of the University of Michigan, Ann Arbor, where he was a Professor in the Department of Electrical Engineering and Com- puter Science until mid-2006. In 2006, he joined the Department of Prof. Clark T.-C. Nguyen of UC Berkeley spoke on RF-MEMS technology at Nihon Electrical Engineering and Com- Dempa Kogyo (NDK) in Sayama-city, Japan on 24 April, 2008. puter Sciences at UC Berkeley,

Summer 2008 IEEE SSCS NEWS 71 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 72

PEOPLE

where he is presently a Professor Prof. Nguyen founded Discera, Inc., Advanced Research Projects Agency and a Co-Director of the Berkeley the first company aimed at commer- (DARPA) on an IPA, where he Sensor & Actuator Center. His cializing communication products served for three-and-a-half years as research interests focus upon micro based upon MEMS technology, with the Program Manager for 10 differ- electromechanical systems (MEMS) an initial focus on the very vibrating ent MEMS-centric programs in the and include integrated microme- micromechanical resonators pio- Microsystems Technology Office of chanical signal processors and sen- neered by his research in past years. DARPA. sors, merged circuit/micromechani- He served as Vice President and cal technologies, RF communication Chief Technology Officer (CTO) of Katherine Olstein, architectures, and integrated circuit Discera until mid-2002, at which SSCS Administrator, design and technology. In 2001, point he joined the Defense [email protected] Congratulations New Senior Members 35 Elevated in February, March and April

Cristian Albina Germany Section Shahid Masud Lahore Section J Tim Barrett Coastal Los Angeles Section Yasuyuki Miyamoto Tokyo Section John Barth Green Mountain Section Won Namgoong Dallas Section Richard Betts Seattle Section Jens Poulsen Denmark Section Shekhar Borkar Oregon Section Harald Pretl Austria Section Taoufik Bourdi Orange County Section Carlo Samori Italy Section Anthony Carusone Toronto Section Toshinori Sato Fukuoka Section Mark Check Mid-Hudson Section Ulrich Schaper Germany Section Paul Chow Toronto Section Dongwon Seo San Diego Section John Covington Charlotte Section Wouter Serdijn Benelux Section Peter Gillingham Ottawa Section Stilianos Siskos Greece Section Ronald Ho Santa Clara Valley Section Tsutomu Sugawara Tokyo Section Navneet Jain Santa Clara Valley Section Roland Thewes Germany Section Sungyong Jung Fort Worth Section Scott Wedge Foothill Section Ka Leung Hong Kong Section Ali Zadeh Metropolitan Los Angeles Section Kristiaan Lokere Boston Section Masoud Zargari Orange County Section Alireza Shirvani-Mahdavi Santa Clara Valley Section Herbert Zirath Sweden Section Yiannos Manoli Germany Section

TOOLS: Tips for Making Writing Easier Part 2: Narrow Your Questions, Shape Your Answers Peter and Cheryl Reimold, www.allaboutcommunication.com

n the last column we proposed graph (from one to three sentences tell the readers what they most a quick and easy way to in all). The bulk of your writing want and need to know. It is Iapproach a short piece of writ- will consist of your answers to the worth spending some time con- ing (“The Five-Minute Miracle,” questions you think your readers sidering what questions are most January/February 2003 Newsletter). would have. Now we must see important, so as not to waste Briefly, you set up a conversation how to make those answers easy more time answering others that with your reader in which you to write and useful to read. lead you away from the main rea- begin with your main message and sons for your report. For example, then answer your reader's proba- Narrow Your Reader's Questions suppose you are writing a ble questions about that message. The first step to easy answers is progress report with the following Your main message will require finding the right questions. The main message: only the opening sentence or para- right questions are the ones that Although phase one of the project

72 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 73

PEOPLE

was completed two months behind room for you to explain the rea- In the example, you would schedule, we now have the data sons for the phase one delay fur- begin your answer with a clear needed to begin phase two. ther on, in one or two sentences. statement of when and how you What do you think your reader’s Once you have shown that you hope to complete the project or its first question would be? The ques- are on a planned, positive course, second phase. One or at most two tion that immediately pops to mind the reader will be more accepting sentences should suffice. Then you might be, “Why were you so late of the previous problems that would follow with your reasons finishing phase one?” now appear as history. for this assertion. That could be a large and diffi- Yes, in one sense this method is cult question to answer. It could Structure Your Answers harder, because it forces you to lead you into a litany of explana- The best structure for answering make clear, committed statements. tions, accusations, justifications, your reader's probable questions That's what you look for in the apologies, and excuses for the is this: writing you receive, isn't it? delay that quickly fills up a full Try it, and, as always, please let page. Is it really the most valuable Key Point + Backup us know how it works for you: question? After all, neither you nor [email protected]. your reader will benefit from an It gives the reader the answer annotated list of all the obstacles immediately and then makes that Cheryl and Peter Reimold have that came between you and the answer credible by bolstering it been teaching communication skills completion of phase one. If you with an example, an illustration, or to engineers, scientists, and business start with that, you will irritate the an explanation. people for 20 years. Their firm, reader with all your self-justifying This approach does not come PERC Communications (+1 914 details while giving your report a naturally. Our tendency seems to 725 1024, [email protected]), negative cast by drawing atten- be the reverse: Build up evi- offers businesses consulting and tion to all the things that went dence and then present the con- writing services, as well as cus- wrong before. clusion. Although this progres- tomized inhouse courses on writing, Instead of going with the first sion makes sense in science and presentation skills, and on-the-job question that occurs to you, stop logic, it doesn't work well for communication skills. Visit their to ask yourself what your reader business writing. Why not? Well, Web site at http://www.allaboutcom- most wants to know. In this case think of your own expectations munication.com. it might be, “When do you expect as a reader: Do you want to to complete the project (or, at know the answer to your ques- The article is gratefully least, phase two)?” Answering that tion at the beginning or the end reprinted with permission from question first will tell the reader of the section? the authors and the IEEE Profes- what he or she wants to know To write effectively in business, sional Communication Society right up front. It will give your we must remember to tell the read- from the May/June 2003 issue, report a positive, forward-looking er the answer first and then Volume 47, Number 3, pages 10 approach. Finally, it will leave explain the reasoning behind it. & 14 of the IEEE PCS Newsletter.

Corrections

Dear Prof. Kernighan, Regards, Earl Swartzlander I enjoyed reading your piece in the IEEE Solid-State Professor of Electrical and Computer Engineering Circuits Society News. It is a nice mix of ideas about the University of Texas at Austin technology and the people. It is way too rare for the people to be mentioned, let alone featured as you did. **Editor’s Note: “Doran Swade is the author of “Charles A minor detail is that the author’s name in your last Babbage and the Quest to Build the First Computer,” reference should be “Swade” not “Swore.”** referenced on page 5 of the SSCS News Vol. 13, No.2.

Summer 2008 IEEE SSCS NEWS 73 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 74

CONFERENCES ISSCC Student Forum oth Masters and Ph.D. graduate students network The ISSCC Student Forum has been organized as short at a special Forum, Saturday evening before ISSCC presentations of work-in-progress, and will not be con- Bbegins. Tinoosh Mohsenin, a graduate student par- sidered as pre-publication for future ISSCC regular-paper ticipant in the 2008 Forum from UC Davis thought, “The submissions or other SSCS Conferences (assuming other ISSCC Student Forum was a great opportunity to present conference specific pre-publication rules are followed). my research and interact with other students and fac- “We expect many of the projects presented with early ulty involved in chip design. I had the chance to see prototype chips to translate to regular paper submissions early-stage research results, that in many cases were in future years” commented Chandrakasan. not yet published, by students from universities around Participants include the author of the best the world.” (local/regional) conference paper from an emerging The Forum consists of a succession of 5-minute pre- country. In the Student Forum of ISSCC2008, the author sentations from graduate students, who have been select- of the best paper from VLSI Design in India was invited. ed on the basis of a short submission concerning their on- This cooperation with VLSI Design “helps promote ISSCC going research. Selection is based on the potential nov- in terms of awareness, and future paper submission and elty and coherence of their proposed presentation. The conference attendance in India,” commented Professor work described is not intended to be complete or final. In C.K. Wang of National Taiwan University. February 2008 there were 30 presentations from all This Forum is a very useful way to start your week par- regions. This inaugural event attracted more than 100 ticipating at ISSCC and to start your research career. ISSCC attendees, primarily graduate students and professors. 2009 will be February 8 to 12 in San Francisco, the Forum Patrick Mercier, a graduate student from MIT felt “the on February 7. Look for the Call for Participation on line short five minute presentations encouraged students to later this fall www.isscc.org/ The submission deadline is succinctly present only their key ideas and contributions - in early November, about two months after the deadline a skill that is becoming increasingly important in today’s for submission of conference manuscripts. The Forum busy world.” It provided “an avenue for students to obtain “allows students a chance expose themselves and learn valuable presentation experience and technical feedback.” about the ISSCC at early stage,” C.K. Wang recommend- Tomokazu Ishihara of Kobe University agreed: “The con- ed. Students are advised to discuss participation in the cise explanation of research results and the technical feed- Forum with their faculty advisors. Faculty advisors are of back made our studies more polished.” “I was really moti- course welcome to attend. vated and encouraged by the whole experience in the In 2009 for the first time, industry representatives will Forum. It was very exciting time for me,” he said. also have an opportunity to observe Forum presentations. The Chair of the ISSCC 2007 Student Forum, Prof. In the past, the Forum focused exclusively on student Anantha Chandrakasan of MIT noted, “ISSCC is driving exchange. But the advantages to students of getting feed- towards active participation at ISSCC. The student forum back from industry are important. provides an excellent opportunity for students to net- “Overall, I greatly enjoyed my experience at the forum work, get early feedback on their research, and explore and would highly encourage other students interested in collaboration opportunities. “ sharing their work at ISSCC to participate,” recommends During breaks and an evening meal, there are a few Mercier. Kenneth C. Smith, Professor Emeritus, Universi- minutes to approach others one-to-one. Ishihara appreci- ty of Toronto points out that “idea was for ISSCC to pro- ated the insight and “knowledge gained from aspects of vide a mechanism for the interaction of graduate students research that the students from foreign countries helped from across the globe. We look forward to evidence of its me discover.” Mohsenin had the “fortunate benefit of effectiveness at future ISSCC’s.” meeting a student researcher that I had previously not Anne O’Neill known, who is working in a closely-related area.” Executive Director, SSCS

Thirty graduate students from around the world met before the ISSCC in February 2008 to exchange brief presentations about their work in progress. Call for Participation in the 2009 Student Forum will be available on www.isscc.org in November.

74 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 75

CONFERENCES 2007 VLSI-TSA/DAT Best Paper Awards Presented in Hsinchu, April, 2008

Clara Wu and Elodie Ho, VLSI-TSA and VLSI-DAT Symposia Secretariat, [email protected], [email protected]

n opening ceremonies on 21 and 23 April, 2008, is a very important step toward "lab on a chip" con- the 2008 International Symposia on VLSI Technol- cepts. The device, which is easy to fabricate using Iogy, Systems and Applications (VLSI-TSA) and CMOS-compatible techniques, is a viable candidate VLSI Design, Automation and Test (VLSI-DAT) pre- for future system integration,” he said. sented a Best Student Paper Award for 2007 to Dono- van Lee, a graduate student at UC Berkeley, and a Best Presentation Award for 2007 to Prof. Tsung-Hsien Lin of National Taiwan University. SSCS is a cospon- sor of both meetings.

Fluid-Gate MOSFET Advances “Lab on Chip” Concepts “WetFET – A Novel Fluidic Gate-Dielectric Transistor for Sensor Application,” Donovan Lee, Xin Sun, Emmanuel Quevy, Roger T. Howe, and Tsu-Jae King Liu, Electrical Engineering and Computer Sciences Department, UC Berkeley

Abstract: The sensitivity of a MOSFET’s performance to varia- tions in gate dielectric properties is well known. This Mr. Donovan Lee (at left) received the VLSI-TSA Best Stu- dent Paper Award for 2007 from Dr. Clement H. Wann, the sensitivity lends itself perfectly to the MOSFET’s uti- general chair of 2008 VLSI-TSA. lization as a sensor. Modern biosensors employ gaps with dimensions on the nanometer scale, with their sidewalls functionalized to bind to particular target Mr. Lee, whose childhood goal was to become an molecules (such as DNA). Changes in the electrical inventor, credited mentors in an internship with the properties of a nanogap can be detected via resistance US Navy for spurring his interest in engineering. or capacitance measurement. Since a modern MOS- “They taught me that real engineers should be able to FET has gate dielectric thickness in nanometer range, fix any problem by asking the right questions,” he it is ideal for adaptation as a nanogap sensor. Fur- said. “Electrical engineering and device physics inter- thermore, the use of a MOSFET to sense changes ested me the most because they focus on practical within a nanogap that constitutes a portion of the gate applications of magical phenomena. I found that in EE dielectric offers the advantage of signal amplification it was hardest to ask the right questions, and I enjoy – a feature unique to transductive sensors (not found the challenge.” Mr. Lee plans to become a professor in 2-terminal sensors). In this work, we investigate the after earning his degree. characteristics of a MOSFET with a hybrid solid/liquid The VLSI-TSA Symposium gave its first Best Student gate-dielectric structure, which can be used for fluidic Paper Award in 2005 to the paper that was best-writ- sensor applications. This “WetFET” device is made ten and best-presented by a full time student. There- using a standard MOSFET fabrication process with after, the conference committee has presented the additional steps to selectively remove portions of the Award annually. Winners for 2005 and 2006 were: gate dielectric and to subsequently refill the resultant gaps with liquid. Characteristics of the first prototype 2005: Chung-Hsun Lin, Department of EECS, UC WetFET device are presented and analyzed with the Berkeley, for “Compact Modeling of Featur- aid of device simulations. ing Independent-Gate Operation Mode”; In an interview, Mr. Lee said this ground-breaking work “takes advantage of the inherent sensitivity of 2006: Chia-Pin Lin, Dept. of Electronics Engineering the standard FET to create a chemical sensor. Since so & Inst.of Electronics, National Chiao Tung University, many chemical and biological systems of interest are “Impact of Back Gate Bias on Hot-Carrier Effects of n- dissolved aqueous solutions, the fluidic-gate MOSFET channel Tri-Gate FinFETs (TGFET)”

Summer 2008 IEEE SSCS NEWS 75 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 76

CONFERENCES

2.4-GHz Two-Point Delta-Sigma Modulation Transmitter to Reduce Chip Area & Power Consumption Wins VLSI-DAT Award The VLSI-DAT Symposium established its Best Pre- sentation Award in 2006 to recognize the best-organ- ized and best-presented conference paper each year by a student or IC professional, based on audience surveys during each session covering technical con- tent, slide quality, presentation and Q&A handling. This year’s winner was Prof. Tsung-Hsien Lin of National Taiwan University.

“A 2.4-GHz 18-mW Two-Point Delta-Sigma Modula- tion Transmitter for IEEE 802.15.4,” Prof. Tsung- Prof. Tsung-Hsuen Lin (at left) received the VLSI-DAT Best Hsien Lin, National Taiwan University, Taiwan Presentation Award for 2007 from Dr. Jing-Yang Jou, the general chair of 2008 VLSI-DAT. Abstract: CMOS process, the TX consumes 18 mW under a 1.4- The 2.4-GHz two-point modulation transmitter (TX), V supply voltage. designed for IEEE 802.15.4 (ZigBee) applications, is based on a delta-sigma fractional-N PLL to reduce New 2008 VLSI-DAT Best Student Paper Award chip area and power consumption. In addition, the The 2008 VLSI-DAT Technical Program Committee chosen architecture prevents the transmission data will grant the first-ever Best Student Paper Award in rate from being limited by the PLL bandwidth. To alle- 2009 to the paper that is best-written and present- viate the non-linearity problems of a conventional ed by a full-time student at this year’s meeting. The fractional-N PLL, linearization techniques are adopted. evaluation will be conducted during the confer- The TX is designed to operate in the 2.4-GHz ISM ence. The Best Presentation Award and Best Stu- band, and is capable of delivering a date rate more dent Paper Award will both be granted in 2009 at than 2 Mbps. Implemented in the TSMC 0.18-μm VLSI-DAT.

Now It’s Japan’s Turn to Host 4th Asian Solid-State Circuits Conference, November 3-5, 2008, Fukuoka, Japan Koji Kito, A-SSCC 2008 Organizing Committee Chair, Semiconductor Technology Academic Research Center (STARC), [email protected]

he fourth Asian Solid-State Circuits Conference gy/business leaders to get together in Asia and to will be held on 3 - 5 November in Fukuoka, exchange ideas and information. TJapan, at the JAL Resort Sea Hawk Hotel located Between 1997 and 2007, the semiconductor market on the scenic waterfront of downtown Fukuoka. The in Asia grew from US $62 billion to US $173 billion, conference is fully sponsored by the IEEE Solid-State with a compound annual growth rate (CAGR) of Circuits Society. 10.8%. In 2007, approximately 65% of semiconductor Traveling around Asian countries to a different products were sold in Asia, and approximately 40% of locale each year, A-SSCC provides unique opportuni- semiconductor products were sold by companies ties for semiconductor design experts and technolo- headquartered in Asia. In 2008, a similar pattern of

76 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 77

CONFERENCES

growth is expected to continue, supported by the high level of regional research and development About the A-SSCC Industry Program activities that is evidenced by the large number of Spotlighting state-of-the-art technological achievements, papers submitted from Asia to the A-SSCC: emerging product chips, and the speed at which research results are turned to actual products, the A-SSCC Industry Pro- gram demonstrates the IC industry dynamics that have result- ed in a blossoming semiconductor business in Asia. Industry Program speakers present detailed chip architectures and cir- cuit descriptions for cutting-edge product chips and provide demonstrations and evaluation results to show how customers have improved system performance by using their chips. The A-SSCC Industry Program also provides valuable opportunities for communication that enable audiences to get intuitive busi- ness information both through oral presentations and real live A comparable number of paper submissions and demonstrations. acceptance rate are expected for 2008. Therefore, the In the first two industry sessions in 2005, Samsung paper quality will be exceptional and the presenta- demonstrated NAND Flash memory with the highest densi- tions will be very stimulating. ty at the time; Renesas Technology presented a low power super SRAM with soft-error immunity. IBM and reviewed CELL processor and wireless LAN baseband LSI Regular Sessions capable of transmitting high-definition audio; the relation- Since the TPC consists of a balanced mix of experts ship of these products to digital visual interface and wide from both industry and academia, regular sessions band CDR for digital video data was discussed. will cover the interests of attendees from various In 2006, the Industry Program covered a wide swath of semiconductor product segments, especially in the the semiconductor business, including microprocessor, following eight categories: memory, and analog devices. Intel reviewed the dual-core • Analog Circuits & Systems multi-threaded Xeon processor. Sun Microsystems presented • Data Converters UltraSPARC T1. The 2006 Industry Program also included • Digital Circuits & Systems high speed and/or low power devices including Pipeline • SoC & Signal Processing Systems ADC, GDDR4 SDRAM, and low power FM radio receivers. • RF In 2007, the two industry sessions featured “Local FC trend & computing” and “Circuits, Storage & High Speed • Wireline & Mixed-Signal Circuits Interface.” In addition, the IT-SOC Association of Korea • Emerging Technologies and Applications made a presentation on the future of Korea’s fabless semi- • Memory conductor industry. Intel showed the roadmap to the next generation family of processors using the world's first micro- Student Design Contest processor in 45nm high-k metal gate process. And Sun A student design contest will include accepted papers Microsystems demonstrated the multi-core SOC (system-on- with system prototypes or measurement results of a-chip). Smart controller for mobile storage, mobile DDR which operations can be demonstrated on-site. Some SDRAM with on-chip ECC, and an embedded non-volatile of the technical papers selected from the A-SSCC will memory were the the technologies presented in a session on be printed in a special edition of JSSC after being storage and high speed interface. reviewed, and the best three Student Design Contest Changhyun Kim, SVP & Samsung Fellow, papers will be postered at the ISSCC 2009. Co, Ltd., [email protected] Plenary Talks, Panels Discussions, and Tutorials Details about Plenary Talks, Panel Discus- About Fukuoka sions, and Tutorials Located in Kyushu Island are not available at the where many big brands time of this writing, like Toyota, , Toshiba but will be reported in and Canon have their pro- the SSCS Fall News. duction sites, Fukuoka is For more detailed the 8th largest city in information, please Japan. Locals refer to visit the following web site: www.a-sscc.org. Kyushu as “Car Island” or We look forward to seeing you in November, and “Silicon Island.” Fukuoka has an international airport hope that you will enjoy an excellent meeting and conveniently connected to Asian countries such as warm hospitality at the conference. Korea, China, and Taiwan.

Summer 2008 IEEE SSCS NEWS 77 sscs_NLsummer08.qxd 7/11/08 8:55 AM Page 78

CONFERENCES Hot Chips 20: Three Days of the Very Best in High- performance Chips and Technologies At Stanford University, 24-26 August, 2008 processor pundit from Insight64. For industry and ome to the 20th annual Hot Chips conference at entrepreneurial experience, the panel will include Stanford University’s Memorial Auditorium on Dave Ditzel, founder of Transmeta and former SPARC CAugust 24-26, 2008. As always, Hot Chips will bring architect; John Mashey, early Unix and MIPS pioneer, together designers, architects, and researchers of high- and former chief scientist at SGI; and Howard Sachs, performance chips, software, and systems for presenta- lead architect of the Clipper processor and now Pres- tions and discussions of up-to-the-minute developments. ident and CEO of the video processing start-up, Telairity. Academia will be represented by David Pat- Tutorials on Scalable Parallel Programming and terson, professor of computer science at UC Berkley, Solving the “Memory Wall” RISC pioneer, and highly accomplished computer Each year, the program starts off with in-depth tech- researcher. nical tutorials from leading practitioners. This year it will offer a Sunday morning tutorial on the challenges Nine Paper Sessions on State-of-the-Art Technologies of solving the “memory wall”- that is, providing suffi- Each of the nine Hot Chips sessions will present state- cient bandwidth and capacity to keep highly integrat- of-the-art technologies from leading companies and ed multicore processors fed. The second tutorial that continued on page 83 afternoon will be a class on NVIDIA’s C-language based CUDA programming model for scalable paral- lel programming.

Keynote Addresses on Autonomous Vehicles and 1] Multi-Core Technologies Solar Power • MicroNetwork-Based Coherency: Extending Coherency over On the first day of the full conference, Professor Standard Networks • The Roofline Model: A tool for Auto-tuning Kernels on Multi- Sebastian Thrun of Stanford University will speak on core Architectures “Cars that Drive Themselves.” Prof. Thrun led the suc- • Power-Performance Comparison of POWER5 &POWER6 Microprocessors cessful Stanford Racing Team in the 2005 Darpa Grand 2] Video & Media Challenge and serves as the Director of the Stanford AI • spursEngine – Cell Derivative High-Performance Stream Pro- cessing for Media Acceleration Lab, where his research focuses on robotics and artifi- • A 167-processor Array for Efficient DSP & Embedded Apps cial intelligence. Autonomous vehicles are not just for Processing • PNX5100 System Architecture and Applications: A High-Per- the military, but will be your car of the future. formance Full HD 120Hz Engine A second keynote on the second day by Dr. • AMD media DSP: A Programmable Multicore Video Processor Platform Richard Swanson, who is President, Chief Technical 3] Mobile Media Processing Officer, and co-founder of SunPower, will relate the • A 300-mW Single-Chip NTSC/PAL Television for Mobile Appli- cations history and technology of this leading solar energy • Voice Processor Based on Human Hearing System company. Dr. Swanson served as a professor of elec- • NVIDIA APX2500: Enabling Stunning Handheld Graphics & HD Video trical engineering at Stanford University from 1976 to 4] Supercomputing 1991. He holds a Ph.D. from Stanford University and • PowerXCell 8i: A Cell Broadband Engine Architecture for Supercomputing bachelor and masters degrees in electrical engineering • A Specialized ASIC for Molecular Dynamics from Ohio State University. 5] Networking • Low Cost 200Mbps Broadband Powerline Communications ChipSet All-Star Panel Retrospective on Successes and Fail- • The QFP Packet Processing Chip Set 6] PC Chips ures of the Past Twenty Years • AMD 780G, an x86 Chipset with Advanced Integrated GPU Monday night’s panel in celebration of Hot Chips’ • Micro-architecture of Godson-3 Multi-Core Processor • Inside Intel’s Next Generation Nehalem Microarchitecture 20th anniversary should be a special treat, featuring 7] FPGAs an all-star lineup of architects, analysts, entrepreneurs, • Virtex-5 FXT, a New Field-Programmable Gate Array Platform • MAXware: Acceleration in HPC and researchers discussing technology successes and • New 40nm High Performance FPGA and ASIC Common Plat- failures over the last 20 years. form 8]Visual Computing Moderated by Nick Tredennick, the panel will • NVIDIA G100: TeraFLOPS Visual Computing include industry analysts Michael Slater, founder of • Larrabee: A Many-Core x86 Architecture for Visual Computing 9]Server Chips the Microprocessor Report and Microprocessor Forum • Tukwila: A Quad-Core Intel(R) Itanium(R) Processor in his first appearance at Hot Chips, and Nathan • SPARC64VII: ’s Next Generation Quad-Core Processor • Rock: A 3rd Gen 65nm, 16-Core, 32+ 32 Scout Threads CMT Brookwood, the highly quoted and quotable micro- SPARC Processor

78 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 79

CONFERENCES Custom Integrated Circuits Conference (CICC) Celebrates 30th Year More than 400 Expected on 21-24 September in San Jose

• What are the latest trends and innovative circuit tions from Older Nodes.” A luncheon presentation on techniques for analog and digital ICs? “The Intelligent Car: How Embedded Electronics is • Where are the major research universities and Changing the Automobile Business,” will be present- industries going with ADCs, PLLs, RF-circuits, high- ed by CEO, SVTC Prof. Alberto S. Vincentelli of UC speed transceivers, and 3D integration? Berkeley, and co-founder of Cadence and Synopsys. • How are circuit designers solving the major issues today of power, variation, noise, jitter, GHz per- Monday - Wednesday, September 22-24 formance, system-on-chip integration, and limits to Of over 160 technical paper presentations, the CICC CMOS scaling? Technical Program Committee especially recom- The Custom Integrated Circuits Conference (CICC), mends: sponsored by the IEEE Solid-State Circuits Society and • A 24GS/s 5-b ADC with Closed-Loop THA in technically co-sponsored by the IEEE Electron 0.18um SiGe BiCMOS Devices Society, will address these questions in 2008, • An 8.1 mW, 82 dB Delta-Sigma ADC with 1.9 MHz its 30th year, at the DoubleTree Hotel in San Jose, CA, BW and -98 dB THD the heart of Silicon Valley. The highlights of the meet- • Phase-Locking in Wireline Systems: Present and ing on 21-24 September will be enjoyed by an expect- Future ed attendance of more than 400 professionals from • A Novel Dual-Band 77GHz/24GHz Frequency-Syn- leading semiconductor companies and universities. thesizer • MIMO Techniques for High Data Rate Radio Com- munications • Linearity and Efficiency Enhancement Strategies for 4G Wireless Power Amplifier Designs • 3D Heterogeneous Integrated Systems: Liquid Cool- ing, Power Delivery, and Implementation • A 512-KB Level-2 Cache Design in 45 nm for sub- 2W Low Power IA Processor Silverthorne.

Sunday, September 21 Full-day educational sessions, with three technical tracks and one half-day focused on technical writing and presentation: 2007 CICC Keynote Address • Fundamentals of Analog Design • High-Speed Serial IO Design • Coping with Scaling • Technical Writing and Presentation

Monday & Tuesday, Sept 22 & 23 • Poster Presentation • Vendor Exhibit • Circuit/System Demonstration

Additional information including the complete advance program and registration form is available on the CICC website: www.ieee-cicc.org For specific inquiries about the CICC, please con- tact the Conference Manager, Melissa Widerkehr, 2007 CICC Poster Session CICC, 19803 Laurel Valley Place, Montgomery Village, Dave Bergeron, this year’s keynote speaker, will MD 220886, Email: [email protected], Tel: (301) 527- offer a talk entitled “More than Moore: New Direc- 0900/101.

Summer 2008 IEEE SSCS NEWS 79 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 80

CONFERENCES Sister Conferences ESSCIRC/ESSDERC Meet on 15- 19 September The 2008 European Forum for Solid-State Circuits is in Edinburgh, Scotland

• Design for Manufacture.

Plenary Talks at ESSCIRC Cosponsored by the IEEE Solid-State Circuits Society, ESSCIRC provides an annual European forum for the The increasing level of integration for system-on-chip presentation and discussion of recent advances in design made possible by advances in silicon technol- solid-state circuits. ogy requires a deeper interaction among technolo- Prominent invited speakers will address issues of spe- gists, device physicists and circuit designers. There- cific interest to the integrated circuit design community: fore, this year’s ESSCIRC/ESSDERC conferences at the • T. Demisen (Medtronic) “Ultralow power for biomed” Edinburgh International Conference Centre (EICC) • M. Berkhout (NXP) “Audio at low and high power” will share plenary keynote presentations and joint • Y. Hagihara (Sony) “SOI design for the CELL technical sessions on topics that bridge both commu- processor” nities, while keeping separate Technical Programs. ESSCIRC Papers Analog Circuits Analog circuits including small signal amplifiers; vari- able gain amplifiers; power audio amplifiers; continu- ous-time & discrete-time filters; comparators; instru- mentation and sensor interfaces; voltage references, LDO regulators, DC-DC converters; HV circuits; light- ing control. Joint ESSCIRC/ESSDERC Plenary Talks Distinguished invited speakers will discuss issues of Data Conversion Circuits and Integrated Systems interest for the attendees of both conferences: Nyquist-rate and oversampled A/D and D/A convert- • R. Chau (Intel Corporation) “Nanotechnology for ers; sample-and-hold-circuits; A/D and D/A converter Future High-Speed and Energy-Efficient CMOS calibration and error correction circuits. Applications” • C. van Hoof (IMEC) “Micropower energy Scavenging” RF Circuits • T. Sakurai (University of Tokyo) “Low leakage digi- RF/IF/baseband circuits including: LNAs; mixers; IF tal design and variability” amplifiers; power amplifiers; power detectors; active • V. Subramanian (University of California) “Printed antennas; modulators; demodulators; VCOs; frequen- electronics for low-cost electronic systems: technol- cy dividers; frequency synthesizers; PLLs. ogy status and application development” • M. Thompson (ST Microelectronics) “More than Wireless and Wireline Communication Circuits Moore and More Moore in Europe” Receivers/transmitters/transceivers for wireless sys- • V. Manian (Broadcom) “Technology Interfacing for tems, base stations and hand-sets; advanced modula- Fabless Semiconductor Companies” tion systems; TV/radio/satellite receivers; Ultrawide- Attendees registered for either conference are band and data links; wireless sensor networks; RFID. encouraged to attend any of the scheduled parallel sessions. Sensors, Imagers, MEMS, Bioelectonic Integration Sensor subsystems and interfaces; accelerometers; Joint ESSCIRC/ESSDERC Sessions temperature sensing; imaging circuits; MEMs subsys- Focusing on topics where technology and design tems; RF MEMs; bioelctronics systems; implanted elec- issues are closely linked and of shared interest, these tronic ICs, telemetry. sessions are expected to include: • Sensors and Imagers Digital and Memory Circuits • Integration of IC designs with other technologies Digital circuit techniques; I/O and interchip commu- and materials nication; reconfigurable digital circuits; clocking; • Yield and Reliability related technology developments memories; microprocessors.

80 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 81

CONFERENCES

Digital Signal Processing and Arithmetic Workshops DSPs and DSP kernels, signal processing and arith- • CMOS variability research in Europe: From Atomic metic building blocks. Scale to Circuits and Systems • Electronic cubes: a More-than-Moore platform Nanoelectronics for Wireless Sensor Nodes exploiting the 3rd Digital, analogue and mixed signal circuits using Dimension emerging devices such as multi-gate MOSFETs (Dou- • Germanium and III-V MOS technology ble-Gate MOSFETs; FinFETs, Triple-Gate MOSFETs); • MOS-AK: Towards Nano Compact Modeling nanowires/nanotubes and quantum devices. • BIES: Brain-Inspired Electronic Systems A Tutorial Day will be organized on Monday 15 • Si-based Nanodevices for ultimate CMOS and September 2008, while a Workshop Day will take Beyond-CMOS place on Friday 19 September 2008. • Tradeoffs and Optimization in Analog CMOS More information is available at the conference web- Tutorials site: www.esscirc2008.org • CMOS at the bleeding edge • Nanoelectronics: how far and reliably can we probe Franz Dielacher, Member of the ESSCIRC Steer- essential structural information? ing Committee, Austria • Integrating CMOS with other Technologies AG, [email protected]

2008 IEEE BIPOLAR/BICMOS Circuits and Technology Conference (BCTM) to Meet in Monterey in October

ollocated for the first time this year with the ous attractions including the Big Sur, Fisherman’s 2008 IEEE Compound Semiconductor Integrat- Wharf, the Pebble Beach Golf Resort and wonderful Ced Circuit Symposium (CSICS), the 2008 IEEE restaurants, hotels, galleries, and shops. You are also Bipolar/BiCMOS Circuits and Technology Meeting just a short drive away from some of California’s (BCTM) will be held from Monday, October 13 finest wineries. through Wednesday, October 15, 2008 at the Portola Everyone interested in the leading edge processes, Plaza Hotel in beautiful Monterey, California. devices, and circuits used in state-of-the-art telecom- munications and power control systems will not want to miss BCTM, which focuses on bipolar and BiCMOS technologies -- particularly SiGe HBT BiCMOS -- that continue to play a key role in these systems. The conference will start with a one-day short course, followed by two full days of contributed and invited papers, including a special session on Emerg- ing Technologies. A luncheon with guest speaker, exhibits, and an evening banquet will roll out the program. Booths will feature the latest products of interest to the bipo- lar community. And papers covering the design, per- formance, fabrication, testing, modeling, and applica- tion of bipolar and BiCMOS integrated circuits, bipo- lar phenomena, and discrete bipolar devices will be presented. On Tuesday evening, the BCTM Banquet will be held at the Chateau Julien Wine Estate where you will be able to connect with your colleagues and make new acquaintances. Situated along the coast of California just 115 miles As this year’s keynote speaker we are fortunate to from San Francisco, Monterey is easily accessible have Dr. Gil Amelio, the CEO of Jazz Semiconductor, from multiple airports. Monterey is the home of the who will discuss “Technology Convergence Creating world-class Monterey Bay Aquarium, located on the New Opportunities for Innovation.” This is a great street immortalized in John Steinbeck’s novel Can- opportunity to meet Dr. Amelio and learn about the nery Row, and features renowned events, such as the latest technologies designed to produce analog- annual Monterey Jazz Festival. The area has numer- intensive mixed-signal (AIMS) semiconductor

Summer 2008 IEEE SSCS NEWS 81 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 82

CONFERENCES

devices including high performance telecommunica- Converters.” Short course invited talks will be: tion systems. • “High-speed SiGe BiCMOS technologies for appli- The plenary session will feature six invited talks: cations beyond 40 Gb/s,” Pascal Chevalier (STMi- • “Special RF/_Wave Devices in Silicon-on-Glass croelectronics) Technology,” Lis Nanver (TU Delft) • “High-speed data converters for 10+Gb/s applica- • “Silicon Front-End Integration,” J. Costa (RFMD) tions,” Peter Schvan (Nortel) • “High-Speed A/D & D/A Conversion: A Survey,” • “System architectures and circuits for 100 Gb/s Eth- J.B. Begueret (IMS Bordeaux) ernet applications,” Yves Baeyens, Lucent-Alcatel • “Digital Control Power - The Key to Intelligent Bell Labs Energy Efficiency,” Manfred Schlenk (Infineon) We look forward to welcoming you at BCTM 2008. • “From Measurement to Intrinsic Device Character- Find full details and registration information for the istics: Test Structures and Parasitics Determination,” conference on the BCTM web page (www.ieee- F. Pourchon (ST Microelectronics) bctm.org/). • “Highly-Efficient Wideband Monolithic RF Polar See you in Monterey! Transmitters Using Envelope-Tracking,” D. Lie (Texas Tech University) Marise Bafleur The short course will include five renowned General Chair, 2008 BCTM experts on “100 Gbps Ethernet and High-Speed Data LAAS-CNRS, Toulouse FRANCE

2008 IEEE Compound Semiconductor IC Symposium (CSICS) to Collocate with BCTM in October

You are cordially invited to the 2008 substrates like SOI and SOS to reduce IEEE Compound Semiconductor IC Sym- parasitics, even 45nm CMOS technolo- posium (CSICS) on October 12 – 15th in gy has joined the fray of compound beautiful Monterey, California. Collocat- semiconductors. ed for the first time in 2008 with the The 2008 CSIC Symposium will offer IEEE Bipolar / BiCMOS Circuits and a full three-day technical program, two Technology Meeting (BCTM), it is the short courses, a primer course, and a ideal forum for the latest results in high- technology exhibition. The technical speed digital, analog, microwave/mil- program will consist of approximately limeter wave, mixed mode, and opto- 60 high quality state-of-the-art technical electronic integrated circuits. Joint func- papers, four panel sessions, two Short tions will include an afternoon session Courses on “Phased Arrays (Technolo- and social functions, and an exhibition permitting gy, Systems and Circuits)” and “A Modeling Toolbox cross-fertilization of ideas between the two technical for RF Designers,” plus an Industry Exhibit. The Sym- meetings. posium will also offer the popular annual introducto- For over 30 years, CSICS has been the preeminent ry level Primer Course on “Basics of Compound Semi- international forum for presenting and debating conductor ICs.” This year, the Symposium will feature advances in semiconductor circuit and device tech- approximately 15 invited papers on a wide range of nology. The scope of the Symposium encompasses important topics encompassing device engineering to devices and circuits in GaAs, SiGe, InP, GaN, and InSb circuit application using advanced compound and as well as the fields of RF/mm-Wave CMOS and high- other related semiconductor technologies. In addition, speed digital CMOS to provide a truly comprehensive the Symposium will continue the tradition of includ- conference. ing important “late breaking news” papers. Due to impressive strides in new materials and The CSICS Technology Exhibition will take place in devices, greater integration levels, novel circuit imple- the Monterey Convention Center adjacent to the Por- mentations, and ever-changing systems partitions, the tola Plaza Hotel. The close proximity of the Exhibition high-performance wireless and high-speed digital and the technical sessions will promote a highly inte- communications markets are thriving. Compound grated experience for our attendees. The Exhibition materials are now used in modern silicon CMOS and will also offer our vendors an opportunity to show- BiCMOS technologies to enhance their performance. case various products and services including special-

With its HfO2 gate stack, SiGe source/drain regions ty semiconductor materials (epitaxy, substrates, high for hole mobility enhancement, the use of insulated purity gases and related compounds), manufacturing

82 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 83

CONFERENCES

equipment including photolithography, etch, thin Monday, Tuesday, and Wednesday. films, ion implantation, wafer surface analysis and The Portola Hotel in downtown Monterey is 115 metrology equipment and services, test and character- miles south of San Francisco and 350 miles north of ization equipment, simulation and modeling software, Los Angeles. Monterey features a dazzling waterfront, and complete foundry services for GaAs and related a lush urban forest, a rich array of historic and cultur- compound semiconductor technologies. More infor- al resources, museums, gardens, recreational activities mation on the CSICS Exhibition can be found on our and a wide variety of special events throughout the website or by contacting the Exhibition Manager, Sue year. The city’s rich history includes Spanish explo- Kingston, at [email protected]. ration dating back to 1542, and the establishment of Several social events will complement the Sym- the San Carlos Cathedral by Father Junipero Serra in posium, including the Sunday Evening CSICS Open- 1770. It was the site of Alta California's capital under ing Reception, the Monday CSICS Exhibition Open- Spain and later Mexico, and the place where Califor- ing Reception, the CSICS-BCTM Tuesday evening nia statehood began in 1849. Theme Party, and the CSICS Exhibition Luncheon For registration and further information please visit on Tuesday. The Theme Party will take place at the the CSICS website at www.csics.org. Further questions Chateau Julien Wine Estate, nestled in the Carmel may be addressed to the Symposium Chair: William Valley mountains. The theme party will include Peatman, Ph:1-908-668-5000 Ext 5842 Email: wpeat- musical entertainment from a French/Italian opera [email protected] accordion player and a Paraguayan harpist, wine We hope you can attend, tasting of world famous Monterey wines, discus- sions on wine making processes, Bocci ball, tours 2008 IEEE CSICS Organizing Committee, of the vineyard and estate, and grape stomping. Sorin Voinigescu, University of Toronto, Breakfasts and coffee breaks will also be served on [email protected]

Hot Chips 20 continued from page 78 researchers offering fresh insights on topics ranging will include presentations on a dedicated chipset from from voice processing to supercomputer designs: Cisco, and a low cost powerline chipset from DS2. The first session on the first day of the main confer- The, fifth, PC Chips, session will cover a diverse ence will explore the challenges of building high-per- group of chips with details about Intel’s next genera- formance multicore processor systems with presenta- tion PC and mainstream server processor (Nehalem); tions on interconnects, software, and optimized power. AMD’s latest integrated graphics chipset; and a The second, media and video, session will look at processor developed by the Chinese Academy of Sci- various approaches to streaming media processing: a ences for a computer design. modified Cell processor (Toshiba); a many-core In the FPGA session, the two perpetual leading processor array (UC Davis); a custom designed engine competitors, Altera and Xilinx, will face off with (NXP Semi); and a programmable multi-core video their very latest chips and platforms. As fewer com- processor approach (AMD). panies can rationalize the cost of developing cus- For mobile devices, the added factor of battery life tom chips at the leading-edge semiconductor and system size constraints must be considered in process, FPGAs are becoming more important. addition to performance. Presentations will explore FPGAs can offer leading-edge process chips to different challenges of mobile applications such as designers and researchers. mobile television (Telegent); audio processing using And speaking of face-offs, the Visual Computing complex models of the human hearing system (Audi- session will host the first ever head-to-head meeting ence); and a full SoC applications processor with HD of NVIDIA’s latest GPU technology and Intel’s capability for handsets (NVIDIA). Larrabee project. This session should not be missed! Supercomputing, the topic of the fourth session, is Finally we will wrap up the show with a multicore becoming more relevant to mainstream computer server processor showdown with Intel’s forthcoming designers as costs keep coming down and new com- Itanium processor (Tukwila) facing two SPARC mercial markets with high computational needs grow processors, one from Sun Microsystems (“Rock”) and in importance. The two specific approaches to be dis- the other from Fujitsu (SPARC64 VII). cussed in this session are: an enhanced version of the Hot Chips is a great conference, with great content, Cell processor with improved support for double-pre- a great community, at a great locale. Come and expe- cision math; and a very specialized ASIC designed to rience it for yourself. solve a specific scientific challenge. Don Draper, General Chair, The Networking session at the start of the second day Hot Chips 20

Summer 2008 IEEE SSCS NEWS 83 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 84

CHAPTERS SSCS-Green Mountain Organizes 17th IEEE North Atlantic Test Workshop IEEE NATW Special Session on Solid-State Circuits & System Test Held on 14-16 May, 2008 Pascal Nsame, SSCS-GM Chapter Chair, [email protected]

or the first time this year, the A programmable BIST architec- IEEE North Atlantic Test ture and fuse circuit design that FWorkshop (NATW) included supports at-speed test with a special session on solid-state cir- reduced test/repair circuit over- cuits and system test. Sponsored head, this paper featured an by the SSCS-Green Mountain chap- embedded serial BIST control ter at the Holiday Inn in Boxbor- interface allowing for in-system ough, Mass. on May 14-16, 2008, and diagnostic capabilities. the conference served as a forum “Cost-Benefit Analysis for Func- for discussions on the latest issues tional Pattern Test Time Manage- relating to high quality, economi- ment,” by M. Lee, M. Grady, M. cal, and efficient testing method- Johnson (IBM) ologies and designs. A cost-benefit analysis method- ology for test pattern efficiency, Special SSCS Session High- identifying actions that could be lights System Resiliency taken to help keep test escapes The special SSCS session discussed High reliability, fault protection and quality impacts to a minimum both technical and business impli- and high resiliency are key require- while allowing adaptive test pat- cations of technological and sys- ments for high-performance sys- tern reduction. tem resiliency challenges, featuring tems. Embedded processors and “On-Chip Circuit for Monitoring presentations on three aspects of embedded memory macros are Degradation Due to NBTI,” by K. this crucial problem: having an ever increasing impact Stawiasz, K. Jenkins and P-F. Lu (IBM) • Advances in Built-in Self-Test for on system resiliency, not only in A circuit implementation with 65nm and 45nm technology servers, high-performance comput- sufficient accuracy, resolution and nodes ing, enterprise storage and data power supply noise immunity to • Adaptive Test centers, but also in gaming and enable the characterization of • On-product reliability testing. digital multimedia applications. NBTI-induced frequency degrada- The contributions in these “Improving Memory BIST Value tion on product chips under typi- papers demonstrated significant in a Tough Real Estate Market,” by cal product operating voltage and technical advances toward address- M. Ouellette, M. Ziegerhofer, V. temperature. ing system resiliency challenges Chickanosky, S. Granato, P. More information about the and covered issues, possibilities, Rachakonda, C. Mirashi, S. Jinagar 2008 NATW can be found at: limitations and future needs. and K. Gorman (IBM) www.ewh.ieee.org/r1/vermont/

SSCS-Green Mountain Chair Pascal Nsame presented certificates of appreciation to Mike Ouellette (left), Matt Grady (cen- ter) and Kevin Stawiasz (right) at the special SSCS session of the IEEE North Atlantic Test Workshop (NATW) in May, 2008.

84 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 85

CHAPTERS Oregon State University Student Branch Chapter Inaugurated in March Prof. Tom Lee Presents a History of Radio Sunwoo Kwon, OSU Chapter Chair, David Gubbins, Chapter Co-Chair, and Pavan Kumar Hanumolu, Advisor, sunnyk, gubbins, and [email protected]

s its inaugural activity, the Oregon State University A(OSU) SSCS Student Branch Chapter hosted a presentation by SSCS distinguished lecturer Thomas H. Lee entitled “A Very Nonlinear History of Radio” on March 14, 2008. Over 100 people, including faculty, attended the informative and entertaining seminar at Covell Hall on the OSU campus. In his talk, Prof. Lee, of Stanford University, relayed the history of Prof. P. Hanumolu, Chair of the SSCS Student Branch chapter at Oregon State radio from the late 19th century, University (left) introducing Distinguished Lecturer Tom Lee on March 14, 2008. when people could barely think of “wired communication.” He also help in organizing this seminar. what we do and what we intend to remarked on contributors to the The OSU SSCS student chapter do through the Engineering Expo field who have not frequently was founded in 2007 to help stu- at OSU and other local activities. been acknowledged and discussed dents interact and communicate To broaden each member’s fields recent and future trends. with experts by hosting events fea- of interest, we plan to invite at The OSU chapter wishes to turing SSCS distinguished lecturers least two distinguished lecturers a thank Prof. Lee for his insights, and other professionals. Moreover, year and other professionals, too. and the School of Electrical the chapter wants to encourage We have also scheduled replays Engineering and Computer Sci- electrical engineering students to of each year’s ISSCC tutorial ence at OSU for its support and join the SSCS by demonstrating slide/CDs.

From left: Prof. P. Chiang, Prof. P. Hanumolu, Prof. K. Mayaram, Prof. T. Lee, Prof. T. Fiez, Prof. U. Moon, and Prof. A. Weis- shaar after Dr. Lee’s DL talk at Oregon State University in March.

Summer 2008 IEEE SSCS NEWS 85 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 86

CHAPTERS Sansen and Matsuzawa Visit National Taiwan University Meeting and Seminars in April Organized by SSCS-Taipei Chapter

SCS President Willy Sansen and AdCom member Akira SMatsuzawa presented semi- nars at National Taiwan University and met with NTU President Prof. Si-Chen on 24 April, 2008. At the meeting, which was cosponsored by NTU-Mediatek Wireless Laboratory and SSCS- Taipei and included NTU Prof. C. K. Wang, the Society’s Region 10 Representative, everyone shared their views on the rapid growth of the IC design and foundry indus- tries in Taiwan. All agreed that the IC design field has recently advanced both in industry and aca- demia due to strong government support, high-quality engineering students and engineers, and good From left: Prof. Akira Matsuzawa, Prof. Si-Chen Lee (NTU President), Prof. Willy infrastructure. NTU in particular Sansen, and Prof. Chorng-Kuang (C.-K.) Wang, after their meeting on 24 April at has recently made great contribu- National Taiwan University, Taipei, Taiwan. tions to ISSCC and has had a sig- nificant number of papers accept- continuing advancement of micro- illustrated in his talk. ed for it. The group concurred that electronics technologies which has In a second talk entitled “High NTU can continue to play an sustained Moore’s Law “More speed ADCs: History and Future,” important role in the IEEE Solid- Moore.” On the other hand, he Prof. Akira Matsuzawa of the State Circuits Society and its pre- termed the emerging trend toward Tokyo Institute of Technology mier conference. applications requiring the integra- reviewed and summarized the tion of sensor, power-scavenging essence of circuit design and the Seminars on the Future of devices and MEMS structures conversion architecture of high Moore’s Law and High which are often implemented in speed ADC’s. He also showed how Speed ADC’s conventional but cheaper tech- IC designers can contribute to the In a lecture entitled “More Moore nologies “More Than Moore.” The progress of electric systems and or More than Moore,” Prof. Willy design considerations and trade- products and discussed the tech- Sansen of K. U. Leuven termed the offs for both were discussed and nology direction of ADC’s, particu- larly those related to low-voltage nano-scale CMOS technology. The seminars attracted an audi- ence of about 30 people, most of them NTU faculty and students. Prof. Sansen also attended the 2008 VLSI-DAT (Design, Automa- tion, and Test) Symposium in Hsinchu, Taiwan on April 23-25, 2008, where he delivered a keynote speech entitled “Efficient Analog Signal processing in nm CMOS Technologies.”

C. K. Wang, From left: Prof. Shen-Iuan Liu (SSCS-Taipei Chapter Chair), Prof. Akira Matsuza- National Taiwan University, wa, Prof. Willy Sansen, and Prof. Chorng-Kuang (C.-K.) Wang after seminars by ckwang~cc.ee.ntu.edu.tw Prof. Sansen and Prof. Matsuzawa at National Taiwan University, Taipei, Taiwan.

86 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 87

SSCS NEWS Eight Candidates Vie for Five AdCom Positions Anne O’Neill, Executive Director, SSCS, [email protected]

he SSCS Nominations The Candidates ence) and ITC (IEEE International Committee has announced Test Conference). He is the Technical T a slate of eight candidates Program Committee Co-Chair of the for five AdCom positions from 2008 A-SSCC (IEEE Asian Solid State 2009-2011. Tohru Furuyama, Circuits Conference) and will be the Bruce Gieseke, and Ken O are TPC Chair of the 2009 A-SSCC. He new candidates; Ali Hajimiri, has been a lecturer at the Tokyo Paul Hurst, and Ian Young are Institute of Technology since 2004. incumbents. C.K. Wang and He authored and co-authored more Domine Leenaerts currently than 40 journal and conference serve on the AdCom as appoint- papers and holds over 70 U.S. ed members. patents. He is an IEEE Fellow for The AdCom is the governing contributions to high speed dynamic body of the Solid-State Circuits random access memory (DRAM) Society, overseeing conferences, design and technology. publications, educational activities, chapters, finances, and other areas relevant to the Society’s scope. Elected AdCom members serve Tohru Furuyama (S’83-M’84- three-year terms, which are stag- SM’05-F’06) received the B.S. gered so there are always some degree from the University of experienced and new members. Tokyo, Tokyo, Japan, the M.S. SSCS members who are eligi- degree from Cornell University, ble to vote will be sent an email Ithaca, NY, and the Ph.D. degree in the fall to verify their email from the University of Tokyo. He is address in the IEEE member with Toshiba Corp. Dr. Furuyama record. A few days later, an developed several commodity email ballot message to login DRAM’s and the first Rambus online will follow with a custom DRAM and he also led one of the election login included in the first embedded DRAM projects for email. Members residing in graphics application LSI’s. From areas where postal ballots 1994 to 1996, he was the 64Mb would take a long time to reach DRAM design manager for the Toshi- headquarters in New Jersey are ba/IBM/Siemens joint DRAM devel- Bruce Gieseke received the especially urged to use the opment project in Burlington, VT. As B.S.E.E. degree from the University online system. The Society is a general manager of the Center for of Cincinnati, Cincinnati, OH in interested that its global com- Semiconductor Research & Devel- 1984 and the M.S.E.E. degree from munity participate in Society opment, he has been responsible North Carolina State University, elections. As a precaution, hard for various R&D activities, advanced Raleigh, NC in 1985. copy ballots are mailed to all CMOS technologies, NAND flash In 1986, he joined Digital Equip- eligible voting members in the memories, embedded memories, ment Corporation, Hudson, MA, fall. Voting only once will speed novel memories, embedded proces- where he contributed to Digital’s the counting of the return. sors (MeP: Toshiba proprietary ALPHA microprocessor programs, Please vote using only one Media embedded Processor), digital including the 21264 which he co- method, online or hard copy, media SoC’s and related software managed as a Senior Consulting not both. since 2002. Engineer. For those interested in petition- Dr. Furuyama is presently the In 1997, he joined Advanced ing for an additional candidate to Japan Chapter Chair of the IEEE Micro Devices (AMD), Sunnyvale, be on the ballot, please see the Solid-State Circuits Society. He CA, contributing to AMD’s seventh- instructions in the sidebar. served on the Technical Program and eighth-generation micro- Committees of ISSCC (IEEE Interna- processor designs including the tional Solid-State Circuits Confer- Athlon, Opteron, Sempron, and

Summer 2008 IEEE SSCS NEWS 87 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 88

SSCS NEWS

Turion microprocessors. He is cur- where he is a Professor of Electri- and a three-times winne of the IBM rently Vice President and Corpo- cal Engineering and the director of faculty partnership award as well as rate AMD Fellow responsible for the Microelectronics Laboratory. the National Science Foundation next generation microprocessor His research interests are high- CAREER award. He is a co-founder core development. speed and RF integrated circuits. of Axiom Microdevices Inc. In 1999, he joined the Sympo- Dr. Hajimiri is the author of The sium on VLSI Circuits’ North Amer- Design of Low Noise Oscillators ican & European Technical Pro- (Boston, MA: Springer, 1999) and gram Committee where he filled has authored and coauthored more several roles. In 2003/2004 he was than one hundred refereed journal the Technical Program Co-Chair- and conference technical articles. man/Chairman, and in 2005/2006 He holds more than two dozen the Symposium Co-Chairman/ U.S. and European patents. He is a Chairman. Currently, he is a mem- member of the Technical Program ber of the Executive Committee for Committee of the International the conference. Solid-State Circuits Conference (ISSCC). He has also served as an Associate Editor of the IEEE Jour- nal of Solid-State Circuits (JSSC) and of IEEE Transactions on Cir- cuits and Systems (TCAS): Part-II. He has been a member of the Tech- nical Program Committee of the International Conference on Com- Paul J. Hurst (S'76-M'83-SM'94- puter Aided Design (ICCAD), Guest F'01) received the B.S., M.S., and Editor of the IEEE Transactions on Ph.D. degrees in electrical engi- Microwave Theory and Techniques, neering from UC Berkeley in 1977, and served on the Guest Editorial 1979, and 1983, respectively. Board of Transactions of the Insti- From 1983 to 1984, he was with tute of Electronics, Information and UC Berkeley as a lecturer, teaching Communication Engineers of Japan integrated-circuit design courses (IEICE). and working on a MOS delta- Dr. Hajimiri was selected to the sigma modulator. In 1984, he top 100 innovators (TR100) list in joined the telecommunications Ali Hajimiri received the B.S. 2004 and is a Fellow of Okawa design group of Silicon Systems degree in Electronics Engineering Foundation. He is a Distinguished Inc., where he was involved in the from the Sharif University of Tech- Lecturer of both the IEEE Solid- design of mixed-signal CMOS inte- nology, and the M.S. and Ph.D. State Circuits and Microwave Soci- grated circuits for voice-band degrees in electrical engineering eties. He is the recipient of Cal- modems. from Stanford University in 1996 tech's Graduate Students Council Since 1986, he has been on the and 1998, respectively. Teaching and Mentoring award as faculty of the Department of Elec- He was a Design Engineer with well as the Associated Students of trical and Computer Engineering Philips Semiconductors, where he Caltech Undergraduate Excellence at UC Davis, where he is now a worked on a BiCMOS chipset for in Teaching Award. He was the Professor. GSM and cellular units from 1993 Gold Medal winner of the National His research interests are in the to 1994. In 1995, he was with Sun Physics Competition and the area of analog and mixed-signal Microsystems, where he worked Bronze Medal winner of the 21st integrated-circuit design for signal on the UltraSPARC microproces- International Physics Olympiad, processing and communication sor's cache RAM design methodol- Groningen, Netherlands. He was a applications. ogy. During the summer of 1997, co-recipient of the IEEE Journal of Research projects have included he was with Lucent Technologies Solid-State circuits Best Paper data converters, filters, image pro- (Bell Labs), Murray Hill, NJ, where Award of 2004, the International cessing, and adaptive-equalizer he investigated low-phase-noise Solid-State Circuits Conference and timing-recovery circuits for integrated oscillators. In 1998, he (ISSCC) Jack Kilby Outstanding data communications. He is a co- joined the Faculty of the California Paper Award, two times co-recipi- author of a college text book on Institute of Technology, Pasadena, ent of CICC's best paper awards, analog integrated-circuit design.

88 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 89

1. Advanced Analog CMOS IC Design 2. High-Speed Data Converters for Communications

Monday, September 29 Monday, September 29

E. Vittoz • MOS: Modes of Operation and Models M. Pelgrom • Fundamental Limitations in High-Speed Data • Passive Components and Parasitic Effects Converters • Layout Techniques for Analog Circuits • Flash ADCs • Elementary Building Blocks Tuesday, September 30 Tuesday, September 30 M. Pelgrom • System Aspects of ADCs E. Vittoz • Elementary Building Blocks • Voltage References U.-K. Moon • Low-Voltage Pipelined ADCs H. Casier • Technology Effects and Other Limitations for Mixed- Wednesday, October 1 Signal ASICs I. Galton • Overview of Pipelined ADCs Wednesday, October 1 • Digital Background Calibration of Circuit Errors in Pipelined ADCs W. Sansen • Analog Functional Blocks • Understanding and Using Power Spectral Densities for ADC Simulation, Test, and Debug Thursday, October 2 L. Lewyn • Precision Comparator Design for High Speed Data H. Casier • BICMOS Analog Building Blocks Converters in Nanoscale CMOS Technologies • Design for EMC Thursday, October 2 L. Lewyn • Reliability Issues in Nanoscale Analog CMOS Technologies B. Jewett • Case Study of a 1.2-GSa/s 15-bit DAC • Physical Design Issues in Nanoscale Analog • Case Study of an 8-bit 20GS/s ADC CMOS Technologies R. Sheppard • Designing with Communications Data Converters in Friday, October 3 Real RF Systems • Performance Criteria for Data Converters, Basics G. Temes • Switched-Capacitor Circuit Design and Metrics Friday, October 3

R. Sheppard • Test and Trouble Shooting Methods to Determine Performance • Practical Guidelines for Designing with High- Performance Data Converters

3. Optimized Amplifi er Design 4. H-S Broadband Communications, Signals & Circuits

Monday, September 29 Monday, September 29

W. Sansen • Comparison of MOST and Bipolar-Transistor Model M. Green • Characteristics of Broadband Signals and Systems • Single-Transistor Amplifying Stage • Fundamentals of Phase-Locked Loops and Clock • Dual-Transistor Amplifying Stages Recovery Circuits • Noise Performance of Amplifying Stages Tuesday, September 30 Tuesday, September 30 M. Green • CMOS Design Techniques for High-Speed W. Sansen • Stability of Operational Amplifi ers Broadband IC·s • Systematic Design of Operational Amplifi ers • Voltage-Controlled Oscillators, Phase Noise, • Important Opamp Confi gurations Random Jitter, and Bit Error Rate • Exercise on Amplifi er Optimization Wednesday, October 1 Wednesday, October 1 E. Sackinger • Broadband Circuits for Optical Fiber J. Cowles • Unique Aspects of Design using Bipolar Transistors Communication • The Current-feedback Amplifi er: Benefi ts and Trade-offs Useful Information B. Gilbert • BJT Wideband Amplifi ers: Single-Sided and Course Location Differential • A Survey of High-Performance Variable-Gain World Trade Center, 121 SW Salmon Street, Portland, OR 97204 Amplifi ers For additional information contact: Thursday, October 2 MEAD Microelectronics, Inc., 7100 NW Grandview Drive, W. Sansen • Offset and CMRR; Random and Systematic Corvallis, OR 97330 • Fully-Differential Operational Amplifi ers Telephone: (541) 758-0828, Fax: (541) 752-1405 • Design of Multistage Operational Amplifi ers Email: [email protected] (technical questions) or • Exercise on Fully-Differential Amplifi ers [email protected] (administrative questions) Friday, October 3 For programs, fee and registration information, please visit W. Sansen • Rail-to-Rail Amplifi ers our web site: • Class –AB Amplifi ers • Optimization of Transimpedance Amplifi er www.mead.ch Deadline for early registration is August 1, 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 90

SSCS NEWS

He is also active as a consultant to moved to NXP Semiconductors, and BiCMOS processes for RF and industry. Research as Senior Principal Scientist. mixed signal applications. He is cur- Paul Hurst has served on the He has published over 150 papers rently a professor at the University of program committees of the Inter- in scientific and technical journals Florida, Gainesville. His research national Solid-State Circuits Con- and conference proceedings. He group (Silicon Microwave Integrated ference and the Symposium on holds several US patents and coau- Circuits and Systems Research VLSI Circuits. He was guest edi- thored several books, including Cir- Group) is developing circuits and tor for one issue of the Journal cuit Design for RF Transceivers components required to implement of Solid-State Circuits. He served (Boston, MA: Kluwer, 2001). analog and digital systems operating as associate editor for the Journal Dr. Leenaerts served as IEEE between 1 GHz and 1 THz using sil- of Solid-State Circuits for five Distinguished Lecturer in 2001- icon IC technologies. years (2001-2006). He was an 2003 and served as Associate Edi- He was the general chair of the elected member of the adminis- tor of the IEEE Transactions on 2001 IEEE Bipolar/BiCMOS Circuits trative committee of the IEEE Circuits and Systems-Part I (2002- and Technology Meeting (BCTM) Solid-State Circuits Society in 2004) and of the IEEE Journal of and was the guest editor of a Special 2006-2008. He was elected IEEE Solid-State Circuits since 2007. He Issue on BCTM in the 1996 IEEE Jour- Fellow in 2001. currently represents the IEEE Cir- nal of Solid-State Circuits. He served cuits and Systems Society on the as an associate editor for the IEEE IEEE Solid-State Circuits Society Transactions on Electron Devices Administrative Committee. Dr. from 1999 to 2001. Dr. O has also Leenaerts also serves on the Tech- served as the publication chairman of nical Program Committee of the the 1999 International Electron European Solid-State Circuits Con- Device Meeting. Since 2003, he has ference, the IEEE Radio Frequen- been the Solid-State Circuits Society cy Integrated Circuits Conference liaison to the IEEE RFIC Symposium, (RFIC), and the IEEE International as well as a member of the Steering Solid-State Circuits Conference Committee for the RFIC Symposium. (ISSCC). He has authored and co-authored approximately 170 journal and con- ference publications, and holds nine patents. Dr. O received the 1996 NSF Early Career Development Award and the 2003 UF Ph.D./Mentor Award. He also held a UF Research Foundation Professorship from Domine M. W. Leenaerts (M’94- 2004-2007. SM’96-F’2005) received the Ph.D. degree in electrical engineering from Eindhoven University of Technology, Eindhoven, the Nether- lands, in 1992. From 1992 to 1999, he was with Eindhoven University of Technology as an Associate Professor with the Micro-electronic Circuit Design group. In 1995, he was a Visiting Scholar in the Department of Electri- Kenneth O received his S.B, S.M, cal Engineering and Computer Sci- and Ph.D. degrees in Electrical Engi- ence at UC Berkeley. In 1997, he was neering and Computer Science from an Invited Professor at Ecole Poly- the Massachusetts Institute of Tech- technique Federale de Lausanne, nology, Cambridge, MA in 1984, Switzerland. From 1999 to 2006 he 1984, and 1989, respectively. From was a Principal Scientist with Philips 1989 to 1994, he worked at Analog Research Laboratories in Eindhoven, Devices Inc. developing sub-micron where he was involved in RF inte- CMOS processes for mixed signal Chorng-Kuang (C.K.) Wang was grated transceiver design. In 2006, he applications and high speed bipolar born in Taiwan in 1947. He received

90 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 91

Staying current in IEEE Member my work and personal Digital Library interest areas is both Easy. Effi cient. easy and affordable Powerful. Essential. with the IEEE Member Digital Library.

– Wolfgang Kraus IEEE Member

Discover how IEEE information can help fuel your imagination and equip you with the research you need to compete.

Access to all IEEE journals and conference proceedings—over 1.7 million documents

The most affordable access, exclusively for IEEE members— under US$2 per article

Online fi ling cabinet for convenient access to your past research

What are you waiting for? BUY NOW. www.ieee.org/ieeemdl

IEEE Information Driving Innovation

08-PIM-0007-1c-MDL-Kraus-Final.indd 1 3/21/08 3:26:01 PM sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 92

SSCS NEWS

the B.S. degree in electronic engi- ASIC, A-SSCC and ISSCC. He current- developed the original Phase Locked neering from National Chiao Tung ly serves as an ex-officio member of Loop (PLL) based clocking circuit in a University and the M.S. degree in the SSCS AdCom, and is a member of microprocessor while working on the Geophysics from National Central the IEEE Donald O. Pederson Award 50MHz Intel486™ processor design. University, Taiwan in 1970 and 1973, Solid-State Circuits Committee. He currently directs the development respectively. He received the M.S. Prof. Wang was elevated to IEEE of high speed serial IO circuit tech- and Ph.D. degrees in Electrical Engi- Fellow in 2008. nology in the 32nm logic process and neering and Computer Science from researches chip-to-chip optical IO the UC Berkeley in 1979 and 1986, technology. respectively, where he worked on Born in Melbourne, Australia, he MOS analog integrated circuits using received his bachelor's and master's scaled technologies. degrees in Electrical Engineering Wang has held industrial positions from the University of Melbourne, with Itron in Taiwan (1973-1977), Australia, in 1972 and 1975. He National Semiconductor, Rockwell received his Ph.D. in Electrical and IBM in California (1979-1991), Engineering from UC Berkeley in where he was involved in the devel- 1978. opment of CMOS memory, data Young was a member of the Sym- modems and disk-drive integrated posium on VLSI Circuits Technical circuits. He acted as a consultant to Program Committee from 1991 to the Computer & Communication 1996, serving as the Program Com- Research Lab of the Industrial Tech- mittee Chairman in 1995/1996, and nology Research Institute (1991-2000) the Symposium Chairman in and an advisor to the Ministry of Edu- 1997/1998. He was a member of the cation Advisory Office in Taiwan ISSCC Technical Program Committee (1997-2001). From 1991 to 1998, he Ian Young is a Senior Fellow and from 1992 to 2005, serving as the Dig- was with the Department of Electrical Director of Advanced Circuits and ital Subcommittee Chair from 1997 to Engineering, National Central Univer- Technology Integration in the 2003, the Technical Program Com- sity in Taiwan, where he was a Pro- Technology and Manufacturing mittee Vice-Chair in 2004 and Chair fessor. Thereafter, he has been a pro- Group at Intel Corporation. He in 2005. He was Guest Editor for the fessor in the Department of Electrical does research and development of April 1997, April 1996 and December Engineering of National Taiwan Uni- mixed-signal circuits for micro- 1994 issues of the JSSC. He served on versity. His research interests are in processor, communications and the SSCS AdCom from 2006 to 2008. the areas of wireless transceiver sys- SOC products along with process Young is a Fellow of the IEEE. tem and circuit design, high-speed technology development. He has authored or co-authored data link circuits, mm-wave CMOS Young joined Intel in 1983. Starting over 40 technical papers. development, and flexible electron- with the development of circuits for a ics. He has been involved in chairing 1Megabit DRAM, he led the design of Anne O’Neill, and launching programs for technical three generations of SRAM products Executive Director, and executive committees of AP- and manufacturing test vehicles, and SSCS Process to Become a Petition Candidate for AdCom Besides the 8 candidates put on the dates; attracting members to the candi- There is no official IEEE hard copy ballot by the Nominating Committee, dates petition is the responsibility of petition form for society elections. Each additional candidates can be added to the candidate’s supporters. handwritten petition form must include: the ballot by petition. Interested socie- 228 signatures of SSCS members in • the name of the candidate ty members can get on the AdCom good standing are needed to qualify • the title of the position the candidate is election slate through a petition for the 2008 election slate. This num- running for process managed by the IEEE Corpo- ber is defined by IEEE Bylaw I-308.16 For each person signing the petition: rate Office. To begin this process, noti- as 2% of SSCS voting members as of 31 • name (printed) fy the SSCS Executive Office by email December, 2007. The signatures will • IEEE member number ([email protected]) no later than be verified during the petition process • signature August 1st and include a statement ver- (additional signatures above the mini- Hard copy petitions must be received ifying the nominee’s agreement to be a mum are recommended to provide a by the SSCS Executive office by 1 Sep- candidate. Self-petitioning is accept- safety factor). Any voting member of tember to be entered into the IEEE peti- able. Once a petitioner’s eligibility is the Society may sign such a petition in tion system. verified, he/she is posted on the peti- either of two ways: 1) via a link to the If all the signatures on the petition are tion site until September 1, when the petition site provided on the Society’s valid, active members with their dues petition process closes. The Society home page , or 2) paid up, the additional candidate’s biog- offers no assistance or email lists to with an original signature on a hard raphy and photo will appear on the campaign groups for petition candi- copy petition. online and printed ballot.

92 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 93

Up-to-date, Relevant Information Driving the Bottom Line Fueling Imagination

“Findings indicate that IEEE journals are getting the newest, most revolutionary ideas in increasing numbers.”

– Dr. Donald R. Scifres, holder of more than 140 patents, founder SDL Ventures, LLC

From Imagination to Market

Access the latest technical information from IEEE and give your team an edge on the competition.

Periodicals and conference proceedings that defi ne the future of innovation Over 1.5 million documents in the IEEE Xplore® digital library Top cited journals in the fi eld Free Trial! Experience IEEE – request a trial for your company. www.ieee.org/innovate

IEEE Information Driving Innovation

07-PIM-0069c_Generic.indd 1 6/6/07 11:45:02 AM sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 94

SSCS NEWS Call for Nominations for Sensors Awards Deadline for three awards is August 15

he IEEE Sensors Council spon- the IEEE Sensors Council. It is Societies, not individuals, as mem- sors two different awards for based on dedication, effort and bers. The SSCS community has TTechnical Achievement, and contributions. The award is a numerous technical experts aware of Meritorious Service, and the IEEE Sen- plaque and $2,000 check. achievements in the Sensors field, sors Council Journal Best Paper Award. The IEEE Sensors Journal Best many of whom achieve highpoints in Please consider nominating a col- Paper Award recognizes the best this field, making them eligible for an league for recognition by one of them. paper published in the IEEE Sen- award. The Technical Achievement sors Journal. It is based on general All nominations and support- Award honors a person for out- quality, originality, contributions, ing materials must be submitted standing technical contributions subject matter and timeliness. The by August 15, 2008, to the Award within the scope of the IEEE Sen- award is a certificate and $2,000 Committee Chair c.schober@com- sors Council as documented by split equally among authors. puter.org publications, including patents. It is The 2007 awards will be pre- Information and forms are also based on the general quality and sented during the 2008 IEEE Sen- available on the Sensor Council originally of contributions. The sors Conference, October 26-29, website under Society Awards: award is a plaque and $2,000 check. 2008 in Lecce, Italy. www.ewh.ieee.org/tc/sensors/SC The Meritorious Service Award The Solid-State Circuits Society is a %20awards.htm honors a person with outstanding founding member of the Sensors Anne O’Neill, long-term service to the welfare of Council. Councils are composed of Executive Director, SSCS

CEDA Currents

President’s Message As we go forward in 2008, our EDA field continues to evolve, The Council on Electronic Design Executive Committee has some CEDA will explore publications in Automation was formed in mid newly elected members: emerging areas as well as alterna- 2005 by its six member societies • President: John Darringer tive modes of communication, (the Antennas and Propagation • President-Elect: Andreas such as electronic editions, to Society, the Circuits and Systems Kuehlmann improve communication within the Society, the Computer Society, the • Past President: Al Dunlop EDA community while preserving Electron Devices Society, the • Vice President of Activities: the tradition of high quality. CEDA Microwave Theory and Techniques Soha Hassoun plans to strengthen its support for Society, and the Solid-State Circuits • Vice President of Conferences: existing EDA conferences and Society) to provide a focus on the Bill Joyner begin streamlining the process for field of electronic design automa- • Vice President of Finance: obtaining CEDA sponsorship, tion. Since that time, CEDA has Donatella Sciuto especially for smaller workshops sponsored an impressive list of • Vice President of Publications: in emerging areas. publications, conferences, work- Rajesh Gupta Special events have been quite shops, and new activities, all • Awards Committee Chair: successful, including CEDA-spon- focused on advancing the EDA William Joyner (acting) sored sessions at the Design profession. CEDA is sound finan- • Nominations Committee Chair: Automation Conference (DAC) and cially, and successfully completed Al Dunlop the International Conference on a review in November 2007 to • Secretary: David Atienza Computer-Aided Design (ICCAD) become a permanent council of The challenge in the coming on ethics, and CEDA’s Distin- the IEEE. Much of the credit for year will be to continue to guished Lecture Series. We are getting CEDA so firmly established strengthen existing programs, find planning more events like this in goes to Al Dunlop, CEDA’s creator new ways to serve the changing the future. and former president, along with profession, and reach more of the Over its short history, CEDA has its officers and committee chairs. global EDA community. As the explored different approaches for

94 IEEE SSCS NEWS Summer 2008 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 95

SSCS NEWS

improving the EDA profession. tage of 3D integration technologies Design Automation Conference Some have worked, while others and build a high-performance (ASP-DAC 07). still need refinement. We have microprocessor. A 3D tutorial • Y. Zhou et al., ‘‘A New Method- formed a committee to examine organized by Yuan Xie of Penn ology for Interconnect Parasitics EDA awards, leading to collabora- State University and Gabe Loh of Extraction Considering Photo- tions with the Electronic Design Georgia Tech was presented at the Lithography Effects,’’ 17th ACM Automation Consortium (EDAC) 35th International Symposium on Great Lakes Symposium on VLSI and ACM SIGDA to increase recog- Computer Architecture (ISCA 08) on (GLSVLSI 07). nition for EDA contributions. 21-25 June in Beijing. For more • F. Wang, X. Wu, and Y. Xie, Another committee is studying how information, please contact Yuan ‘‘Variability-Driven Module Selec- EDA standards are created today Xie ([email protected]). tion with Joint Design Time Opti- and looking for ways to improve mization and Post-Silicon Tun- this process. More volunteers are Best Paper Awards ing,’’ 13th Asian and South Pacif- needed for these and other CEDA Winners of best-paper awards at some ic Design Automation Confer- activities, and new ideas are always of the outstanding events in 2007 and ence (ASP-DAC 08). welcome. If you’d like to get early 2008 include: involved or have a proposal, please • Davare et al., ‘‘Period Optimiza- Upcoming CEDA Events contact me ([email protected]) or tion for Hard Real-Time Distrib- CEDA currently sponsors or any member of the Executive uted Automotive Systems,’’ 45th cosponsors 10 conferences and Committee. Design Automation Conference workshops, and two additional —John Darringer, (DAC 2007). conferences in which it is in tech- CEDA president • H. Inoue et al., ‘‘Dynamic Secu- nical cooperation with other soci- rity Domain Scaling on Symmet- eties. Our conferences provide ric Multiprocessors for Future excellent opportunities for those New Dimensions in IC Design High-End Embedded Systems,’’ interested in learning about the lat- 3D ICs have emerged as an attrac- International Conference on est technical trends in electronic tive option for overcoming barriers Hardware/Software Codesign design and automation, and in in interconnect scaling. The key and System Synthesis (CODES- being engaged with a community benefits of 3D ICs over traditional ISSS 07). of volunteers. If you are interested 2D chips include reduction of • A. Singhee and R.A. Rutenbar, in participating or have an idea global interconnects, higher pack- ‘‘Statistical Blockade: A Novel about new topics of interest for ing density and smaller footprint, Method for Very Fast Monte our conferences, please contact and support for mixed technology Carlo Simulation of Rare Circuit Bill Joyner ([email protected]), integration. To efficiently exploit Events and Its Application,’’ CEDA vice president of conferences. the benefits of 3D technologies, Design, Automation and Test in CEDA Currents is a publication design techniques and methodolo- Europe Conference (DATE 07). of the IEEE Council on Electronic gies for supporting 3D designs are • S. Watanabe et al., ‘‘Protocol Design Automation. Please send imperative. Design space explo- Transducer Synthesis Using contributions to Jose Ayala (jay- ration at the architectural level is Divide and Conquer Approach,’’ [email protected]) or Anand Raghu- also essential to fully take advan- 12th Asian and South Pacific nathan ([email protected]).

Editor’s Column Continued from page 2 Two additional papers in our next issue will comment further on the impact of Dr. Vittoz’s work: (6) “Advances in Ultra-Low-Voltage Design,” by Joyce Kwong and Anantha Chandrakasan (MIT); (7) “Gigasensors for an Attoscope,” by Erik H. M. Heijne (CERN). The Swatch Store at Grand Central Terminal, New York, NY, May 18, 2008. They are represented by Exec- utive Summaries on pages 59-60 reprinted in full in the Fall, mer Course on Switched Capaci- of this issue. Finally, the first appears on page 66: tor Circuits, June 9-12, 1981, page of one more original paper E. Vittoz, “Microwatt Switched ESAT, Katholieke Universiteit Leu- by Dr. Vittoz, which will be Capacitor Circuit Design,” Sum- ven, Heverlee, Belgium.

Summer 2008 IEEE SSCS NEWS 95 sscs_NLsummer08.qxd 7/11/08 8:56 AM Page 96

SSCS EVENTS CALENDAR Also posted on www.sscs.org/meetings SSCS SPONSORED MEETINGS ISLPED International Symposium on Low Power 26-29 October 2008 2008 Organic Microelectronics Workshop Electronics and Design Lecce, Puglia, Italy www.mrs.org/s_mrs/sec.asp?CID=13576&DID=207893 www.islped.org/ http://www.islped.org/ Paper due date : Passed July 7-10, 2008 11-13 Aug 2008 Contact [email protected] San Francisco, CA 94103 Bangalore, India Contact: Diana Marculescu, [email protected] 2008 International Conference on Computer Aided 2008 Custom Integrated Circuits Conference Design (ICCAD) www.ieee-cicc.org/ ESSCIRC/ESSDERC 2008 - 38th European Solid www.iccad.com/ 21–24 September 2008 State Circuits/Device Research Conferences 9-13 November 2008 San Jose, CA, USA www.esscirc2007.org San Jose, CA Contact: Ms. Melissa Widerkehr, 15 - 19 Sep 2008 Contact: Kathy MacLennan, Conference Manager Conference Manager [email protected] Edinburgh, Scotland [email protected] Paper deadline: Passed. 2008 Asian Solid-State Circuits Conference Contact: Bill Redman-White, ESSCIRC Chair Conference on VLSI Design www.a-sscc.org/ [email protected] http://vlsiconference.com/vlsi2009/ 3-5 November, 2008 5-9 January 2009 Fukuoka, Japan 2008 IEEE Integrated Circuit Ultra-Wide Band New Delhi, India Contact: Secretariat of A-SSCC 2008 ICUWB Paper Deadline: July 17, 2008 E-mail: [email protected] www.icuwb2007.org 10-12 Sep 2008 IEEE International Conference on Microelectronic 2009 ISSCC International Solid-State Hannover, Germany Test Structures Circuits Conference Paper deadline: Passed. www.see.ed.ac.uk/ICMTS/ www.isscc.org Contact: Michael Y.W. Chia, [email protected] 30 Mar – 2 Apr 2009 8–12 February 2009 Oxnard, CA San Francisco, CA, USA 2008 IEEE Bipolar/BiCMOS Circuits and Paper Deadline: 15 Sept 2008 Paper deadline: 22 Sept. 2008 Technology Meeting - BCTM Technical Chairman: Richard Allen Contact: Courtesy Associates, [email protected] www.ieee-bctm.orgA [email protected] 14-16 Oct 2008 2009 Symposium on VLSI Circuits Monterey, CA Design Automation and Test in Europe www.vlsisymposium.org Paper deadline: Passed (DATE) 2009 16-18 June, 2009 Contact: Ms. Janice Jopke [email protected] www.biztradeshows.com/trade-events/date.html Contact: Phyllis Mahoney, [email protected] 20-24 April 2009 2008 IEEE Compound Semiconductor Integrated Nice, Alpes-Maritime, France SSCS PROVIDES TECHNICAL Circuit Symposium (CSICS) CO-SPONSORSHIP www.csics.org VLSI -TSA/DAT Hot Chips 12 - 15 Oct 2008 vlsitsa.itri.org.tw/2009/General/ www.hotchips.org Monterey CA 27-30 April 2009 24-26 Aug 2008 Paper due date: Passed Hsinchu, Taiwan Palo Alto, CA, USA Contact: William Peatman [email protected] VLSI-TSA Contact : Clara Wu Paper deadline: Passed [email protected] Contact: John Sell, [email protected] Sensors Conference VLSI-DAT Contact: Ms. Elodie HO www.ieee-sensors2008.org [email protected]

SSCS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS is published quarterly by the Solid-State Circuits Society of The Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. $1 per member per year (included in society fee) for each member of the Solid-State Circuits Society. This newsletter is printed in the U.S.A and mailed Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to SSCS IEEE Solid-State Circuits Society News, IEEE, 445 Hoes Lane, Piscataway, NJ 08854. ©2008 IEEE. Permission to copy without fee all or part of any material without a To maintain all your IEEE and SSCS copyright notice is granted provided that the copies are not made or distributed for direct commercial subscriptions, email address corrections to advantage and the title of publication and its date appear on each copy. To copy material with a copy- [email protected] right notice requires specific permission. Please direct all inquiries or requests to IEEE Copyrights Man- To make sure you receive an email alert, keep ager, IEEE Service Center, 445 Hoes Lane, Piscataway, NJ 08854. Tel: +1 732 562 3966. your email address current at sscs.org/e-news

445 Hoes Lane Piscataway, NJ 08854