<<

materials

Article Developing an Analytical Model and Computing Tool for Optimizing Operations of Flat Objects Made of Alloyed Steels

Tudor Deaconescu * and Andrea Deaconescu

Department of Industrial Engineering and Management, Transilvania University of Brasov, 500036 Brasov, Romania; [email protected] * Correspondence: [email protected]; Tel.: +40-268-477113

 Received: 22 February 2020; Accepted: 13 March 2020; Published: 16 March 2020 

Abstract: Lapping is a finishing process where loose grains contained in a slurry are pressed against a workpiece to reduce its surface roughness. To perform a lapping operation, the user needs to set the values of the respective lapping conditions (e.g., pressure, depth of cut, the rotational speed of the pressing lap plate, and alike) based on some material properties of the workpiece, abrasive grains, and slurry, as well as on the desired surface roughness. Therefore, a mathematical model is needed that establishes the relationships among the abovementioned parameters. The mathematical model can be used to develop a lapping operation optimization system, as well. Tothis date, such a model and system are not available mainly because the relationships among lapping conditions, material properties of abrasive grains and slurry, and surface roughness are difficult to establish. This study solves this problem. It presents a mathematical model establishing the required relationships. It also presents a system developed based on the mathematical model. In addition, the efficacy of the system is also shown using a case study. This study thus helps systematize lapping operations in regard to real-world applications.

Keywords: lapping; spherical abrasive grain model; material removal rate; software tool

1. Introduction Surface lapping has been known for thousands of years as a procedure used for the manual finishing of stone objects [1]. For a long period of time, lapping remained a manual process and was considered an art, a craft rather than a science, due to the stochastic, often unpredictable nature of the results [2]. Generally, surfaces were lapped without applying certain rules, merely by combining work parameters based on the operator’s experience. At present manual lapping is rare and has been replaced by mechanized and automated processes. There are some components (mechanical seals, components of pacemakers, measuring instruments, and surgical devices, cutting tools, hard disk drive heads, guiding surfaces of machine tools, and pistons of pumps) which are frequently used in automotive, aircraft, manufacturing, computer, and electronic industries. In most cases, the performances of these components depend on both form accuracy and surface finish. Therefore, if the form accuracy and surface finish of the abovementioned components do not meet the desired level after (e.g., turning, grinding, and alike), lapping (and in some cases followed by polishing) is performed. Therefore, how the form accuracy and surface finish of a workpiece change due to lapping is an important issue of research and implementation. In lapping, loose abrasive grains mixed with slurry are pressed against a workpiece to reduce its surface roughness as well as its form accuracy (e.g., flatness). To perform a lapping operation, the user needs to set the values of the respective lapping conditions (e.g., pressure, depth of cut, the rotational

Materials 2020, 13, 1343; doi:10.3390/ma13061343 www.mdpi.com/journal/materials Materials 2020, 13, x FOR PEER REVIEW 2 of 15

Materialsrotational2020 speed, 13, 1343 of the pressing lap plate, and alike) based on some material properties of2 ofthe 15 workpiece, abrasive grains, and slurry, as well as on the desired surface roughness. Therefore, a speedmathematical of the pressing model is lap needed plate, and that alike) establishes based onthe some relationships material ampropertiesong the of abovementioned the workpiece, abrasiveparameters. grains, and slurry, as well as on the desired surface roughness. Therefore, a mathematical modelA is relatively needed that reduced establishes amount the of relationships specialist study among has the been abovementioned dedicated to parameters. surface lapping. The literatureA relatively features no reduced general amount computational of specialist relationships study linking has been the dedicatedvarious parameters to surface that lapping. impact Thethe outcome literature of features lapping. no The general values computationalof the output quantities relationships like roughness, linking the superficial various parameters layer hardness that, impactor material the outcome removal of rate lapping. are Thepresented values strictly of the output for concrete quantities cases like, roughness,and the results superficial cannot layer be hardness,generalized. or material At present removal, lapping rate is are a process presented that strictly lacks for digital concrete support cases,, including and the results a database cannot of bepractice generalized.-based information At present, that lapping would is aenable process machining that lacks proc digitaless planning support, and including optimization. a database of practice-basedWithin this information context, the that paper would addresses enable this machining gap in knowledge process planning by putting and forward optimization. an algorithm for theWithin modeling this context, of the lapping the paper process addresses of flat this surfaces gap in knowledgeand a software by putting tool developed forward anas algorithma support forfor thespecialists. modeling The of main the lapping objective process of the of paper flat surfaces is to make and available a software to industrial tool developed specialists as a support concerned for specialists.with lapping The technologies main objective, a of working the paper instrument is to make available that simplifies to industrial and specialistssignificantly concerned reduces with the lappingduration technologies, for calculating a working output instrument quantities that that simplifies are the optimized and significantly machining reduces parameters the duration for flat for calculatinglapping. Chapter output 2 quantities presents the that principle are the optimized of processing machining and data parameters on the state for- flatof-art lapping. in this Chapterfield. The 2 presentsnext chapter the principle discusses of the processing computational and data methodology on the state-of-art of the in main this field. output The quantities next chapter of a discusses lapping thesystem computational based on the methodology spherical model of the considered main output for the quantities abrasive of grains. a lapping Further system, in this based chapter on the, a sphericalsoftware modelapplication considered is described for the developed abrasive grains. for the Further, planning in this and chapter, optimization a software of the application process by is describedmeans that developed, the operator for thecan planningset the optimum and optimization work parameters of the process swiftly by. The means paper that, ends the with operator a chapter can setof conclusions. the optimum work parameters swiftly. The paper ends with a chapter of conclusions.

2.2. Principle of Lapping and Related Works InIn lapping,lapping, thethe machiningmachining allowance allowance is is small small (< (<50 50µ m),μm), hence hence the the similarity similarity of of such such machining machining to theto the phenomenon phenomenon of of wear wear by by abrasion abrasion [3 ].[3]. It It is is not not abrasion abrasion only only that that removes removes thethe surplussurplus materialmaterial layer,layer, butbut toto a a smaller smaller extent, extent, also also the the corrosion corrosion caused caused by by the the working working medium medium and and the the occurrence occurrence of fatigueof fatigue [4]. The [4]. coexistence The coexistence of all these of all processes these processes needs detailed needs study detailed and a thorough study and understanding a thorough ofun thederstanding numerous of factors the numerous that contribute factors tothat the contribu removalte of to material. the removal of material. TheThe lappinglapping systemsystem cancan bebe assimilatedassimilated toto aa tribosystemtribosystem consistingconsisting ofof thethe elementselements shownshown inin FigureFigure1 1::

FigureFigure 1.1. Principle of lapping.lapping.

TheThe tribosystem tribosystem consists consists of the of lap the plate, lap one plate, or more one workpieces or more that workpieces are machined that simultaneously, are machined andsimultaneously the semi-liquid, and the medium semi-liquid known medium as slurry. known Each as ofslurry. these Each elements of these cumulates elements a cumulate numbers of a characteristicsnumber of characteristics like hardness, like surface hardness, roughness, surface type roughness, of material, type stateof material, of the surface state of layer, the s graining,urface layer, etc. Allgraining, these characteristicsetc. All these arecharacteristics completed byare parameters completed pertaining by parameters to the pertaining kinematics to of the the kinematics machine-tool of (machining speed, lap plate pressure, eccentricity between the lap plate axis and the axis of rotation of the workpiece support, etc.).

Materials 2020, 13, 1343 3 of 15

Lapping entails the use of a semi-liquid working medium (lapping paste or slurry) consisting of a carrier fluid that holds the abrasive grains in free distribution. The lapping system does not use a tool in the classical sense. Cutting is achieved by the cumulated action of a large number of grains held by a carrier fluid, hence tool edges being geometrically undetermined. As the slurry is fed continuously into the gap between the lap plate and the workpiece, there are always unused (inactive) grains in the work area. At the same time, the slurry also provides cooling and ensures the removal of the chips resulted from machining. Material removal is achieved by the larger grains, known as active grains, the tips of which penetrate into the workpiece surface. [3]. Due to the continuous relative movement of lap plate and workpieces, the free-rolling grains are active and inactive in turn. The penetration depth of each grain depends on the pressure force exerted upon it by the lap plate. Microscopic cracks occur at the contact of grains and workpiece surfaces that, in time, merge and generate micro-craters of a volume that represents 2 to 5% of that of an abrasive grain [5,6]. To date, published research on lapping discusses only specific cases like the machining of ceramic materials, optical glass, SiC wafers, sapphire layers, etc. Thus, for example, paper [7] determines the machinability of several ceramic materials for different loads, working speed, and utilized abrasive materials. The experimental results showed that different slurry compositions hold relevance only for small lap plate pressures, while for greater pressures, the impact on the material removal rate is insignificant. Paper [8] presents the results of oxide ceramics lapping, with a focus on the influence of the contact pressure. Doubling the pressure from 25 to 50 kPa yields an increase of the removed material rate, a decrease of abrasive material consumption, and improved machined surface roughness. In paper [9], the authors analyze the material removal rate in the lapping process of glass and reach the rather obvious conclusion that compared to sharp grains, used abrasive grains remove a smaller quantity of surplus material, while the duration of machining also impacts the volume of removed material. Research concerning the finishing of SiC wafers presented in [10] proposes a four-step lapping process consisting of four stages of both-side lapping with different grit-size . The application of the proposed procedure yielded an outstanding quality of the machined surfaces, with roughness values (arithmetic mean deviation of the profile) reaching Ra = 0.168 nm. Other significant results related to the machining of SiC wafers are given in [11]. The double-sided lapping behavior of sapphire substrates using fixed diamond abrasive pads is evaluated in [12,13]. The conclusion shows that the removal rate is highly sensitive to lap plate pressure and abrasive grain size. The kinematics of lapping machines has also been the object of research. Paper [14] proposes a system of simultaneous two-sided lapping in the planetary motion of the cylindrical surfaces of bearing rollers. The conclusion showed that the rolling speed of rollers only depends on the rotation speed of the lower plate rather than on that of the upper plate. Paper [15] contributes to the study of the trajectories of the abrasive grains between the lapping plate and the workpiece. It is shown that the lapping trajectory can be superposed periodically when a rotation ratio is a rational number. Paper [16] studies the influence of various abrasive materials on the performance of lapping and bronze and arrives at the conclusion that material removal rates were not influenced significantly by using different abrasives. Further, it was observed that surface roughness and flatness improve with the hardness of the abrasive. Regarding the material removal rate (MRR) in lapping many researchers rely on the abrasion/wear-based Preston’s equation (MRR = K p vr), where K is Preston’s coefficient, and the · · predominant input quantities are lap plate pressure (p) exerted on the workpiece and the relative speed (vr) of the two components [17]. Experimental research conducted by other researchers, however, proved that also important for calculating MRR are the characteristics of the abrasives or the lap plate material and its surface quality (roughness). It was further shown that the dependence of MRR on the various input quantities is not linear and that Preston’s equation does not explain such behavior. Materials 2020, 13, 1343 4 of 15

The above considerations reveal the lack of a unitary approach to lapping, expressed by general computational relationships for the various work parameters. For this reason, this paper puts forward a new method for determining the technical time norm, the cutting parameters, and the material removal rate in flat lapping. This new method was materialized by conceiving a software tool that helps operators with the swift, automated planning of the machining process.

3. Modeling the Lapping of Flat Surfaces The complexity of the phenomena occurring simultaneously during lapping requires the use of models for a true description of the machining process. Models help in identifying existing correlations between numerous parameters that influence machining and allow moving to the next step of automated design and optimization of the production process. Literature includes several models of abrasive erosion focused on grain shape. Best known shapes are conical, spherical, diamond, prismatic, the Cassini oval, etc. [18–20]. The shape of abrasive grain is extremely complex and cannot be reduced to one of the above models as each grain is different from any other grain, the abrasive process has to be analyzed based on a model that reproduces reality as closely as possible. In [1] and [3], lapping is described as a very low-speed process where the abrasive grains will first roll, slide, and then the actual chipping action starts. First, the abrasive grains roll along the workpiece, and then slide and with the application of load, the pressure on the grains constantly rises, leading to a chipping action (dislodging of miniature chips from the material). According to these descriptions, lapping is a process characterized by static loads that are applied progressively so that inertial forces are negligible. There are no dynamic loads involved that are applied with a certain velocity or abruptly, as is the case, e.g., in an abrasive jet or ultrasonic abrasive machining. Within this context, the authors have formulated hypotheses on the basis of that the static model of grain penetration into the workpiece was conceived. These hypotheses include:

The large active abrasive grains roll slowly between the lap plate and the workpiece; • In turn, the tip of each grain penetrates the workpiece surface, what is a slow and shock-free process; • The pressure is applied to the abrasive grains progressively, in a shock-free manner. • In lapping abrasive grains are required to have an isometric (compact) shape in order to avoid their orientation by preferential directions. Based on this requirement, the proposed mathematical model uses the following hypotheses [21]:

The abrasive grains are spherical (Figure2). A spherical shape was adopted starting from research • conducted by Moon, who proved by means of a scanning electron microscope (SEM) that the shape of the abrasive grains used in lapping is approximately round [22]. On their turn, Luo and Dornfeld proved that in calculating MRR the spherical model of abrasive grains yields the results closest to reality [23]. According to the theory of elasticity, the Hertzian contact stress formulae apply to the contact area • between the abrasive grain and the processed surface; The materials of the workpiece and the lap plate are isotropic, and the contact stress is • uniformly distributed; The phenomenon of cold-hardening is neglected; • The quantity of settled material around the grain is neglectable. • Materials 2020, 13, 1343 5 of 15 Materials 2020, 13, x FOR PEER REVIEW 5 of 15

FigureFigure 2. 2.Spherical Spherical modelmodel ofof thethe abrasiveabrasive grain.grain.

FigureFigure2 2also also illustrates illustrates the the distribution distribution of of stress stress in in the the contact contact area area between between abrasive abrasive grain grain and and thethe processedprocessed surface.surface. TheThe maximummaximum shearshear stressstress ofof thethe machined machined material, material,τ τmaxmax,, isis calculatedcalculated byby EquationEquation (1)(1) [24[24]:]: P1 τmax = 0.47 pmed = 0.47 (1) · ·π a2 푃1 휏푚푎푥 = 0.47 · 푝푚푒푑 = 0.47 ·· a/w 2 (1) 휋 ∙ 푎푎/푤 where pmed is the average contact pressure, and aa/w is the radius of the contact circle between the abrasivewhere p grainmed is the and average the machined contact material. pressure, and aa/w is the radius of the contact circle between the abrasiveThe theoreticalgrain and the shear machined strength material. of a material is calculated by Equation (2) [24]: The theoretical shear strength of a material is calculated by Equation (2) [24]: Gw τt = (2) k퐺푤 휏 = (2) 푡 푘 where Gw is the transverse elastic modulus of the machined material, and k is a coefficient that can have the following values [24]: where Gw is the transverse elastic modulus of the machined material, and k is a coefficient that can havek the= 2 followingπ, for metals values (Al, [24]: Cu, Fe); • · k = 0.8 π, for Si, Ge, diamond. •• k = 2∙π, ·for metals (Al, Cu, Fe); • kWhen = 0.8∙π, the for maximum Si, Ge, diamond. shear stress exceeds the theoretical shear strength τt, a tangential sliding of the material is initiated that causes a crack in it. By multiplying the actions of the abrasive grains, the numberWhen the of cracks maximum increases, shear thestress cracks exceeds intersect, the theoretical and consequently, shear strength the material τt, a tangential removal sliding process of isthe developed. material is initiated that causes a crack in it. By multiplying the actions of the abrasive grains, the numberThe equationsof cracks increases, above indicate the cracks that in intersect metals the, and tangential consequently sliding, the of thematerial material removal occurs process when: is developed. The equations above indicate that in metals theP 1tangentialGw sliding of the material occurs when: τmax = 0.47 > (3) · a2 2π π a/w · 푃1 퐺푤 휏푚푎푥 = 0.47 · 2 > (3) wherefrom the value of force P1 can be calculated that휋 ∙ 푎 has푎/푤 to be2휋 applied to an abrasive grain so that tangential sliding occurs (Gw = 81 GPa for steel): wherefrom the value of force P1 can be calculated that has to be applied to an abrasive grain so that tangential sliding occurs (Gw = 81 GPa for steel):P > 86.17 a2 (4) 1 · a/w 2 푃1 > 86.17 · 푎푎/푤 (4) The radius of the contact circle between the abrasive grain and the machined metal aa/w is calculated by EquationThe radius (5) [25 of]: the contact circle between the abrasive grain and the machined metal aa/w is r calculated by Equation (5) [25]: 3 3 P1 dmax Ka/w a = · · · (5) a/w 4

3 3 ∙ 푃1 ∙ 푑푚푎푥 ∙ 퐾푎/푤 푎 = √ (5) 푎/푤 4

Materials 2020, 13, 1343 6 of 15

where dmax is the maximum diameter of the abrasive grains, and Ka/w is a constant depending on the materials of the grain and of the lapped workpiece:

2 2 ! 1 1 νa 1 νw Ka/w = − + − (6) 2· Ea Elp where ν are the Poisson’s ratios, and E are the longitudinal elastic moduli (Young’s moduli). The indices a and w of the two quantities refer to the material of the abrasive grain and of the machined surface, respectively. By introducing Equation (5) into (4), the value of force P1 can be determined:

!2 3 dmax Ka/w P > 86.173 · · (7) 1 · 4

The radius aa/w of the contact circle can also be computed geometrically according to Figure2 by Equation (8): q 2 a = dmax hw h (8) a/w · − w From the above relationship follows a quadratic Equation (9) the solutions of which yield the penetration depth of an abrasive grain into a steel workpiece (hw):

2 2 h hw dmax + a = 0 (9) w − · a/w The abrasive grain penetrates not only into the workpiece but also into the lap plate. The abrasive grain—lap plate contact is analyzed similarly to the above case, taking into account the material characteristics of this friction pair. Lap plates are typically made from cast iron that has a smaller Young’s modulus than steel. For this reason, in the case of cast iron, a larger value will result in the force P1 to be applied to an abrasive grain in order to cause tangential sliding. As the useful effect of lapping is measured on the workpiece and not on the lap plate, the value of the downward force (4) necessary for machining the workpiece will be used for the computations related to the abrasive grain-lap plate contact. In this case, the radius of the contact circle between abrasive grain and the lap plate is: r 3 3 P1 dmax Ka/lp a = · · · (10) a/lp 4 where Ka/lp is:  2   2 1 ν  1 1 νa − lp  Ka/lp =  − +  (11) 2· Ea Elp  where the index lp refers to the material of the lap plate. Similarly to the abrasive grain/workpiece contact, also in the case of the abrasive grain/lap disc contact the penetration depth of the abrasive grain into the lap plate hD can be calculated as the solutions of Equation (12): 2 2 h h dmax + a = 0 (12) lp − lp· a/lp Figure3 shows the penetration depth of the abrasive grain made from SiC () and CBN (Cubic Boron Nitride), respectively, into a steel workpiece and a cast iron lap plate versus the dimensions of the abrasive grains: Materials 2020, 13, 1343 7 of 15

Materials 2020, 13, x FOR PEER REVIEW 7 of 15 (a) (b)

Figure 3. (a): Dependences of the penetration depths of the abrasive grains into the workpiece (hw) Figure 3. (a): Dependences of the penetration depths of the abrasive grains into the workpiece (hw) and (b): into the lap plate (hlp) versus grain diameter and grain material. and (b): into the lap plate (hlp) versus grain diameter and grain material.

It can be noticed that the difference in hardness of the two grain materials (HSiC < HCBN) generates It can be noticed that the difference in hardness of the two grain materials (HSiC < HCBN) generates different penetration depths, as a grain made from CBN erodes more material. different penetration depths, as a grain made from CBN erodes more material. Figure 4 shows the penetration depths into the workpiece and into the lap plate of an abrasive Figure4 shows the penetration depths into the workpiece and into the lap plate of an abrasive grain made from SiC versus grain diameter. It can be noticed that the grain penetrates more into the grain made from SiC versus grain diameter. It can be noticed that the grain penetrates more into lap plate because of the difference in Young’s moduli of the materials of the two components. the lap plate because of the difference in Young’s moduli of the materials of the two components. Nevertheless, the abrasive grains do not erode the lap plate, grain penetration causing only elastic Nevertheless, the abrasive grains do not erode the lap plate, grain penetration causing only elastic and and plastic strain. No material chips are removed from the lap plate surface because the force exerted plastic strain. No material chips are removed from the lap plate surface because the force exerted by by the grain is not sufficient to cause tangential sliding of the material. the grain is not sufficient to cause tangential sliding of the material.

FigureFigure 4. PenetrationPenetration depths depths of of abrasive abrasive grain grain made made from from SiC SiC into into the the workpiece workpiece and and the the lap lap plate versus the grain diameter. Material is removed from the workpiece surface only by large grains, known as active grains, Material is removed from the workpiece surface only by large grains, known as active grains, of of sizes included in the interval [δ; dmax] (Figure5). The other, inactive grains of dimensions smaller sizes included in the interval [δ; dmax] (Figure 5). The other, inactive grains of dimensions smaller than than δ, float in the gap between lap plate and workpiece. The accurate prognosis of the number of δ, float in the gap between lap plate and workpiece. The accurate prognosis of the number of abrasive abrasive grains involved in machining is important for the determination of MRR. grains involved in machining is important for the determination of MRR. Figure 5 presents the working diagram of an active abrasive grain; the powder consists of F400 micro grains of an average grain size dmed = 17.3 ± 1 µm. According to ISO 8486-2, the theoretical grain sizes in the 3% and 94/95% points of the grain size distribution curve (ds3 and ds94/95) are of 32 and 8 µm, respectively [26]. Figure 5 illustrates this distribution.

1

Materials 2020, 13, 1343 8 of 15 Materials 2020, 13, x FOR PEER REVIEW 8 of 15

FFigureigure 5. 5. DistributionDistribution of of F400 F400 abrasive abrasive grain grain sizes. sizes.

TheFigure hashed5 presents area on the the working right-hand diagram side of of the an activegraph abrasiveindicates grain;the fraction the powder of abrasive consists grains of F400 that actuallymicro grains participate of an average in the cutting grain size processdmed = (grains17.3 of1 µ sizem. According> δ). This fraction to ISO 8486-2, is calculated the theoretical by Equation grain ± (13):sizes in the 3% and 94/95% points of the grain size distribution curve (ds3 and ds94/95) are of 32 and 8 µm, respectively [26]. Figure5 illustrates this distribution. 푧 The hashed area on the right-hand side of the graph푧2 indicates the fraction of abrasive grains 1 − that actually participate in the cutting퐹푝 = process0.5 − (grains∫ 푒 of2 size∙ 푑푧 > δ). This fraction is calculated(13 by) √2 ∙ 휋 Equation (13): 0 Zz 1 z2 whereby the change of variable the so-Fcalledp = 0.5 normed normale− 2 variabledz z is calculated by Equation (14):(13) − √2 π · · 0 훿 − 푑푚푒푑 푧 = (14) whereby the change of variable the so-called normed휎 normal variable z is calculated by Equation (14):

For F400 abrasive material made from SiC, δthed calculatedmed value of Fp is 0.05448, meaning that z = − (14) merely 5.448% of the abrasive grains participate in theσ cutting process. The volume of abrasive material necessary for lapping is calculated based on the formula of For F400 abrasive material made from SiC, the calculated value of Fp is 0.05448, meaning that abrasivemerely 5.448% concentration of the abrasive in the lapping grains participateslurry: in the cutting process. The volume of abrasive material necessary for lapping is calculated based on the formula of 푚푎 휌푎 ∙ 푉푎 abrasive concentration in the lapping퐶 = slurry: = (15) 푚푎 + 푚푙 휌푎 ∙ 푉푎 + 휌푙 ∙ 푉푙

m ρa Va where m, ρ and V are the mass, densityC =, and athe volume= of· the abrasive (index a) and of the carrier(15) ma + m ρa Va + ρ V fluid (index l), respectively. l · l· l Denoting the area of the surface to be machined by S and the machining allowance by h, the where m, ρ and V are the mass, density, and the volume of the abrasive (index a) and of the carrier volume, volume flow rate, and the mass of the abrasive to be fed to the working area over the entire fluid (index l), respectively. duration of machining is calculated by Equations (16) to (18):

휌푙 ∙ 퐶 ∙ 푆 ∙ (ℎ + 훿) 1 푉푎 = ∙ (16) 휌푎 ∙ (1 − 퐶) + 휌푙 ∙ 퐶 100 ∙ 퐹푝

Materials 2020, 13, 1343 9 of 15

Denoting the area of the surface to be machined by S and the machining allowance by h, the volume, volume flow rate, and the mass of the abrasive to be fed to the working area over the entire duration of machining is calculated by Equations (16) to (18):

ρl C S (h + δ) 1 Va = · · · (16) ρa (1 C) + ρ C·100 Fp · − l· ·

ρl C S (h + δ) 1 Qa = · · · (17) ρa (1 C) + ρl C·100 Fp t · − · · · ma = ρa Va (18) · The material removal rate (MRR) is also determined in dependence on the magnitude of the machining allowance h and the duration of machining t:

h MRR = (19) t The proposed spherical model, together with all equations deriving from it, underlies the development of a technological software tool that enables swift automated planning of flat surface lapping.

4. Flat Lapping Technological Software Tool (FLaT) The software tool developed for flat lapping (FLaT) includes specially conceived algorithms, dedicated databases, and a friendly user interface. Within a complete software application, FLaT is the component designed to provide the end-user with the necessary technical documentation. The output quantities provided by the software tool are the machining time (min), the height of the removed layer of material (µm), the material removal rate (µm/min), the flow rate of the abrasive (mm3/min) and the mass of the abrasive (g). The processing time was calculated by Equation (20):

vRp vR Ra f √Rai t = q − (20) vR xR yR zR uR KR e C vr p · · · · with the following notations [4]:

Ra , Ra —workpiece surface roughness (arithmetic mean deviation of the profile) before (Ra ) and • i f i after (Raf) lapping, respectively; K , vR, xR, yR, zR, uR—experimentally determined coefficients and exponents. The values of • R these coefficients and the characteristics of machined materials are included in a database that is part of the software tool (FLaT); e—eccentricity of the lap plate • C—concentration of the abrasive; • vr—relative machining speed; • p—pressure exerted by the lap plate onto the workpiece. • The height of the removed material layer is calculated by a relationship of the form of Equation (21):

h = K exh Cyh vrzh puh tvh (21) h· · · · · where Kh, vh, xh, yh, zh, uh are experimentally determined coefficients and exponents. The values of these coefficients are included in the same database that comprises the machined materials [4]. Figure6 shows the flowchart of the flat lapping process: Materials 2020, 13, 1343 10 of 15 Materials 2020, 13, x FOR PEER REVIEW 10 of 15

Figure 6. Figure 6. FlowchartFlowchart of of the the software software tool. tool. The software tool was developed as a Visual Basic.NET application and provides the graphic user The software tool was developed as a Visual Basic.NET application and provides the graphic interface shown in Figure7: user interface shown in Figure 7:

Materials 2020, 13, 1343 11 of 15 Materials 2020, 13, x FOR PEER REVIEW 11 of 15

Figure 7. The u userser interface of the software tool. The initial step requires the user to select the material to be machined. For this, the software The initial step requires the user to select the material to be machined. For this, the software tool tool makes available a database with information on several types of steel (including the coefficients makes available a database with information on several types of steel (including the coefficients and and exponents from Equations (20) and (21)). The information is retrieved by connecting to an exponents from Equations (20) and (21)). The information is retrieved by connecting to an MS-Access MS-Access file. file. After entering the values of the initial and final roughness and of the machined surface area, After entering the values of the initial and final roughness and of the machined surface area, the the user is required to select the working mode by means of radio buttons; thus, optimized input user is required to select the working mode by means of radio buttons; thus, optimized input data data can be used provided by a dedicated application known as TagMaster [27], or input data can be can be used provided by a dedicated application known as TagMaster [27], or input data can be entered manually. By using the optimum values provided by TagMaster from its own built-in database, entered manually. By using the optimum values provided by TagMaster from its own built-in the machining process is rendered robust, which is insensitive to external noise factors. database, the machining process is rendered robust, which is insensitive to external noise factors. The next step is the selection of the abrasive material and its respective graining. Upon pressing The next step is the selection of the abrasive material and its respective graining. Upon pressing the Start button, the desired results are displayed. the Start button, the desired results are displayed. A series of conclusions followed upon running the software tool: A series of conclusions followed upon running the software tool: The duration of processing is greater when eccentricity and relative speed increase or for lower • • Theabrasive duration concentrations of processing and is workinggreater when pressures; eccentricity and relative speed increase or for lower abrasiveThe machining concentrations allowance and increases working when pressures; increasing eccentricity and relative speed. The machining • • Theallowance machining is lesser allowance impacted increases by modifications when increasing of working eccentricity pressure and and abrasive relative concentration; speed. The machiningThe material allowanc removale is rate lesser (MRR impacted) increases by significantly modifications when of working working pressure pressure and abrasive • concentration;concentration are increased, and has insignificantly modified values for variations of the relative • Thespeed material and eccentricity. removal rate The (MRR most) increases significant significantly increase of whenMRR is working influenced pressure by the and downward abrasive concentrationpressure between are increased, the lap plate and and has the insignificantly workpiece, while modified the relative values speed for vari hasations the smallest of the relative impact. speed and eccentricity. The most significant increase of MRR is influenced by the downward The flow rate of the abrasive increases with increasing abrasive concentration and working • pressure between the lap plate and the workpiece, while the relative speed has the smallest pressure, or with decreasing relative speed and eccentricity. impact. • TheFigures flow8 rateand 9 of illustrate the abrasive the utilization increases ofwith the increasing software tool abrasive in a number concentration of concrete and working cases, as wellpressure, as the or variation with decreasing of the machining relative speed allowance and andeccentricity.MRR in the dependence on working pressure and concentration.

Materials 2020, 13, x FOR PEER REVIEW 12 of 15 Materials 2020, 13, x FOR PEER REVIEW 12 of 15 Figures 8 and 9 illustrate the utilization of the software tool in a number of concrete cases, as Figures 8 and 9 illustrate the utilization of the software tool in a number of concrete cases, as well as the variation of the machining allowance and MRR in the dependence on working pressure wellMaterials as 2020the ,variation13, 1343 of the machining allowance and MRR in the dependence on working pressure12 of 15 and concentration. and concentration.

Figure 8. Variation of the machining allowance and material removal rate with the increase of Figure 8. VariationVariation of of the the machining machining allowance allowance and and material material removal removal rate rate with with the the increase of working pressure. working pressure.

Figure 9. Figure 9. VariationVariation of of the the machining machining allowance allowance and and material material removal removal rat ratee with the increase of Figureabrasive 9. concentration. Variation of the machining allowance and material removal rate with the increase of abrasive concentration. abrasive concentration. It can be noticed a 50% increase in working pressure and abrasive concentration has an insignificant It can be noticed a 50% increase in working pressure and abrasive concentration has an impactIt can on the be machining noticed a allowance. 50% increaseMRR in, however,working pressureincreases andmore abrasive than three concentration times with growing has an insignificant impact on the machining allowance. MRR, however, increases more than three times insignificantpressure and impact by about on 40% the formachining higher concentrations. allowance. MRR, however, increases more than three times with growing pressure and by about 40% for higher concentrations. with Angrowing analysis pressure of several and combinationsby about 40% offor input higher values concentrations. led to the conclusion that MRR is impacted An analysis of several combinations of input values led to the conclusion that MRR is impacted the mostAn analysis by the pressureof several exerted combinations by the lapof input plate values on the led workpiece to the conclusion and by the that concentration MRR is impacted of the the most by the pressure exerted by the lap plate on the workpiece and by the concentration of the theabrasive. most by This theyields pressure the exerted idea to by replace the lap the plate relative on the speed workpiece by the concentrationand by the concentration of the abrasive of the in abrasive. This yields the idea to replace the relative speed by the concentration of the abrasive in abrasive.Preston’s This equation yields for the the idea calculation to replace of MRR. the relativeThus, the speed original by the formula concentrationMRR = k ofp vrthe[17 abrasive] becomes: in Preston’s equation for the calculation of MRR. Thus, the original formula MRR = k∙p∙vr· · [17] becomes: Preston’s equation for the calculation of MRR. Thus, the original formula MRR = k∙p∙vr [17] becomes: q r 푀푅푅MRR==퐾K∙ 푝p푞 ∙C퐶푟 (22(22)) 푀푅푅 = 퐾 ∙ 푝· 푞 ·∙ 퐶푟 (22) where K is an experimentally determined coefficient taking into account the influence of the other where KK isis an an experimentally experimentally determined determined coe coefficientfficient taking taking into into account account the influencethe influence of the of other the other input input quantities. The exponents q and r have experimentally determined values and are the inputquantities. quantities. The exponents The exponentsq and r haveq and experimentally r have experimentally determined determined values and are values the mathematical and are the mathematical expression of the non-linear dependency of MRR on pressure and concentration. mathematicalexpression of theexpression non-linear of the dependency non-linear of dependencyMRR on pressure of MRR and on concentration.pressure and concentration. The results provided by the Flat Lapping Technological Software Tool (FLaT) were validated The results provided by by the Flat Lapping Technological Technological Software Tool Tool (FLaT) were validated based on their comparison to the experimental ones [4]. Thus, for example, Figure 10 shows the based on on their their comparison comparison to to the the experimental experimental ones ones [4]. [4] Thus,. Thus for, example, for example Figure, F igur10 showse 10 shows the graphs the graphs obtained upon flat surface lapping of several sheets of steel next to a screen featuring the data graphsobtained obtained upon flat upon surface flat lappingsurface lapping of several of sheetsseveral of sheets steel next of steel to a next screen to featuringa screen featuring the data provided the data provided by FLaT. The coincidence of the experimental values with those provided by the proposed providedby FLaT. Theby FLaT coincidence. The coincidence of the experimental of the experimental values with values those with provided those provided by the proposed by the proposed software software tool can be noticed. softwaretool can betool noticed. can be noticed.

MaterialsMaterials2020 2020, ,13 13,, 1343 x FOR PEER REVIEW 1313 of of 15 15

Figure 10. Comparison of the experimental values and those provided by the Flat Lapping Technological Software Tool (FlaT). Figure 10. Comparison of the experimental values and those provided by the Flat Lapping 5. ConclusionsTechnological Software Tool (FlaT).

5. ConclusionsFlat surface lapping is a finishing machining process meant to ensure special form and dimensional precision, low roughness, and superficial material layers unaffected thermally. Although known for thousandsFlat surface of years, lapping this method is a offinishing processing machining materials process does not meant benefit to from ensure thorough special theoretical form and support.dimensional To this precision, day, lapping low is roughness performed, and empirically. superficial The material quality of layers the machined unaffected surface thermally. often diAlthoughffers from know one operatorn for thousands to the other, of years the output, this method quantities of processing depending materials on the selection does not based benefit solely fro onm personalthorough experience theoretical of thesupport. values To of thethis system day, lapping input parameters. is performed In order empirically. to ensure The the quality predictability of the ofmachined lapping resultssurface thorough often differs study from concerning one operator the interlinking to the other, of the numerous output inputquantities quantities depending is called on for,the asselection well as computer-aidedbased solely on workingpersonal tools.experience of the values of the system input parameters. In orderWithin to ensure the frameworkthe predictability of these of requirements,lapping results the thorough paper putsstudy forward concerning a number the interlinking of original of contributionsnumerous input useful quantities to industrial is called specialists. for, as well Thus, as computer the paper- presents:aided working tools. Within the framework of these requirements, the paper puts forward a number of original contributionsAn original useful methodology to industrial for specialists. calculating Thus lapping, the processpaper presents outcomes,: based on the assumption • of the spherical model for the abrasive grains. Modeling the flat surface lapping process is also  basedAn original on the methodology estimation of thefor calculating percentage oflapping abrasive process grains outcomes that participate, based on in thethe actualassumption cutting, of presumingthe spherical a normal model distribution for the abrasive of grain grains. sizes. Modeling the flat surface lapping process is also based on the estimation of the percentage of abrasive grains that participate in the actual cutting, The spherical model-based working methodology is a quantitative tool that determines the presuming a normal distribution of grain sizes. penetration depths of the abrasive grains into the workpiece and the lap plate, the duration of cutting, the necessaryThe machining spherical model allowance,-based the working material methodology removal rate, is and a quantitative the abrasive tool flow that rate determines to be fed into the the workingpenetration area. depths of the abrasive grains into the workpiece and the lap plate, the duration of Acutting, software the application necessary based machining on the allowance, proposed model the material that provides removal the engineerrate, and withthe abrasive the necessary flow • technicalrate to be documentation. fed into the working The application area. simplifies and significantly reduces the duration for calculating the output quantities representing the optimized machining parameters for flat lapping.  A software application based on the proposed model that provides the engineer with the This working instrument is validated by the coincidence of the results provided by the proposed necessary technical documentation. The application simplifies and significantly reduces the software tool with that obtained experimentally. duration for calculating the output quantities representing the optimized machining parameters Thefor flat utility lapping. of this This software working applicationinstrument is consists validated in by the the data coincidence provided of tothe industrial results provided users, namelyby outputthe proposed quantities software like machining tool with that time obtained (min), height experimental of the removedly. layer of material (µm), material removal rate (µm/min), the flow rate of the abrasive (mm3/min), and the required mass of the abrasiveThe (g). utility of this software application consists in the data provided to industrial users, namely output quantities like machining time (min), height of the removed layer of material (μm), material

Materials 2020, 13, 1343 14 of 15

In addition to its practical utility, the software tool for flat lapping (FLaT) also offers swift conclusions as to the influence of the input quantities to the system on the results of machining.

Author Contributions: T.D. and A.D. conceived Sections1–3 and5; T.D. designed the software tool (Section4) and wrote the first version of the manuscript. All authors contributed to writing and correcting the document. All authors have read and agreed to the published version of the manuscript. Funding: This research received no external funding. Conflicts of Interest: The authors declare no conflict of interest.

References

1. Marinescu, I.D.; Uhlmann, E.; Doi, T.K. Handbook of Lapping and Polishing; CRC Press Taylor & Francis Group: Boca Raton, FL, USA, 2006. 2. Sunanta, O. Flat Surface Lapping: Process Modeling in an Intelligent Environment. Ph.D. Thesis, University of Pittsburgh, Pittsburgh, PA, USA, 2002. 3. König, W.; Klocke, F. Machining Processes, Grinding, , Lapping; VDI Verlag: Düsseldorf, Germany, 1996. 4. Deaconescu, A.; Deaconescu, T. Experimental and Statistical Parametric Optimisation of Surface Roughness and Machining Productivity by Lapping. Trans. FAMENA 2015, 39, 65–78. 5. Wise, J.D. Flat lapping. J. Home Metal Shop Club Houst. 1999, 4, 8. 6. Chang, K.Y.; Song, Y.H.; Lin, T.R. Analysis of lapping and polishing of a gauge block. Int. J. Adv. Manuf. Techn. 2002, 20, 414–419. [CrossRef] 7. Kang, J.; Hadfield, M. Examination of the material removal mechanisms during the lapping process of advanced ceramic rolling elements. Wear 2005, 258, 2–12. [CrossRef] 8. Khanov, A.M.; Muratov, K.R.; Gashev, E.A. Investigation of the Abrasive Lapping Process of Oxide Ceramics. Oriental J. Chem. 2016, 32, 391–398. [CrossRef] 9. Belkhir, N.; Bouzid, D.; Herold, V. Surface behavior during abrasive grain action in the glass lapping process. App. Surf. Sci. 2009, 255, 7951–7958. [CrossRef] 10. Suzuki, A.; Okumura, H.; Kimoto, T.; Fuyuki, T.; Fukuda, K.; Nishizawa, S. Development of Lapping and Polishing Technologies of 4H-SiC Wafers for Power Device Applications. Mat. Sci. Forum 2007, 600, 819–822. 11. Su, J.X.; Liu, X.L.; Zhang, Z.Q.; Liu, Z.X. Influence of Lapping Parameters on 6H-SiC Crystal Substrate (0001) C Surface Based on Diamond Particle. Adv. Mat. Res. 2012, 565, 237–242. [CrossRef] 12. Kim, H.M.; Manivannan, R.; Moon, D.J.; Xiong, H.; Park, J.G. Evaluation of double-sided lapping using a fixed abrasive pad for sapphire substrates. Wear 2013, 302, 1340–1344. [CrossRef] 13. Kim, H.M.; Park, G.H.; Seo, Y.G.; Moon, D.J.; Cho, B.J.; Park, J.G. Comparison between sapphire lapping processes using 2-body and 3-body modes as a function of diamond abrasive size. Wear 2015, 332, 794–799. [CrossRef] 14. Yuan, J.; Yao, W.; Zhao, P.; Lyu, B.; Chen, Z.; Zhong, M. Kinematics and trajectory of both-sides cylindrical lapping process in planetary motion type. Int. J. Mach. Tools Manuf. 2015, 92, 60–71. [CrossRef] 15. Wen, D.; Qi, H.; Ma, L.; Lu, C.; Li, G. Kinematics and trajectory analysis of the fixed abrasive lapping process in machining of interdigitated micro-channels on bipolar plates. Prec. Eng. 2018, 44, 192–202. [CrossRef] 16. Deshpande, L.S.; Raman, S.; Sunanta, O.; Agbaraji, C. Observations in the flat lapping of stainless steel and bronze. Wear 2008, 265, 105–116. [CrossRef] 17. Preston, F.F. The theory and design of plate glass polishing machines. J. Soc. Glass Technol. 1927, 11, 214–256. 18. Funabashi, K.; Nakamura, T. Microscopic normal displacement of contacting bodies with tangential load. Wear 1987, 114, 339–354. [CrossRef] 19. Ronen, A.; Malkin, S. Wear mechanism of statistically loaded hydrodynamics bearing by contaminants abrasive particles. Wear 1981, 68, 371–389. [CrossRef] 20. Yampolski, G.; Kragelskii, I.V.; Yushakov, I.V. Abrasive wear of rolling bearings. Trib. Int. 1981, 14, 137–138. [CrossRef] 21. Deaconescu, A.; Deaconescu, T. Particularities of Plane Surface Lapping. Appl. Mech. Mat. 2015, 809, 9–14. [CrossRef] 22. Moon, Y. Mechanical Aspects of the Material Removal Mechanism in Chemical Mechanical Polishing (CMP). Ph.D. Thesis, University of California, Berkeley, CA, USA, 1999. Materials 2020, 13, 1343 15 of 15

23. Luo, J.; Dornfeld, D.A. Material Removal Mechanism in Chemical Mechanical Polishing: Theory and Modeling. IEEE Trans. Semicond. Manuf. 2001, 14, 112–133. 24. Taniguchi, N. Nanotechnology; Tehnică Publishing House: Bucure¸sti,Romania, 2002. 25. Paland, E.G. Technisches Taschenbuch; Schaeffler KG: Herzogenaurach, Germany, 2002. 26. ISO. Bonded Abrasives. Determination and Designation of Grain Size Distribution—Part 2: Microgrits F230 to F2000; ISO 8486-2:2007; ISO: Geneva, Switzerland, 2007. 27. Deaconescu, A. Decision Support System Based on Robust Design Methods. In Proceedings of the 4th International Conference on Biomedical and Bioinformatics Engineering (ICBBE), Seoul, Korea, 12–14 November 2017; pp. 109–114.

© 2020 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).