April 16, 2019

INITIATING COVERAGE Innovating the Future of Metrology; Initiating Coverage with a Buy Nova Measuring Instruments Ltd. (NVMI) BUY Stock Rating $33.00 We are initiating coverage of Nova Measuring Instruments (NVMI) with a Buy rating Price Target and Price Target of $33, based on 18x our CY20 NG EPS (ex SBC) estimate. Nova is a pure-play wafer fabrication equipment (WFE) vendor participating exclusively in the Process Control optical and materials metrology market. Nova is one of three participants in the thin- film metrology market, which is one of the fastest growing process control segments N. Quinn Bolton, CFA with a ~20% 2014-2018 CAGR. We are positive on Nova’s growth potential in the long run reflecting the company’s leadership position in integrated OCD, growth prospects (212) 705-0322 [email protected] for the company’s unique and innovative XPS technology for materials metrology and Charles Shi, Ph.D. the anticipated market introduction of two new technologies with at least one expected toA metrologybegin generating pure play revenue in 2019. (415) 262-4868 [email protected] . Nova is a pure-play WFE vendor solely focused on the optical Michelle Waller thin film metrology segment of process control, one of the fastest growing process control segments with a ~20% 2014-2018 CAGR. Similar to the deposition, etch and (212) 705-0295 CMP equipment markets, optical thin film metrology is benefiting from the adoption of [email protected] multipleThe leader patterning in integrated in advanced OCD logic and the increasing layer counts in 3D NAND.

. Since its founding in 1993, Nova has been an innovator of integrated metrology solutions and has established the market leadership position, including in CMP. Nova is well positioned to benefit from increasing CMP process control demand and its leadership position in the foundry market where CMP intensity is high and fast growing. According to CMP market leader, AMAT, the number of CMP steps in wafer manufacturing has increased with the proliferation of 3D device architectures in logic and memory. This trend should continue as the leading logic IDMs and foundries transitionXPS gives toNova 10/7/5nm a unique and position Gate-All-Around in materials (GAA) composition architectures. metrology

. With its unique X-Ray technology (XPS) used to measure both film thickness and material composition, Nova stands to benefit from several long term growth drivers within Stock Price Performance optical thin-film and materials metrology. Nova’s XPS technology is unlike other

Price (USD) materials metrology methods used today, and its early success in gaining share within Nova Measuring Instruments Ltd. 04/16/19$34.00 Nova continues its strong history of innovation the memory market is a sign of this technology’s strong future, in our view. $32.00

. Nova expects to bring two new $30.00 technologies to market in 2019, one of which is expected to generate revenue before $28.00 the end of the year. While details of these two new technologies remain limited, the $26.00 company expects both programs, which have been in development with lead customers $24.00 Jul-18 Jun-18 Sep-18 Aug-18 for nearly three years, to address both the memory and foundry/logic end markets and May-18 to increase the company’s 2020 TAM by $200MM. We believe the new technologies may combine OCD and X-Ray into a single platform to address unique challenges in the 3D NAND and advancedFY 12/31/2018 logic segments. FY 12/31/2019 FY 12/31/2020 Market Data Actual New New

Rev. (MM)

Growth Price (04/15/2019) $28.65 251.1A 230.0E 265.0E Op. Margin 52-Week Range $32.00 - $22.86 13.1% (8.4)% 15.2% EPS: 1Q 26.8%A 18.9%E 22.9%E Shares Outstanding 28.02 EPS: 2Q 0.54A 0.29E 0.41E Market Cap (MM) $802.9 EPS: 3Q 0.46A 0.29E 0.46E EPS: 4Q Avg. Daily Volume 137,050.0 0.52A 0.33E 0.47E EPS: Year Total Debt/Cap. 0.00% 0.59A 0.44E 0.52E P/ERatio 2.11A 1.35E 1.85E 13.6x 21.2x 15.5x Pro forma earnings estimates displayed above excludeRelevant stock compensation disclosures expenses. begin on page 21 of this report. Needham & Company, LLC April 16, 2019

Nova Measuring is a Pure-Play in Optical Thin Film Metrology, Process Control’s Fastest Growing Segment Nova Measuring Instruments is a pure-play WFE vendor solely focused on the optical thin film metrology segment of process control. As a major segment of WFE, process control is known for its higher GMs and relatively lower revenue volatility, but it has been one of the slower growing major WFE segments, significantly lagging behind etch, deposition as well as lithography over the past two years. However, optical thin film metrology has been a bright spot in process control in terms of its growth. In the recent peak-to-peak WFE cycle between 2011 and 2017, according to Gartner, optical thin film metrology grew at 7.7% CAGR, outperforming process control and WFE that grew 3.0% and 5.0% in the same period, respectively. While the growth in the optical thin film metrology segment has been more evident in the WFE upturn periods, the segment has historically weathered the WFE downturns better than the broader WFE market. For example, from 2015 to 2017 WFE saw a step function in its growth rate as the market size grew from ~$33bn to ~$51bn, a 24.5% CAGR, and during this period, thin film metrology grew in line with WFE from $518MM in 2015 to $811MM in 2018, a 25.1% CAGR. Whereas, in the last major WFE downturn during 2011-2013, the optical thin film metrology segment had a -6.6% CAGR and the total process control market segment’s CAGR was -4.6%, while the total WFE market had a steeper decline with a -13.1% CAGR. As a participant in a market segment that has delivered solid growth in upturns and more moderate declines in downturns, Nova, in our opinion, is an attractive growth company uniquely positioned in a favorable segment of process control. Figure 2 Growth of Optical Thin Film Metrology Figure 1 Optical Thin Film Metrology Outperforms WFE Through WFE Downturn (2011-2013)and and Process Control Upturn (2015-2017)

Source: Gartner, Needham and Company LLC Source: Gartner, Needham and Company LLC Similar to the deposition, etch and CMP equipment markets, optical thin film metrology has benefited from the adoption of multiple patterning in advanced logic and the increased number of layer counts in 3D NAND. As structures become more complex and grow vertically, the number of etch and deposition steps increases and so does the need for metrology equipment. Etch and deposition process steps become more difficult to execute flawlessly as layers of 3D structures increase given the need for accurate alignment and precise drilling between each deposition step in addition to the increasing number of materials used in today’s process. The optical thin film metrology equipment sales in 2011-2017 were strongly correlated with deposition, etch and CMP equipment sales, while the correlation to lithography equipment sales was weaker, which implies that material-based scaling, rather than lithography-based scaling, is the stronger demand driver for optical thin film metrology. Nova Measuring Instruments Ltd. Page 2 of 22 Needham & Company, LLC April 16, 2019

Figure 3 Optical TF Metrology vs. Deposition Sales Figure 4 Optical TF Metrology vs. Etch Sales (2011- (2011-2017) 2017)

$900 $900

y = 0.0531x + 142.03 $800 $800 y = 0.0455x + 281.81 R² = 0.8723 R² = 0.8322 $700 $700

$600 $600

$500 $500

$400 $400

Optical Metrology TF Sales($MM) Optical TF Metrology Optical Metrology TF Sales ($MM) $300 $300 $5,000 $7,000 $9,000 $11,000 $13,000 $2,000 $4,000 $6,000 $8,000 $10,000 $12,000 Deposition Equipment Sales ($MM) Etch Equipment Sales ($MM)

Source: Gartner, Needham and Company LLC Source: Gartner, Needham and Company LLC

Figure 5 Optical TF Metrology vs. CMP Sales (2011- Figure 6 Optical TF Metrology vs. Lithography Sales 2017) (2011-2017)

$900 $900

$800 $800 y = 0.312x + 217.83 R² = 0.8586 $700 $700 y = 0.0596x + 125.95 R² = 0.2717 $600 $600

$500 $500

$400 $400

Optical TF Metrology Optical Metrology ($MM) TF Sales Optical Metrology TF Sales($MM) $300 $300 $500 $1,000 $1,500 $2,000 $5,000 $6,000 $7,000 $8,000 $9,000 $10,000 CMP Equipment Sales ($MM) Lithography Equipment Sales ($MM)

Source: Gartner, Needham and Company LLC Source: Gartner, Needham and Company LLC In advanced logic, the demand for dimensional and compositional metrology has been driven in part by the transition to High-K Metal Gates (HKMG) in FinFETs and Gate-All-Around (GAA) architectures, Cobalt interconnects and the increasing use of compound ALD materials. As the layer count continues to increase in 3D NAND, memory IDMs see an increasing need to measure 3D profiles and map materials. With Nova’s 2018 revenues split evenly between both the memory and foundry/logic end markets, we believe the company is well positioned to benefit from the increasing metrology demand in both end markets.

Nova Measuring Instruments Ltd. Page 3 of 22 Needham & Company, LLC April 16, 2019

Figure 7 Metrology Demand Drivers in Advanced Logic

Source: Nova Measuring

Figure 8 Metrology Demand Drivers in 3D NAND

Source: Nova Measuring NVMI also enjoys a competitive landscape favorable to small WFE players like itself. In major segments of the process control equipment, market share tends to gravitate to large cap WFE companies. For example, KLA (KLAC, rated HOLD) dominates most of the optical inspection and metrology segments with large amounts of share in optical wafer inspection, mask inspection and metrology, and overlay metrology. Optical thin film metrology is one of the few segments where one of the large WFE vendors does not have an overwhelming presence. According to Gartner, the optical thin film metrology market was $811MM in 2017 with KLAC holding ~49% of the market share while Nova captured ~21%. Further dissecting the segment reveals an even less degree of dominance by KLAC. The optical thin film metrology market can be further broken down into optical blanket thin film metrology, OCD and XPS. KLA is the only player in blanket thin film metrology with a significant portion of its ~49% share derived from its blanket thin film ellipsometer products such as SpectraFilm and Aleris. SpectraShape is KLAC’s product that competes in standalone OCD market. Nova plays in both OCD and XPS but does not participate in blanket thin film metrology. With the 2018 OCD TAM of ~$400MM, we believe Nova held ~1/3 of the market share. XPS is estimated to be a $100~150MM market, and Nova is the only vendor that is supplying automated XPS tools to wafer fabs for in-line measurement.

Nova Measuring Instruments Ltd. Page 4 of 22 Needham & Company, LLC April 16, 2019

Figure 9 Optical Thin Film Metrology Market Share (2017) Others Nova $33.5 Instruments $174.0

KLA-Tencor $393.7

Nanometrics $209.9

KLA-Tencor Nanometrics Nova Instruments Others

Source: Gartner In our opinion, the high growth OCD market and Nova’s favorable competitive landscape positions Nova to support a higher long term sustainable growth rate when compared to other WFE market participants of similar size.

Nova’s Core OCD Business is Both a Technology Play and a Capacity Play OCD metrology tools can be further categorized as either standalone systems or integrated systems, which is the integration of the OCD metrology tools with another wafer fabrication tool. Since the company’s founding 1993, Nova has been an innovator of integrated metrology solutions. Prior to 2008, Nova sold its integrated systems to WFE vendors, but the company’s business model switched to selling directly to the OEMs/ODMs in 2008. Nova’s integrated OCD metrology systems for CMP have been the company’s most successful integrated tools; however, to-date adoption of integrated OCD in etch and deposition equipment has been limited. The majority of Nova’s 2018 systems revenues were from integrated product sales. We believe Nova’s portion of the ~$120MM integrated OCD market in 2018 was ~70% with the remaining ~30% share held by Nanometrics (NANO, rated BUY). KLA does not offer integrated metrology.

Nova Measuring Instruments Ltd. Page 5 of 22 Needham & Company, LLC April 16, 2019

Figure 10 Fundamentals of OCD

Source: Nova Measuring Integrated OCD in a CMP system assists the built-in end-point detection function and enhances the CMP tool’s process control. The demand for integrated OCD is largely driven by CMP system shipments. According to Gartner, since the previous WFE downturn in 2013, global CMP sales have more than doubled. In the recent 2015- 2017 WFE upturn, CMP grew at a 39.1% CAGR, outperforming every major WFE segment except dielectric etch. Such high growth of CMP shipments has been a driver for the strong growth Nova has experienced over the past several years. Growth in integrated OCD is also driven by technology upgrades, most notably in the logic and foundry markets. According to the leading CMP equipment OEM, (AMAT, rated BUY), the number of CMP steps in the wafer fabrication process has increased with the proliferation of 3D device architectures in logic and memory. The growth in CMP intensity has been most significant in the logic and foundry segments. For example, the transition from planar transistors to FinFETs added ten additional CMP steps. We believe the number of CMP steps are likely to continue to increase as the leading logic IDMs and foundries transition to 10/7/5nm and Gate-All-Around (GAA) architectures. Figure 11 Global CMP Equipment Sales and Growth (2013-2017) $2,000 50.0% $1,800 40.0% $1,600 $1,400 30.0%

$1,200 20.0% $1,000 $800 10.0% $600 0.0% $400 -10.0% $200 $- -20.0% 2013 2014 2015 2016 2017

Global CMP Equipment Sales Y/Y Growth

Source: Gartner Integrated OCD demand also tends to grow with wafer start capacity expansions. As an important part of CMP process control, the demand for integrated OCD scales Nova Measuring Instruments Ltd. Page 6 of 22 Needham & Company, LLC April 16, 2019 linearly with new CMP tool shipments. In particular, for dielectric CMP and some metal CMP, integrated OCD is almost a must-have complement. Dielectric CMP is roughly half of the CMP market with the largest proportion of dielectric CMP shipments going into the advanced logic and foundry markets. In addition, foundries constantly need to switch between CMP processes as they are dealing with a variety of designs. Due to these drivers, integrated OCD is increasingly considered a standard component of CMP systems. This is a clear differentiator from many of the other process control tools, such as inspection, where wafer starts and growth in equipment shipments have less of a correlation. As the market leader in integrated OCD, Nova is well positioned to benefit from increasing CMP process control demand. The company maintains strong foundry leadership where CMP intensity is high and fast growing. In particular, the company has a strong position with the leading foundry, TSMC. As TSMC ramps up 5nm (5N) this year and begins high volume manufacturing in 2020, we believe Nova’s opportunity for OCD, including integrated and standalone, is ~$70-80MM. In addition, Nova also stands to benefit from the growth of domestic Chinese foundries. For example, in 2018, the company benefited from Shanghai Huali’s 28nm expansion and had 100% share of its OCD business. Additionally, Nova has been making progress in expanding its customer relationship with another leading logic/foundry customer, where its competitors, KLA and NANO, have historically had a larger share position. Nova began working with this customer in 2016 and continues to see opportunities for additional share gains given this company has been evaluating Nova’s tools for its 10nm and 7nm process. Figure 12 FinFET and 3D NAND Drives CMP Intensity Growth

Source: Applied Materials In terms of additional growth opportunities for Nova’s integrated metrology business, Nova has seen interest from other WFE vendors for integrated metrology in etch and deposition tools. While not mainstream today, we believe adoption of integrated metrology in etch equipment is likely further along than in deposition equipment. All four etch equipment vendors appear to be interested in integrated metrology, and given Nova is a preferred partner of Lam Research’s (LRCS, rated

Nova Measuring Instruments Ltd. Page 7 of 22 Needham & Company, LLC April 16, 2019

BUY), we believe integrated metrology in etch has the potential to be a source of future growth for Nova. Additionally, we believe there may be potential for integrated etch to be included as part of the process steps secured by Nova with the most advanced global logic foundry. In Nova’s $300MM+ revenue target model, the company targets an incremental revenue contribution of ~$25MM from integrated OCD in etch. Within the ~$250MM OCD market in memory, Nova has historically held a smaller amount of share than its competitor, Nanometrics. Nova is targeting new applications and technology nodes in an effort to grow its business in memory, which has led to a balanced revenue concentration across memory and logic/foundry end markets in 2018. Nova continues to see additional opportunities to further penetrate the memory market with its standalone OCD tools. In three of the six major memory suppliers, Nova has standalone OCD programs in place where new applications and manufacturing processes are being developed. With regards to Nova’s longer term growth prospects, a recent article by Semiconductor Engineering entitled “3D NAND Metrology Challenges Growing” addressed the greatest challenge for metrology in 3D NAND, which is the measurement of 3D profiles in high aspect ratio (HAR) etches. Currently, a combination of CD-SEM, OCD and other off-line methods are in use, but as 3D NAND vendors move to 128-layer devices and beyond, it is believed that existing metrologies will be approaching limits. It is envisioned that a type of X-Ray scatterometry based CD metrology called CD-SAXS is one of the most promising candidates. CD-SAXS is a type of X-Ray technology using the scatterometry technique, which would require a model-based approach for analysis and fit. Among KLA, Nanometrics, and Nova, Nova is the only one that has both optical CD and X-Ray technologies in-house. Additionally, with Nova’s experience in scatterometry based metrology and expertise in X-Ray technology, we believe Nova would be well positioned to develop this type of hybrid OCD and X-Ray metrology tool.

Proliferation of New Materials in Semiconductor Processes Benefits Nova as the Sole Supplier of In-Line X-Ray Material Metrology Nova purchased privately held ReVera Incorporated in April 2015 for $46.5MM in cash. Based on its X-Ray Photoelectron Spectroscopy (XPS) technology, ReVera was a leading provider of materials metrology solutions for the manufacturing of complex, multi-layer film stacks. XPS technology focuses on metrology of materials composition and film thickness at critical process steps for leading edge memory and logic devices. At the time of the transaction, ReVera generated $25-$30MM in annual revenue. Since the acquisition, the business’s annual revenue has more than doubled. Such growth translates to about $50~$60MM revenue, a significant portion of Nova’s $193MM equipment revenue in 2018. The most common X-Ray based technologies used for in-line and off-line metrology includes X-Ray photoelectron spectroscopy (XPS), X-Ray refluorescence (XRF), X-Ray reflectivity (XRR) and X-Ray diffraction (XRD). Companies generating revenue from one or more of these technologies include Nova (XPS and XRF) and privately-held Rigaku (XRF, TXRF and XRD) based in Japan. Nova estimates it holds ~30-50% share of the X-Ray TAM, which is estimated to be $100~150MM in 2018.

Nova Measuring Instruments Ltd. Page 8 of 22 Needham & Company, LLC April 16, 2019

Figure 13 Materials Engineering Growth and Figure 14 Materials Engineering Growth and Roadmap Roadmap From Nova From Applied Materials

Source: Nova Measuring Instruments, Inc. Source: Applied Materials The X-Ray metrology market is driven by the increasingly complex measurements of composition, stress, strain and other parameters that face growing requirements for high accuracy. Through the collection and analysis of emitted photoelectrons after exposure by an X-Ray source, Nova’s XPS tools can determine the thickness and composition of thin film layers up to 100nm below the wafer surface. Nova’s solutions control materials variations along the fabrication process and measure in- line and in-die structures throughout the production steps. Addressing both the thin film thickness measurements and the materials measurements, Nova’s X-Ray technology uniquely positions the company in the market today and offers several avenues to accelerate the company’s revenue growth in the future. Figure 15 Fundamentals of XPS Metrology

Source: Nova Measuring Nova’s X-Ray technology helped the company expand its presence in the memory market, where historically it had less of a presence than in the logic/foundry market. In 2014, more than 80% of Nova’s revenue came from foundry/logic customers. Since then, Nova has been balancing its customer base by expanding its addressable market with its X-Ray technology and new software platform enhancements and introductions. As a result of Nova’s execution in the memory market, the end market split in 2017 shifted to 65% foundry/logic and 35% memory while revenue grew Nova Measuring Instruments Ltd. Page 9 of 22 Needham & Company, LLC April 16, 2019

~35%. In 2018, the mix moved to approximately 50%/50% between memory and logic/foundry with revenue from memory growing 60%+ despite the industry’s 20% growth and the 2H18 memory spending slowdown. More recently, Nova announced a leading global memory manufacturer has selected its X-Ray materials metrology solution for a high volume manufacturing fab line, which is expected to generate initial revenue in 1Q19 with future orders expected throughout 2019. Exiting 2018, all major foundry and memory customers have installed materials metrology solutions from Nova, which includes XPS, although not all customers expect to use the XPS tool in-line. TSMC is expected to use the X-Ray technology in- line for its 5nm. Nova’s XPS tools have been selected as the production tool of record (PTOR). We believe the TSMC 5nm opportunity for Nova’s XPS is about $20~$30MM. Combined with its OCD revenue, the entire TSMC 5nm expansion is likely contribute $70~$100MM in revenues for Nova over a two and half year period.

Technology Innovation Remains Unabated at Nova and Expands 2020 TAM by ~$200MM Nova has announced plans to introduce two new technologies to the market with at least one of these technologies expected to generate production revenue before the end of 2019. These two new technologies complement Nova’s traditional technology in addressing the challenges Nova’s memory and logic/foundry customers face today. The first of these two technologies, which is in beta testing with customers, targets the integration of Nova’s new and existing technologies in one platform for in-line measurements. The second technology is expected to go into evaluation in C1H19. In order to develop these new technologies, Nova increased its R&D expense in 2018 to ~20% of sales, which was above its historical range of 17-18%. Nearly 30% of Nova’s 2018 R&D budget was invested in these new technologies; however, these new technologies have been in development with leading customers for nearly three years. In order to ramp manufacturing capacity to reach a $500MM annualized run rate, Nova would need to invest ~$10-$15MM. While not used for in-line high volume production today, Nova intends for these technologies to eventually be used in-line at future process geometry nodes (5nm, 3nm). We believe customers participating in the beta process, which typically takes six to nine months, may potentially include TSMC, Samsung and/or Intel. We suspect these new technologies will have margins similar to Nova’s current product margins, but we expect the ASPs to be higher than the ASP of current products. Each of the two new technologies is expected to contribute an incremental ~$100MM to Nova’s 2020 TAM. With this ~$200MM market expansion, Nova’s 2020 TAM will be approximately $1.2bn with the company targeting capturing a third of the TAM. The ~$1.2bn TAM forecast for 2020 does not include incremental market expansion from M&A. Nova’s $300MM+ revenue target model includes a $25MM contribution from M&A, and with the transaction, the potential for further TAM expansion is possible.

Multiple Growth Engines Driving $300MM+ Target Revenue Model We believe Nova’s long term growth drivers include exposure to one of the fastest growing segments of process control, optical thin film metrology; the unique and innovative XPS technology for materials metrology; and the introduction of two new technologies with at least one expected to begin generating revenue in 2019. Nova has a $300M+ target revenue model based on these organic growth opportunities while also looking for accretive M&A targets to expand the company’s TAM to incorporate leading edge technologies in SemiCap. The target model also includes Nova Measuring Instruments Ltd. Page 10 of 22 Needham & Company, LLC April 16, 2019 expanding the company’s margins with a NG GM target of 56-59% and a NG OM target of 26-29%.

Figure 16 Nova’s $300MM+ Model Figure 17 Margin Improvement in Nova300 Model

Source: Nova Measuring Source: Nova Measuring We expect acquisition targets are likely to be privately held companies with new innovative technology, potentially targeting applications such as emerging memories (MRAM etc) or advanced packaging (FOWLP etc). We expect acquisition targets will likely generate approximately $10-$30MM in annual revenue and carry gross margins similar to that of Nova. We expect acquisitions to be accretive within 6-12 months of closing. Typically, Nova generates about $30-$50MM in cash annually. As of Nova’s 4Q18 earnings report, Nova had ~$175.8MM in cash and cash equivalents. Nova’s uses of cash include M&A and share repurchases and management intends to maintain ~$60MM on the balance sheet. Nova’s $300MM+ revenue target implies an additional $50MM+ in revenues. The strategy in achieving the $50MM+ in incremental revenue includes wins in integrated metrology in etch, further market share gains in memory, introduction of new technologies and acquisitions. Each of these revenue opportunities would be expected to generate ~$25MM in revenue. Keeping pace with product revenue growth, Nova’s services business has grown at 20-25% since 2013, making it the fastest growing services business among competitors KLAC and NANO. Nova’s 2014-2018 service revenue increased at a 21.2% CAGR while KLAC’s and NANO’s service revenue expanded over the same period at CAGRs of 9.8% and 5.9%, respectively. With ~2,500 systems in the installed base, Nova typically has approximately 10-15% of its tools under warranty while the other tools have annual service contracts or generate sales from time and materials. Typical annual service contracts are approximately 8% of the tool’s ASP. The services business is equally split between contracts and à la carte services. While product GMs are typically >60%, Nova’s services business has a >40% GM and operating margins are fairly similar between products and services. As seen with most semiconductor capital equipment companies, a solidly performing services business allows for some absorption of volatility in product revenues. Nova expects the services business to grow 10% annually in the near term. Software is critical in the process control equipment segment, and with the need to measure every wafer and provide feedback in order to keep the process in the correct process window, Nova provides differentiated software and software platforms which interact with both OCD and X-Ray technologies. However, the ML capabilities have only been embedded in the OCD measuring software as of today. The OCD tool measures at least eight different angles generating data that is then ran through the ML algorithm to interpret the data, although this technology is not yet real time as of

Nova Measuring Instruments Ltd. Page 11 of 22 Needham & Company, LLC April 16, 2019 today. Approximately 90% of Nova’s customers are using the company’s Fleet Management software platform today for big data analytics in the fab. Nova targets reaching 10% of sales with its software offerings. While competitors are looking to include ML capabilities in their software platforms, Nova’s ML algorithms were internally developed and started two to three years ago, which we believe gives the company a solid lead ahead of competition.

Product Segments & Technological Capabilities Nova segments its business by three divisions: Dimensional Metrology Division (DMD), Materials Metrology Division (MMD), and Hybrid Metrology Division (HMD). DMD’s main products include: . Optical CD Integrated Metrology . Optical CD Stand-Alone Metrology . Modeling and Software Solutions MMD and HMD each have one product line indicated below: . Materials and TF Measurements . Hybrid and Technology Synergies Optical CD Integrated Metrology Nova’s optical CD integrated metrology is important for key applications in the wafer fabrication process. Its optical CD integrated metrology system provides several key benefits which are indicated below: . The system measures sample wafers using the process equipment wafer handling mechanism while processing other wafers at the same time. By measuring and processing all at once, Nova’s customers don’t need extra wafer handling capabilities that a stand-alone metrology system needs. . The system performs measurements without taking away the wafer from the process equipment. This allows for improved process efficiency and the risk of potential contamination is decreased. . The system reduces manufacturing equipment processing variability by using wafer to wafer measurements and closed loop control. This is all done through automated feedback of process variability. . The system lowers the capital costs that the fabrication facility incurs by improving equipment efficacy, lowering labor costs, and lowering the amount of space for clean rooms. . The system decreases the length of time that is needed to qualify process equipment that is usually inactive during qualification steps. Therefore the high cost of equipment doing nothing is greatly reduced. . The system reduces the number of test wafers. . The system identifies process errors early on as opposed to later detection during the manufacturing process. Optical CD Stand-Alone Metrology Optical CD stand-alone metrology identifies important dimensions on a wafer such as the width, shape, and type. This type of metrology is used in different areas of the semiconductor manufacturing process such as photolithography, etch, CMP, and deposition. An important benefit that this type of metrology offers is that Nova’s customers can see the full rendition profile of the structure without the metrology Nova Measuring Instruments Ltd. Page 12 of 22 Needham & Company, LLC April 16, 2019 being destructive. The metrology is also able to remain accurate and maintain its repeatability feature. The optical CD stand-alone metrology is able to be involved in four of the five important manufacturing steps for semiconductors.

Modeling and Software Solutions Nova has two main software modeling products. These products are the NovaMARS advanced 3D modeling software for OCD calculation and NovaFit machine learning software that are powered by the Nova High Power Computing platform (HPC). NovaMARS is a physical modeling and application development software that allows complicated 2D, 3D, and in-die measurements to occur with a high level amount of accuracy and fast time to market. NovaFit is a modeling software that is able to build upon the conventional modeling capabilities of Optical Critical Dimensions through the use of machine learning and data driven algorithmic methods that lead to effective results for Nova’s customers. The data driven algorithmic method works together with the NovaMARS modeling software to make metrology better, quicken time to market, and allow for more effective process control for metrology. By using the most advanced machine learning methods and a big data framework that is incorporated into optical modeling, Nova is able to genuinely change the way its customers use metrology measurement data. Its customers are also able to have smaller process windows for the manufacturing process and avoid any unnecessary process steps, which ultimately end up in better manufacturing yield. Besides the NovaMARS and NovaFit, Nova has another platform called the Nova Fleet Management platform. This platform handles large fleets of metrology tools so that Nova’s customers both in foundry and memory can achieve a strong level of productivity, operational effectiveness, and advanced analytics when they are engaged in a large amount of production.

Materials and TF Measurements As the semiconductor industry advances, there has been a trend of more complicated materials being used in FinFET logic, DRAM, and 3D NAND memory. Therefore metrology offerings like Nova, which have the ability to measure makeup and film thickness with a high level of accuracy and meticulousness, have become more important. Nova offers its customers X-Ray photoelectron spectroscopy (XPS), which is a type of technology that allows its customers to effectively automate and accelerate the manufacturing process. XPS is most useful for films that are very thin. As node transitions occurs, XPS is able to increase the efficacy at each technology node. Nova’s XPS products are used by both its logic and memory customers. These customers use the XPS products to measure, watch, and control important device layers in a heavy production environment so that fast development and control of complicated manufacturing process steps can occur.

Hybrid and Technology Synergies Hybrid metrology software incorporates measurements from many different metrology toolset types so that the measurement of one or more critical limits necessary for process control of advanced devices, materials, and architectures can take place. For hybrid metrology, two or more tools sets work together to measure the same or similar target. For example, one tool set works on gathering the data and then this data is used to decrease the different variables of the other tool set. Ultimately these tool sets work together to allow for better performance as opposed to if just one tool set was used for hybrid metrology. Nova’s hybrid metrology software solution is used for advanced technology nodes and can be used for many different metrology tool sets. These tool sets include CD SEM and X-Ray Photoelectron Spectroscopy (XPS) technologies. Nova Measuring Instruments Ltd. Page 13 of 22 Needham & Company, LLC April 16, 2019

Figure 18 Nova’s Product Portfolio

Source: Needham & Company, LLC

Figure 19 Nova’s Technological Capabilities Technology Description Broadband Spectrophotometry Nova’s broadband Spectrophotometry have a wide spectrum, going from deep ultraviolet to infrared. This technology allows for quick, accurate, and tiny spot size film thickness measurement in many different applications in an economic manner, for both integrated and stand-alone systems. Scatterometry Scatterometry, which uses a fully polarized deep ultraviolet to near-infrared spectral light source, give 2D and 3D characterization of very small geometries on patterned product wafers. An important part of Scatterometry technology is using modeling software which changes raw spectra from measurement tools into information that customers can use for their benefit. Dark Field Spectral This technology uses dark-field detection for different measurement needs. Reflectometry Imaging and Image Processing This technology is tied to high-end optical imaging. Advanced image processing is used together with Nova’s strong pattern recognition abilities for Nova’s different tools. Computational Modeling for This technology gives modeling solutions for the most advanced 3D structures that are involved in the semiconductor Electromagnetic and Optical manufacturing process. This technology is able to give a high level of accuracy quickly and is complemented by Systems Nova’s advanced computation hardware. Advanced Modeling Empowered This technology is done through Nova’s NovaFit modeling software. This software is able to improve different by Physical and Mathematical metrology functions and speeds up the time to market for complicated 3D and High Aspect Ratio devices. The NovaFit Models is able to get smarter over time because it works with Nova’s Fleet Management offerings. Together these two use fleet wide info to give adaptive metrology fixes through constant training. Hybrid Metrology Technology This technology uses different sources of info that can improve the total metrology performance. Also this technology uses data from different metrology tools along with Nova’s optical metrology to give performance that is superior than that of what a single toolset can provide. X-Ray Photoelectron This technology measures the material makeup, bonding states, and the thickness of thin film stacks that are 10nm Spectroscopy (XPS) and below. The main application for this technology is checking the transistor gates and VNAND layer deposition that are found in integrated circuits. X-Ray Fluorescence (XRF) This technology is part of Nova’s VeraFlex III XPS tool. XRF and XPS work together to measure makeup and thickness at a deeper level than what XPS could do on its own. Nova’s XRF system is superior than traditional XRF systems because it’s a vacuum-based XRF system that gives a great level of detection for elements that have a low atomic number, and for elements that have tiny measurement areas. Lab to Fab This technology allows Nova to change conventional analytical laboratory instrumentation into strong productivity production tools that have strong volume.

Source: NVMI 20-F

Company History and Overview Nova Measuring Instruments, based in Israel, was founded in 1993. The company has subsidiaries located in the U.S., Japan, Taiwan, Korea, and Germany. Back in 2015, the company acquired ReVera, a private U.S. company focused on XPS technology. The

Nova Measuring Instruments Ltd. Page 14 of 22 Needham & Company, LLC April 16, 2019

company designs, develops, and produces process control systems that are used in the semiconductor manufacturing process.

Figure 20 Nova’s Management Team Name Position Experience Eitan Oppenhaim President & Chief Executive Mr. Eitan Oppenhaim has been the President and CEO of Nova since July 31, 2013. Before the President & Officer CEO role, he was the Executive VP of the Global Business Group, since November 2010. Mr. Oppenhaim earned a BA in Economics and Accounting from the Haifa University, Israel and an MBA from Ben-Gurion University, Beer-Sheva, Israel.

Dror David Chief Financial Officer Mr. Dror David has been the CFO November 2005. Mr. David first started in April 1998, as Nova’s Controller, and since then he has been in different financial and operational positions, including VP of Resources, in which he was tasked with handling the finance, operations, information systems and human resources functions of Nova. Mr. David is a CPA in Israel. He earned a B.A. in Accounting and Economics from Bar Ilan University, and an M.B.A. from Derby University of Britain.

Dr. Shay Wolfling Chief Technology Officer Dr. Wolfling has been the CTO since 2011. Before joining Nova, Dr. Wolfling was an R&D manager at KLA- Tencor-Belgium where he served in a leadership role for multidisciplinary metrology & inspection development projects. Dr. Wolfling received a B.Sc. in physics and mathematics from the Hebrew University of , Israel, a second degree in physics from Tel-Aviv University, Israel and a Ph.D. in physics from the Hebrew University of Jerusalem, Israel.

Gabriel Waisman Chief Business Officer Mr. Gabriel Waisman has been the Chief Business Officer since 2016 and has been with the company since then as well. Mr. Waisman earned a B.Sc. in electronic engineering from the Technion – Israel Institute of Technology, Haifa, Israel and an MBA in Business Administration from the Tel-Aviv University, Israel.

Adrian S. Wilson General Manager- Material Mr. Adrian S. Wilson has been the General Manager of the Material Metrology Division since January 2018 and Metrology Division has been with the company since then as well. Mr. Wilson received a Bachelor’s Degree in Electronics Engineering, post Grad in Marketing Management and a MBA in Technology Management. He also has accreditations, which include Fellow of the Chartered Institute of Marketing (UK) and Fellow of the Institute of Directors (UK).

Gabi Sharon Corporate Vice President of Mr. Gabi Sharon has been the Corporate VP of Operations since September 2006. He has been with the Operations company since 1995. Mr. Sharon earned a B.Sc. in Computer Science from Northeastern University, Boston, Massachusetts, and a M.Sc. in Technology Management from Polytechnic University, New York.

Dov Farkash Corporate Vice President of Mr. Dov Farkash has been the Corporate Executive Vice President Strategic Development since August 2017. Strategic Development Before this role, Mr. Farkash was the Senior Corporate VP of the Modeling Software Division between April 2016 and July 2017. He has been with Nova since 2000. Mr. Farkash earned a B.Sc. in Computer Engineering and an MBA from the Technion – Israel Institute of Technology, Haifa, Israel.

Sharon Dayan Corporate Vice President of Ms. Sharon Dayan first joined Nova in January 2018 and has been the Corporate VP of Human Resources Human Resources since then. Ms. Dayan received a BA in Social Science from Tel-Aviv – Jaffe College, a MSc. in Organizational Development from Tel-Aviv University and earned a Group dynamics diploma from Tel Aviv university.

Zohar Gil Corporate Vice President of Mr. Zohar Gil has been the Corporate VP of Marketing and Business Development since March 2016. Mr. Gil Marketing and Business has been with Nova since June 2011. Mr. Gil holds a B.Sc. in Industrial Engineering from Tel-Aviv University, Development Israel, and an Executive MBA from Northwestern and Tel-Aviv Universities from the Kellogg-Recanati Business School of Management.

Udi Cohen Corporate VP and General Dr. Udi Cohen has been the Corporate VP and the GM of the Dimensional Metrology Division since June Manager of Dimensional 2017. Dr. Cohen earned a Bachelor Degree of Science in Mathematics and Physics and a Ph.D. in Physics Metrology Division from Hebrew University in Jerusalem and was a participant in Wharton’s AMP program.

Source: Nova 20-F

Nova Measuring Instruments Ltd. Page 15 of 22 Needham & Company, LLC April 16, 2019

Figure 21 Nova’s Board of Directors Name Position Age Experience Dr. Michael Brunstein Chairman 75 Dr. Brunstein was named chairman of Nova Measuring Instruments’ board of directors in June 2006, after serving as member of its board of directors since November 2003. Dr. Brunstein received a B.Sc. in Mathematics and Physics from The Hebrew University, Jerusalem, and a M.Sc. and a Ph.D. in Physics from Tel Aviv University, Israel.

Avi Cohen Director 65 Mr. Avi Cohen has been a director since 2008. Mr. Cohen is currently a Director of BioFishency Ltd. ESC- BAZ Ltd., Beit Issie Shapiro, Israel Consumer Council and Israel Wine Institute. Mr. Cohen earned a B.Sc. and M.Sc. degrees in electrical engineering and applied physics from Case Western Reserve University, USA.

Raanan Cohen Director 63 Mr. Raanan Cohen was appointed as a director in February 2014. Mr. Cohen is the current CEO of EyeWay Vision Ltd. and a member of the board of directors of Utilight Ltd. Mr. Cohen earned a B.Sc. in Computer Science from the Hebrew University in Jerusalem, Israel.

Zehaya Simon Director 60 Ms. Zehava Simon has been a board member since June 2014. Ms. Simon earned a B.A. in Social Sciences from the Hebrew University, Jerusalem, Israel, a law degree (LL.B.) from the Interdisciplinary Center in Herzlia and an M.A. in Business and Management from Boston University, USA.

Dafna Gruber Director 53 Ms. Dafna Gruber has been a board member since April 2015. Ms. Gruber is also an external director at TAT Technologies Ltd., a public company traded on Nasdaq and TASE, since November 2013, and a member of the board of directors of Clal Biotechnologies Ltd., a public company traded on TASE. Furthermore, Ms. Gruber serves on the boards of directors of several private companies held by Clal Industries Ltd. Ms. Gruber is a CPA and earned a Bachelor’s degree in Accounting and Economics from Tel Aviv University, Israel. Mr. Eli Fruchter Director 63 Mr. Fruchter has been a board member since August 2016. Mr. Fruchter received a B.Sc. degree in Electrical Engineering from the Technion – Israel Institute of Technology, Haifa, Israel.

Mr. Ronnie (Miron) Director 62 Mr. Kenneth has been a board member since December 2017. Mr. Kenneth is also the Chairman of Kenneth Teridion Technologies Ltd., and Varada Ltd., and he is also a director of Communications Ltd. (Nasdaq: ALLT) and Ltd. (Nasdaq: ORBK). Mr. Kenneth received a BA in Economics and Computer Science from the Bar-Ilan University and an MBA from the Golden Gate University, San Francisco.

Source: Nova 20-F

Nova Measuring Instruments Ltd. Page 16 of 22 Needham & Company, LLC April 16, 2019

Needham & Company, LLC N. Quinn Bolton, CFA, (212) 705-0322 Nova Measuring Instruments Ltd. (Nasdaq - NVMI) Income Statement Model (Amounts in millions, except per share data)

1Q:17A 2Q:17A 3Q:17A 4Q:17A Year 1Q:18A 2Q:18A 3Q:18A 4Q:18A Year 1Q:19E 2Q:19E 3Q:19E 4Q:19E Year 1Q:20E 2Q:20E 3Q:20E 4Q:20E Year Mar-17 Jun-17 Sep-17 Dec-17 2017A Mar-18 Jun-18 Sep-18 Dec-18 2018A Mar-19 Jun-19 Sep-19 Dec-19 2019E Mar-20 Jun-20 Sep-20 Dec-20 2020E Revenues $54.4 $56.1 $54.1 $57.4 $222.0 $62.6 $61.9 $63.6 $63.1 $251.1 $55.0 $55.0 $57.0 $63.0 $230.0 $62.0 $66.0 $67.0 $70.0 $265.0 Depreciation 1.0 0.9 0.9 0.8 3.6 0.9 1.3 1.3 1.5 5.1 1.6 1.7 1.7 1.8 6.8 1.8 1.9 1.9 2.0 7.6 Cost of Goods 20.5 21.7 20.1 24.3 86.5 25.2 24.7 24.5 25.6 99.9 23.1 23.0 23.5 25.6 95.2 25.2 26.7 26.9 27.8 106.6 Gross Profit 33.0 33.5 33.0 32.3 131.8 36.5 35.9 37.8 36.0 146.2 30.3 30.3 31.8 35.7 128.0 35.0 37.4 38.2 40.2 150.8 Research and Development 9.0 9.1 9.6 10.3 37.9 10.9 11.5 11.4 10.0 43.7 11.5 11.5 11.6 11.7 46.3 12.0 12.3 12.6 12.8 49.7 Selling, General and Administrative 7.5 7.6 7.6 8.1 30.8 8.1 9.2 8.7 9.0 35.0 9.5 9.5 9.6 9.6 38.2 9.8 10.0 10.2 10.3 40.3 Other Operating Expenses / (Income) 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Total Operating Expenses 16.5 16.7 17.1 18.4 68.7 19.0 20.6 20.1 19.0 78.8 21.0 21.0 21.2 21.3 84.5 21.8 22.3 22.8 23.1 90.0 Operating Income 16.5 16.9 15.9 13.9 63.2 17.5 15.3 17.7 17.0 67.4 9.3 9.3 10.6 14.4 43.5 13.2 15.1 15.4 17.1 60.8 Interest Income (0.4) (0.5) (0.6) (0.7) (2.3) (0.4) (0.5) (0.9) (1.2) (3.0) (0.5) (0.6) (0.6) (0.6) (2.4) (0.7) (0.7) (0.7) (0.8) (2.9) Total Interest Expense 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Other Non-operating Expense / (Income) 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Pretax Income 16.9 17.4 16.5 14.6 65.4 18.0 15.8 18.5 18.1 70.4 9.8 9.9 11.2 15.0 45.9 13.9 15.8 16.1 17.9 63.7 Income Tax Expense 2.8 2.2 3.4 1.6 10.0 2.5 2.4 3.4 1.2 9.6 1.5 1.5 1.7 2.2 6.9 2.1 2.4 2.4 2.7 9.6 Minority Interest 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Equity in Net Income of Affiliates 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Net Income 14.1 15.2 13.1 13.0 55.4 15.4 13.3 15.1 16.9 60.8 8.3 8.4 9.6 12.7 39.0 11.8 13.4 13.7 15.2 54.1

Basic Non-GAAP Earnings per Share $0.51 $0.55 $0.47 $0.47 $2.00 $0.55 $0.48 $0.54 $0.60 $2.17 $0.30 $0.30 $0.34 $0.45 $1.38 $0.41 $0.47 $0.48 $0.53 $1.89 Fully Diluted Non-GAAP Earnings per Share $0.50 $0.53 $0.46 $0.45 $1.94 $0.54 $0.46 $0.52 $0.59 $2.11 $0.29 $0.29 $0.33 $0.44 $1.35 $0.41 $0.46 $0.47 $0.52 $1.85

Non-GAAP Weighted Average Shares Out. 27,467 27,655 27,788 27,873 27,696 27,915 27,977 28,047 28,026 28,022 28,100 28,200 28,300 28,400 28,250 28,500 28,600 28,700 28,800 28,650 Non-GAAP Fully Diluted Shares 28,148 28,562 28,601 28,786 28,524 28,739 28,766 28,777 28,655 28,765 28,700 28,800 28,900 29,000 28,850 29,100 29,200 29,300 29,400 29,250

Amortization of Intangibles $0.6 $0.6 $0.6 $0.6 $2.6 $0.7 $0.7 $0.7 $0.7 $2.6 $0.7 $0.7 $0.7 $0.7 $2.6 $0.7 $0.7 $0.7 $0.7 $2.6 Stock-Based Compensation Expenses 0.7 0.5 0.7 0.9 2.8 0.8 1.0 1.3 1.2 4.3 1.3 1.3 1.4 1.5 5.5 1.5 1.6 1.7 1.8 6.6 Nonrecurring Charges 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Income Tax Effect of Nonrecurring Charges (0.6) 0.7 0.3 3.2 3.6 (0.1) (0.1) (0.1) (0.1) (0.5) 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 GAAP Net Income $13.4 $13.3 $11.5 $8.2 $46.5 $14.1 $11.8 $13.3 $15.2 $54.4 $6.4 $6.4 $7.5 $10.6 $30.9 $9.6 $11.2 $11.3 $12.7 $44.9

Fully Diluted GAAP EPS $0.48 $0.47 $0.40 $0.29 $1.63 $0.49 $0.41 $0.46 $0.53 $1.89 $0.22 $0.22 $0.26 $0.36 $1.07 $0.33 $0.38 $0.39 $0.43 $1.54 GAAP Fully Diluted Shares 28,148 28,562 28,601 28,786 28,524 28,739 28,766 28,777 28,655 28,765 28,700 28,800 28,900 29,000 28,850 29,100 29,200 29,300 29,400 29,250

EBITDA $17.5 $17.8 $16.9 $14.7 $66.8 $18.4 $16.6 $19.0 $18.5 $72.5 $10.9 $11.0 $12.3 $16.2 $50.3 $15.0 $17.0 $17.3 $19.1 $68.4 EBITDA per Fully Diluted Share $0.62 $0.62 $0.59 $0.51 $2.34 $0.64 $0.58 $0.66 $0.64 $2.52 $0.38 $0.38 $0.43 $0.56 $1.75 $0.52 $0.58 $0.59 $0.65 $2.34 Margin Analysis Gross Margin (incl. goodwill amortization) 60.6% 59.7% 61.1% 56.2% 59.4% 58.3% 58.0% 59.4% 57.0% 58.2% 55.0% 55.1% 55.9% 56.6% 55.7% 56.5% 56.7% 57.0% 57.4% 56.9% R&D/Sales 16.6 16.1 17.7 17.9 17.1 17.4 18.5 17.9 15.8 17.4 20.9 20.9 20.4 18.6 20.1 19.4 18.6 18.8 18.3 18.8 SG&A/Sales 13.8 13.5 14.0 14.1 13.9 13.0 14.8 13.7 14.3 14.0 17.3 17.3 16.8 15.2 16.6 15.8 15.2 15.2 14.7 15.2 EBITDA Margin 32.1 31.7 31.2 25.5 30.1 29.4 26.8 29.9 29.3 28.9 19.7 20.0 21.7 25.6 21.9 24.2 25.8 25.8 27.3 25.8 Operating Margin (incl. goodwill amortization) 30.3 30.1 29.4 24.2 28.5 28.0 24.7 27.8 26.9 26.8 16.8 16.9 18.7 22.8 18.9 21.3 22.9 22.9 24.4 22.9 Non-Operating Expenses/Sales (0.8) (0.9) (1.2) (1.2) (1.0) (0.7) (0.8) (1.4) (1.9) (1.2) (1.0) (1.1) (1.1) (1.0) (1.0) (1.1) (1.1) (1.1) (1.1) (1.1) Pretax Margin (incl. goodwill amortization) 31.1 31.0 30.6 25.4 29.5 28.7 25.5 29.1 28.7 28.0 17.8 18.0 19.7 23.8 20.0 22.4 23.9 24.0 25.5 24.0 Tax Rate 16.6 12.8 20.8 10.7 15.3 14.0 15.3 18.6 6.7 13.6 15.0 15.0 15.0 15.0 15.0 15.0 15.0 15.0 15.0 15.0 Net Margin (incl. goodwill amortization) 25.9 27.0 24.2 22.7 25.0 24.6 21.6 23.7 26.8 24.2 15.2 15.3 16.8 20.2 17.0 19.0 20.4 20.4 21.7 20.4

Year-over-year D Total Revenues 59.8% 57.8% 22.7% 14.3% 35.4% 15.0% 10.3% 17.6% 9.9% 13.1% (12.1%) (11.1%) (10.3%) (0.1%) (8.4%) 12.7% 20.0% 17.5% 11.1% 15.2% Gross Profit 76.6% 76.2% 34.4% 14.0% 45.5% 10.7% 7.1% 14.2% 11.6% 10.9% (17.2%) (15.6%) (15.6%) (0.9%) (12.4%) 15.8% 23.4% 19.8% 12.7% 17.8% EBITDA 218.2% 203.3% 63.8% 14.2% 93.7% 5.5% (6.9%) 12.8% 25.9% 8.5% (41.1%) (33.7%) (35.0%) (12.5%) (30.5%) 38.5% 54.5% 39.8% 18.2% 35.8% Operating Income 292.8% 251.0% 75.0% 14.6% 109.1% 6.1% (9.5%) 11.0% 22.2% 6.7% (47.1%) (39.1%) (39.7%) (15.4%) (35.4%) 42.9% 62.4% 44.3% 19.1% 39.6% Pretax Income 262.3% 243.6% 76.0% 18.6% 108.2% 6.1% (9.5%) 12.0% 24.4% 7.5% (45.4%) (37.3%) (39.3%) (17.4%) (34.8%) 41.6% 59.9% 43.2% 19.4% 38.7% Net Income 217.2% 222.5% 38.6% 11.1% 82.7% 9.3% (12.0%) 15.1% 29.9% 9.7% (46.0%) (37.0%) (36.6%) (24.8%) (35.8%) 41.6% 59.9% 43.2% 19.4% 38.7% Fully Diluted Earnings Before Goodwill 208.2% 209.6% 33.5% 6.9% 76.2% 7.1% (12.6%) 14.4% 30.5% 8.8% (45.9%) (37.1%) (36.9%) (25.7%) (36.0%) 39.7% 57.7% 41.3% 17.8% 36.8% Fully Diluted Avg. Shares Outstanding 2.9% 4.2% 3.9% 3.9% 3.7% 2.1% 0.7% 0.6% (0.5%) 0.8% (0.1%) 0.1% 0.4% 1.2% 0.3% 1.4% 1.4% 1.4% 1.4% 1.4%

Quarter-over-quarter D Total Revenues 8.4% 3.1% (3.7%) 6.1% 9.1% (1.1%) 2.7% (0.8%) (12.8%) 0.0% 3.6% 10.5% (1.6%) 6.5% 1.5% 4.5% Gross Profit 16.6% 1.6% (1.4%) (2.4%) 13.3% (1.7%) 5.1% (4.7%) (15.9%) 0.1% 5.1% 12.0% (1.7%) 6.8% 2.0% 5.3% EBITDA 36.0% 2.0% (5.4%) (13.0%) 25.7% (10.0%) 14.6% (2.9%) (41.2%) 1.3% 12.2% 30.8% (6.9%) 13.1% 1.5% 10.6% Operating Income 36.3% 2.2% (5.7%) (12.8%) 26.2% (12.8%) 15.6% (4.0%) (45.4%) 0.5% 14.5% 34.8% (7.8%) 14.1% 1.7% 11.2% Pretax Income 37.6% 2.8% (4.9%) (11.8%) 23.1% (12.2%) 17.6% (2.1%) (45.9%) 0.8% 13.8% 33.1% (7.2%) 13.8% 1.9% 11.0% Net Income 20.4% 7.5% (13.6%) (0.6%) 18.5% (13.5%) 13.0% 12.2% (50.7%) 0.8% 13.8% 33.1% (7.2%) 13.8% 1.9% 11.0% Fully Diluted Earnings Before Goodwill 18.5% 5.9% (13.7%) (1.2%) 18.7% (13.6%) 13.0% 12.6% (50.8%) 0.4% 13.4% 32.6% (7.6%) 13.4% 1.6% 10.6% Fully Diluted Avg. Shares Outstanding 1.6% 1.5% 0.1% 0.6% (0.2%) 0.1% 0.0% (0.4%) 0.2% 0.3% 0.3% 0.3% 0.3% 0.3% 0.3% 0.3%

Nova Measuring Instruments Ltd. Page 17 of 22 Needham & Company, LLC April 16, 2019

Needham & Company, LLC N. Quinn Bolton, CFA, (212) 705-0322

Nova Measuring Instruments Ltd. (Nasdaq - NVMI) Balance Sheet Model (Amounts in millions, except per share data)

1Q:17A 2Q:17A 3Q:17A 4Q:17A Year 1Q:18A 2Q:18A 3Q:18A 4Q:18A Year 1Q:19E 2Q:19E 3Q:19E 4Q:19E Year 1Q:20E 2Q:20E 3Q:20E 4Q:20E Year Mar-17 Jun-17 Sep-17 Dec-17 2017A Mar-18 Jun-18 Sep-18 Dec-18 2018A Mar-19 Jun-19 Sep-19 Dec-19 2019E Mar-20 Jun-20 Sep-20 Dec-20 2020E ASSETS: Cash and Cash Equivalents $24.4 $41.7 $20.0 $27.7 $27.7 $28.5 $27.6 $23.8 $22.9 $22.9 $33.4 $39.6 $44.9 $56.6 $56.6 $71.5 $85.3 $97.9 $110.7 $110.7 Short-Term Investments 90.6 93.2 123.8 121.4 121.4 132.2 140.0 145.8 153.0 153.0 153.0 153.0 153.0 153.0 153.0 153.0 153.0 153.0 153.0 153.0 Accounts Receivable: 38.2 36.4 33.6 40.9 40.9 37.4 43.1 48.1 53.5 53.5 45.8 44.3 44.3 45.5 45.5 44.8 44.0 44.7 46.7 46.7 Inventories: 30.8 33.7 39.0 34.9 34.9 39.1 41.3 41.8 41.8 41.8 41.2 39.8 39.1 41.0 41.0 40.5 41.3 40.1 41.4 41.4 Deferred Tax Assets 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Prepaid And Other Current Assets 4.6 6.0 8.5 7.3 7.3 5.8 3.7 6.2 11.0 11.0 11.0 10.5 10.3 11.0 11.0 11.2 11.6 11.4 11.9 11.9 Total Current Assets 188.7 211.0 224.9 232.3 232.3 243.0 255.8 265.7 282.1 282.1 284.4 287.1 291.6 307.1 307.1 320.8 335.1 346.9 363.6 363.6 Property, Plant and Equipment, net 9.5 9.6 9.5 13.9 13.9 13.6 13.6 13.2 13.8 13.8 18.2 22.5 25.8 27.0 27.0 27.7 28.3 28.9 29.4 29.4 Investments 0.8 0.8 0.8 0.8 0.8 1.1 1.1 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 Goodwill 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 20.1 Intangible Assets 14.7 14.1 13.4 12.8 12.8 12.1 11.5 10.8 10.2 10.2 9.5 8.9 8.2 7.6 7.6 6.9 6.3 5.6 5.0 5.0 Long-Term Deferred Tax Assets 2.8 2.4 2.0 2.0 2.0 2.1 2.5 2.7 3.9 3.9 3.9 3.9 3.9 3.9 3.9 3.9 3.9 3.9 3.9 3.9 Other Long-Term Assets 1.5 1.5 1.5 1.5 1.5 1.5 1.5 1.5 1.4 1.4 1.4 1.4 1.4 1.4 1.4 1.4 1.4 1.4 1.4 1.4 Total Assets $238.1 $259.4 $272.2 $283.3 $283.3 $293.5 $306.1 $316.0 $333.4 $333.4 $339.5 $345.8 $353.0 $369.0 $369.0 $382.8 $397.0 $408.8 $425.3 $425.3

LIABILITIES AND STOCKHOLDERS' EQUITY:

Short-Term Borrowings and Current Portion of L.T.D. $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 Trade Accounts Payable 16.6 19.2 17.6 15.8 15.8 18.1 18.5 17.6 19.0 19.0 17.9 17.8 16.8 18.2 18.2 19.5 20.7 19.2 19.9 19.9 Accrued Expenses, Compensation and Benefits 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Income Taxes Payable 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Deferred Revenue 6.5 10.2 11.4 10.3 10.3 6.0 9.5 6.3 4.0 4.0 3.9 3.9 3.7 3.8 3.8 4.0 4.3 4.0 4.2 4.2 Other Current Liabilities 19.7 20.0 21.1 26.0 26.0 23.2 18.7 18.0 25.1 25.1 24.8 23.4 22.8 25.2 25.2 26.4 26.4 26.8 28.0 28.0 Total Current Liabilities 42.8 49.4 50.1 52.1 52.1 47.2 46.8 41.9 48.1 48.1 46.5 45.1 43.3 47.2 47.2 49.9 51.3 50.0 52.1 52.1 Long-Term Debt 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Convertible Debt 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Deferred Tax Liabilities 0.3 0.5 0.4 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Other Long-Term Liabilities 4.0 4.2 4.1 4.4 4.4 4.7 4.8 4.9 4.6 4.6 4.6 4.6 4.6 4.6 4.6 4.6 4.6 4.6 4.6 4.6 Total Liabilities 47.0 54.1 54.7 56.5 56.5 51.9 51.5 46.8 52.7 52.7 51.1 49.7 47.9 51.8 51.8 54.5 56.0 54.7 56.7 56.7 Minority Interest 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Preferred Stock 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 Stockholders' Equity: 191.1 205.3 217.4 226.7 226.7 241.7 254.6 269.3 280.7 280.7 288.4 296.2 305.1 317.1 317.1 328.3 341.1 354.1 368.6 368.6 Total Liabilities and Stockholders' Equity $238.1 $259.4 $272.2 $283.3 $283.3 $293.5 $306.1 $316.0 $333.4 $333.4 $339.5 $345.8 $353.0 $369.0 $369.0 $382.8 $397.0 $408.8 $425.3 $425.3

Days Sales Outstanding (DSOs) 63 58 56 64 54 63 68 76 75 73 70 65 65 60 60 60 Days Inventory 129 134 167 125 135 143 146 139 150 145 140 135 135 130 125 125 Days Payable 70 76 75 56 62 64 61 63 65 65 60 60 65 65 60 60 Length of Cash Cycle 123 116 147 133 126 142 152 152 160 153 150 140 135 125 125 125

Receivable Turns 5.7 6.2 6.4 5.6 6.7 5.7 5.3 4.7 4.8 5.0 5.1 5.5 5.5 6.0 6.0 6.0 Inventory Turns 2.8 2.7 2.2 2.9 2.7 2.5 2.5 2.6 2.4 2.5 2.6 2.7 2.7 2.8 2.9 2.9

Book Value per FD Share $6.79 $7.19 $7.60 $7.88 $8.41 $8.85 $9.36 $9.80 $10.05 $10.28 $10.56 $10.94 $11.28 $11.68 $12.09 $12.54 Tangible Book Value per FD Share $5.55 $5.99 $6.43 $6.73 $7.29 $7.75 $8.28 $8.74 $9.02 $9.28 $9.58 $9.98 $10.35 $10.78 $11.21 $11.69 Net Cash per FD Share $4.09 $4.72 $5.03 $5.18 $5.59 $5.83 $5.89 $6.14 $6.49 $6.69 $6.85 $7.22 $7.71 $8.16 $8.56 $8.97

L.T. Debt/Capital 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% Total Debt/Capital 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0%

Return on Assets 24.7% 24.4% 19.7% 18.8% 22.1% 21.4% 17.8% 19.4% 20.8% 19.7% 9.9% 9.8% 10.9% 14.1% 11.1% 12.6% 13.8% 13.6% 14.6% 13.6% Return on Invested Capital 30.9% 30.6% 24.8% 23.5% 27.6% 26.4% 21.5% 23.0% 24.6% 23.9% 11.7% 11.5% 12.7% 16.4% 13.1% 14.6% 16.1% 15.8% 16.8% 15.8% Return on Equity 30.9% 30.6% 24.8% 23.5% 27.6% 26.4% 21.5% 23.0% 24.6% 23.9% 11.7% 11.5% 12.7% 16.4% 13.1% 14.6% 16.1% 15.8% 16.8% 15.8% Source: Company reports and Needham & Company, LLC estimates.

Nova Measuring Instruments Ltd. Page 18 of 22 Needham & Company, LLC April 16, 2019

Valuation (Price Target: $33.00)

RisksOur to PT Target of $33 is based on a 18x P/E multiple of our CY20 NG EPS estimate (excluding SBC).

■ A slower than expected recovery of the semiconductor equipment industry

■ Failure to qualify new products at customers

■ High customer and product concentration

■ Increased operating expenses as a result of new product development may pressure operating margins

■ The technology risk of that customers may prefer competitors’ ellipsometry solutions over Nova's reflectometry solutions

■ Both of the company’s two product lines, Optical CD and XPS, are each manufactured in a single facility

Nova’s long sales cycle increases the company’s exposure to order delays

Rating and Price Target History for: Applied Materials, Inc. (AMAT) as of 04-15-2019

05/20/16 07/12/16 08/19/16 11/18/16 01/05/17 02/16/17 05/15/17 05/19/17 11/17/17 01/08/18 05/18/18 07/02/18 12/20/18 12/20/18 BUY:$26 BUY:$29 BUY:$34 BUY:$36 BUY:$40 BUY:$43 BUY:$50 BUY:$54 BUY:$66 SB:$72 SB:$68 SB:$60 NA:$45 NA:NA 70 60 50 40 30 20 10 Q1 Q2 Q3 2017 Q1 Q2 Q3 2018 Q1 Q2 Q3 2019 Q1 12/21/18 I:BUY:$45

Created by: BlueMatrix

Nova Measuring Instruments Ltd. Page 19 of 22 Needham & Company, LLC April 16, 2019

Rating and Price Target History for: Nanometrics Incorporated (NANO) as of 04-15-2019

50 45 40 35 30 25 20 15 10 Q1 Q2 Q3 2017 Q1 Q2 Q3 2018 Q1 Q2 Q3 2019 Q1

Created by: BlueMatrix

Nova Measuring Instruments Ltd. Page 20 of 22 April 16, 2019

ANALYST CERTIFICATION

I, N. Quinn Bolton hereby certify that the views expressed in this research report accurately reflect my personal views about the subject company (ies) and its (their) securities. RATINGSI, also certify DISTRIBUTIONS that I, have not been, FOR andNEEDHAM will not be & receivingCOMPANY, direct LLC or indirect compensation in exchange for expressing the specific recommendation(s) in this report.

% of companies under coverage % for which investment banking services with this rating have been provided for in the past 12 months Strong Buy 8 19 Buy 62 20 Hold 28 4 Underperform 1 0

Needham & Company, LLC employs a rating system based on the following:

Strong Buy: A security, which at the time the rating is instituted, we expect to outperform the average total return of the broader market as well as the securities in the analyst’s coverage universe over the next 12 months.

Buy: A security, which at the time the rating is instituted, we expect to outperform the average total return of the broader market over the next 12 months.

Hold: A security, which at the time the rating is instituted, we expect to perform approximately in line with the average total return of the broader market over the next 12 months.

Underperform: A security, which at the time the rating is instituted, we expect to underperform the average total return of the broader market over the next 12 months.

Rating Suspended: We have suspended the rating and/or price target, if any, for this security, because there is not a sufficient fundamental basis for determining a rating or price target. The previous rating and price target, if any, are no longer in effect and should not be relied upon. This rating also includes the previous designation of "Under Review".

Restricted: Needham & Company, LLC policy and/or applicable law and regulations preclude certain types of communications, including an investment recommendation, during the course of Needham & Company, LLC’s engagement in an investment banking transaction and in certain other circumstances.

For disclosure purposes, in accordance with FINRA requirements, please note that our Strong Buy and Buy ratings most closely correspond to a "Buy" recommendation. When combined, 70% of companies under coverage would have a "Buy" rating and 20% have had investment banking services provided within the past 12 months. Hold ratings mostly correspond to a "Hold/Neutral" recommendation; while our Underperform rating closely corresponds to the "Sell" recommendation required by the FINRA.

Our rating system attempts to incorporate industry, company and/or overall market risk and volatility. Consequently, at any given point in time, our investment rating on a security and its implied price appreciation may not correspond to the stated 12-month price target. For valuation methods used to determine our price targets and risks related to our price targets, please contact your Needham & Company, LLC salesperson for a copy of the most recent research report.

Price charts and rating histories for companies under coverage and discussed in this report are available at http://www.needhamco.com/ . You may also request this information by writing to: Needham & Company, LLC, Attn: Compliance/Research, 250 Park Ave., New York, NY 10177

By issuing this research report, each Needham & Company, LLC analyst and associate whose name appears within this report hereby certifies that (i) the recommendations and opinions expressed in the research report accurately reflect the research analyst’s and associate’s personal views about any and all of the subject securities or issuers discussed herein and (ii) no part of the research analyst’s or associate’s compensation was, is or will be directly or indirectly related to the specific recommendations or Disclosuresviews expressed by the research analyst or associate in the research report.

The Firm and/or its affiliates beneficially own 1% or more of any class of common equity securities of the subject company Nova Measuring Instruments Ltd. .

The research analyst and research associate have received compensation based upon various factors, including quality of research, investor client feedback, and the Firm's overall revenues, which includes investment banking revenues for the following: Nova Measuring Instruments Ltd., Applied Materials, Inc., KLA-Tencor Corporation and Nanometrics Incorporated

The Firm, at the time of publication, makes a market in the subject companies Nova Measuring Instruments Ltd., Applied Materials, Inc., KLA-Tencor Corporation and Nanometrics Incorporated . Equity Options Disclosure The analyst has received compensation from the subject company Applied Materials, Inc. in the last 12 months.

Any Equity Options or Technical Strategy Opinions (“Opinions”) contained within this report was prepared by the Equity Options Sales and Trading Desk of Needham & Company, LLC (“Needham” or the “Firm”) for distribution to Needham’s clients. This Opinion consists of market information and general market commentary only. It is not intended to be an analysis of any security or to provide any information sufficient upon which to base an investment decision.

THIS EQUITY OPTIONS OR TECHNICAL STRATEGY OPINION IS NOT A PRODUCT OF NEEDHAM'S RESEARCH DEPARTMENT AND IS NOT A RESEARCH REPORT. Unless otherwise specifically stated, the information and commentary expressed herein are solely those of the author and may differ from the information, views and analysis expressed by Needham’s Research Department or other departments of the Firm or its affiliates.

Needham and its affiliates may have positions (long or short), effect transactions or make a market in the securities or financial instruments referenced in this Opinion. Needham or its affiliates may engage in securities transactions that are not consistent with the information and commentary expressed in this Opinion. Needham may have provided investment banking or other services to the issuers mentioned herein and may solicit such services in the future.

Nova Measuring Instruments Ltd. Page 21 of 22 April 16, 2019

If this Opinion includes extracts or summary material derived from research reports produced by Needham’s Research Department, you are directed to the most recent research report for further details, including analyst certifications and other important disclosures. Copies of such reports may be obtained from your Needham sales representative or at www.needhamco.com

This material is provided for informational purposes only and is not intended as a recommendation or an offer or solicitation for the purchase or sale of any security or financial instrument. Any investment decision by you should be based on your specific investment objectives and financial situation. Please contact your Needham sales representative for specific guidance.

The information contained in this Opinion has been obtained from or is based upon sources believed to be reliable, but neither Needham nor the author makes any representation or warranty as to its accuracy or completeness. The information contained in this Opinion is as of the date specified herein. Needham does not undertake any obligation to monitor or update the information. Past performance is not indicative of future results and no representation or warranty, express or implied, is made with respect to future performance. Needham disclaims all liability for any loss that may arise (whether direct or consequential) from any use of the information contained in this Opinion.

Structured securities, options, futures and other derivatives are complex instruments, may involve a high degree of risk, and may be appropriate investments only for sophisticated investors who are capable of understanding and assuming the risks involved. Because of the importance of tax considerations to many options transactions, any investor considering the purchase or sale of any options contract should consult with his or her tax advisor as to how taxes affect the outcome of contemplated transactions. Needham and its affiliates do not provide tax advice.

OPTIONS ARE NOT SUITABLE FOR ALL INVESTORS. For further information on the risks associated therewith, please consult the Options Clearing Corporation’s options risk disclosure document available at the following web address: http://www.optionsclearing.com/about/publications/character-risks.jsp

Clients should call the Equity Sales and Trading Desk for additional information.

This report is for informational purposes only and does not constitute a solicitation or an offer to buy or sell any securities mentioned herein. Information contained in this report has been obtained from sources believed to be reliable, but Needham & Company, LLC makes no representation as to its accuracy or completeness, except with respect to the Disclosure Section of the report. Any opinions expressed herein reflect our judgment as of the date of the materials and are subject to change without notice. The securities discussed in this report may not be suitable for all investors and are not intended as recommendations of particular securities, financial instruments or strategies to particular clients. Investors must make their own investment decisions based on their financial situations and investment objectives. The value of income from your investment may vary because of changes in interest rates, changes in the financial and operational conditions of the companies and other factors. Investors should be aware that the market price of securities discussed in this report may be volatile. Due to industry, company and overall market risk and volatility, at the securities current price, our investment rating may not correspond to the stated price target. Additional information regarding the securities mentioned in this report is available upon request.

© Copyright 2019, Needham & Company, LLC, Member FINRA, SIPC.

Nova Measuring Instruments Ltd. Page 22 of 22