<<

FALL 2014 VOL. 6 • NO. 4 www.ieee.org/sscs-news

features 14 Exploring and Explaining Circuits By Yannis Tsividis Recollections from Yannis’ Graduate Student Years at Berkeley By Paul Gray

36 Yannis Tsividis’ Early Contributions to MOS Filters By John Khoury and Mihai Banu about this image: Columbia’s mixed-signal group in the late 1980s. Find out 41 A Mind for all Seasons who’s who in “Exploring and Explaining Circuits.” By Nagendra Krishnapura and Shanthi Pavan

44 How a Humble Circuit Designer Revolutionized MOS Transistor Modeling By Colin C. McAndrew columns/ departments 48 Yannis Tsividis, Path-Breaking Researcher and Educator 3 Contributors By Gabor Temes 4 Editor’s Note 5 associate editor’s view 52 Yannis Tsividis, Educator 6 Contributing Editor’s View By David Vallancourt 7 Circuit intuitions Yannis Tsividis as a Role Model 9 a Circuit for All Seasons for Reversing the Negative Spiral 13 guest editorial of Basic Circuits and Systems Education 63 people By Joos Vandewalle 66 Conference reports 68 Chapters 76 iEEE news 79 Conference Calendar Tutorial 56 Telemetry for Implantable Medical Devices By Ulrich Bihr, Tianyi Liu, and Maurits Ortmanns

Digital Object Identifier 10.1109/MSSC.2014.2347712

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 1 Senior Administrator, Katherine Olstein Terms to 31 Dec. 2015 IEEE SSCS, 445 Hoes Lane Arya Behzad, Shekhar Borkar, Michael H. IEEE solid-state Piscataway, NJ 08854 USA Perrott, Trudy Stetzler, William Redman-White circuits magazine Tel: +1 732 981 3410 Fax: +1 732 981 3401 Terms to 31 Dec. 2016 Editor-in-Chief Jake Baker, Franz Dielacher, Peter Kinget, Mary Y. Lanzerotti Administrator Lauren Caruso Bram Nauta, Stefan Rusu [email protected] , [email protected] IEEE SSCS, 445 Hoes Lane Piscataway, NJ 08854 USA Region 8 Representative Bram Nauta TECHNOLOGY EDITOR Tel: +1 732 562 3871 Jake Baker Fax: +1 732 981 3401 Region 10 Representative [email protected] Tadahiro Kuroda SSC Administrative committee Tutorials Editor President, Bill Bidermann Zeebo, Inc. Chairs of Standing Committees Willy Sansen Awards—John J. Corcoran [email protected] Vice-President, Jan Van der Spiegel Chapters—Jan Van der Spiegel University of Pennsylvania Associate Editor for the far east Education—Domine Leenaerts Patrick Yue Secretary, Mike Beunder Meetings—Ken O Membership—Tzi-Dar Chiueh [email protected] Treasurer, Shekhar Borkar Nominations—Rakesh Kumar ASSOCIATE EDITOR FOR Past President, Rakesh Kumar Publications—Glenn Gulak EUROPE AND AFRICA Technology Connexions, Inc. Marcel Pelgrom Poway, California, USA [email protected] Other Representatives ASSOCIATE EDITOR Representative to Sensors Council IEEE Periodicals/ Ali Sheikholeslami Darrin Young [email protected] Magazines Department Representative to CAS from SSCS Senior Managing Editor CONTRIBUTING EDITOR Tony Chan Carusone Geraldine Krolin-Taylor Behzad Razavi Representative to SSCS from CAS [email protected] senior Art Director Open Tom Lee Janet Dudar [email protected] Representatives to EDA Council Assistant Art Director Bryan Ackland, Vivek Tawari News Editor Gail A. Schnitzer Katherine Olstein Representatives to ISSCC production coordinator [email protected] Bryan Ackland, Jan Van der Spiegel Theresa L. Smith Representative to CICC MAGAZINE ADVISORY COMMITTEE Tom Andre Staff Director, Publishing Operations Chair: Rakesh Kumar. Jake Baker, Bill Bider- Fran Zappulla mann, Glenn Gulak, Erik Heijne, Hideto Hidaka, Representative to IEEE GOLD Program Richard Jaeger, Michael Kelly, Mary Lanzerotti, Emre Ayranci Editorial Director Dawn M. Melley Tom Lee, Katherine Olstein, Marcel Pelgrom, Representatives to Nanotechnology Council Willy Sansen, Ali Sheikholeslami, Lewis Terman, Elad Alon, Ian Young Production Director Alice Wang, Patrick Yue Representative to Technical Committee on RFID Peter M. Tuohy Shahriar Mirabbasi Advertising Production Manager Representative to Engineering Technology Felicia Spagnoli IEEE solid-state Management Council Business Development Manager Mike Beunder circuits society Susan Schneiderman Representative to Biometrics Council +1 732 562 3946 Fax: +1 732 981 1855 Executive Director, Michael Kelly Bruce Hecht [email protected] IEEE SSCS, 445 Hoes Lane www.ieee.org/ieeemedia Piscataway, NJ 08854 USA Elected AdCom Members at Large Tel: +1 732 981 3400 Terms to 31 Dec. 2014 IEEE prohibits discrimination, harassment, and Fax: +1 732 981 3401 Christian Enz, Hideto Hidaka, Kofi Makinwa, bullying. For more information, visit http://www. [email protected] Boris Murmann, Roland Thewes ieee.org/web/aboutus/whatis/policies/p9-26.html.

SCOPE: Each issue of IEEE Solid-State Circuits Magazine is envisioned as a self-contained resource for fundamental theories and practical advances within the field of integrated cir- cuits (ICs). Written at a tutorial level and in a narrative style, the magazine features articles by leaders from industry, academia and government explaining historical milestones, current trends and future developments. contact information: See the “Contact Us” page on SSCS Web site: http://ewh.ieee. org/soc/sscs/index.php?option=com_content&task=view&id= 10&Itemid=3. IEEE Solid-State Circuits Magazine (ISSN 1943-0582) ( SCMOCC) is published quarterly by The Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997, USA +1 212 419 7900. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society, or its members. The magazine is a member- ship benefit of the IEEE Solid-State Circuits Society, and subscriptions are included in Society fee. Replacement copies for members are available for US$20 (one copy only). Nonmembers can purchase individual copies for US$172.00. Nonmember subscription prices are available on request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy beyond the limits of the U.S. Copyright law for private use of patrons: 1) those post-1977 articles that carry a code at the bottom of the first page, provided the per-copy fee indicated in the code is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01970, USA; and 2) pre-1978 articles without fee. For other copying, reprint, or republication permission, write to: Copyrights and Permissions Department, IEEE Service Center, 445 Hoes Lane, Piscataway NJ 08854 USA Copyright © 2014 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals postage paid at New York, NY, and at additional mailing offices. Postmaster: Send address changes to IEEE Solid-State Circuits Magazine, IEEE, 445 Hoes Lane, Piscataway, NJ 08854 USA. about the cover: Canadian GST #125634188 Printed in USA Yannis Tsividis, who is with Columbia University, is a born researcher and Digital Object Identifier 10.1109/MSSC.2014.2347713 ­educator. He is always interested in ideas, and his career has been an inspiration to many, including the guest editor of this issue, Peter Kinget. (Photo by Barbara Alper.)

2 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE guest editorial

Peter Kinget

A Born Educator and Researcher

It has been a distinct pleasure to and academic, to have these types of Dr. Khoury and Dr. Banu, former Ph.D. coordinate the special section fea- interactions and encouragements. Of students with a distinguished career in turing the contributions of Yannis course, by now I have learned that this the IC industry, discuss the early devel- ITsividis to (solid-state) circuits and is really the hallmark of Yannis, and the opment of integrated continuous-time systems education, MOS modeling, articles in this issue illustrate this am- filters. Prof. Krishnapura and Prof. and analog and RF IC design. ply. He is a born educator and research- Pavan, former Ph.D. students who I came to know of Prof. Tsividis er. He is genuinely interested followed in Yannis’s through his papers and books while in the research of others, footsteps by choosing [Yannis] is genuinely starting out as a graduate student in stimulates the development an academic career, interested in Leuven. One summer we were fortunate of new ideas, and always recall their experiences the research of to have a summer course on analog IC strives to find the original as graduate students. ­others, stimulates design on campus, and he was one of source of ideas. But, like Prof. Gabor Temes re- the development the instructors. I distinctly remember no other, he is able to counts the impact of Yan- of new ideas, and his crystal-clear lectures on continu- identify new directions, nis’s work in switched always strives to ous-time filters (with hands-on simula- even if it means going capacitor filters and other find the original tion exercises in the afternoon!). Most against what is consid- areas. Prof. Vallancourt source of ideas. memorable was our discussion about ered common sense. has followed in his advi- my Ph.D. research topic, where, in a His career sets an ex- sor’s educational footsteps matter of minutes, he zoomed in on the ample for all of us in academia. It has and highlights Yannis’s unique contri- key challenges and opportunities. been a distinct pleasure to have him as butions to circuits and systems edu- A few years later after presenting a colleague and mentor at Columbia. cation. Prof. Gray and Prof. Vandewal- some of my Ph.D. results at the CICC, Being a guest editor is often con- le provide sidebars recounting their I received a letter in the mail from sidered a “hard” or even “thankless” interactions with Yannis. Thanks also Columbia University. It was a hand- job. Not this time! All contributors to the editorial board for approving written note from Yannis saying that were thrilled to write an article for the proposal to feature Yannis in this he liked my paper! this issue and were very punctual issue. Last but not least, I would like It was really thrilling and stimulating in delivering all materials in a short to thank Editor-in-Chief Mary Lanze- as a student, and later as a researcher time frame, for which I would like to rotti for her skill and efforts putting thank them. together the issue.

Digital Object Identifier 10.1109/MSSC.2014.2347771 Dr. Colin McAndrew highlights Yan- Date of publication: 12 November 2014 nis’s contributions in MOS modeling.

IEEE SOLID-STATE CIRCUITS MAGAZINE fall 2014 13 Exploring and Explaining Circuits

Yannis Tsividis

Early School Years – How I Got “The Bug” grew up in a suburb near Athens, Greece, to a middle-class family that went through very difficult I times. One of my earliest memories is of an attraction to musical instruments, especially pianos, and of my parents pulling me away from them; I was later told that they were afraid I would become a musician, and that I “would starve”. To this day, I regret not having learned to play an instrument well. Yet music is very much a part of my life. I credit it, in part, for my interest in radio and audio and, through those, in all things electronic. School was a refuge for me, and over- all I did very well in it, except in some subjects I truly disliked and had trouble with, like geography and history (and later chemistry). Those subjects were taught to us in a way that involved endless rote learning. I was born with limited memory, and found it very difficult to remember the huge amount of seemingly irrelevant information required to do well in those subjects. I would spend long evenings try- ing my best, ending up in crying episodes. In contrast to the above troubles, I loved other subjects in elementary school, especially math and later physics. This love extended to things that, at the time, I did not know had anything to do with math; I will mention one of them, which I think is interesting even today. In first

Digital Object Identifier 10.1109/MSSC.2014.2348933 Date of publication: 12 November 2014 Photo by Barbara Alper.

14 fall 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/14©2014IEEE grade, during class, I used to doodle a lot. My favorite doodle was a continu- If a project looks conceptually interesting, ous line turning and crossing itself a number of times, and ending at the if it helps me get deeper into fundamentals, same point it had started; sometimes I am often drawn to it. I would draw more than one closed curves crossing themselves and each other. I had noticed that if I filled in impression on me, as it suggested the sudden current changes would one of the resulting closed spaces on rather strongly that authority fig- cause voltages large enough to give the periphery and left unfilled a closed ures are not always right. you a shock. I later found out that such space next to that, then filled in one From early on, I was interested in things already existed. next to the second one, etc., there was all things mechanical. I had been given One thing that intrigued me was never an instance where, by the time I a Meccano set (known in the US as an that, when someone would ring our had finished, two adjacent areas would Erector Set), and I made all kinds of door bell, there would be interfer- have to be filled in (Fig. 1). I must have contraptions with it. I loved magnets. ence on our AM radio, and it could be tried this hundreds of times and it was I was also fascinated with flashlights, heard at all frequencies (talk about always verified. I was so sure of this and soon I was taking apart not only ultra wide band!) I started experi- that I started telling my classmates them, but also the batteries in them menting using my own bell, attach- and betting on it.1 (I still remember the ammonia smell ing long wires to it and moving them I used to ask a lot of questions when I did this). There was a book closer to the radio, changing the in my favorite subjects and some- in the house, something like “Intro- bell’s repetition rate, etc. I had just times I got in trouble for it. I vividly duction to Electricity,” for kids, and I gotten the radio bug. I later learned recall an incident in third grade. really wanted to read it, but my father that sparks, like the one in my bell, The teacher had just introduced r kept hiding it from me, as “it was not had been in use in an earlier age in to us, saying that the diameter of a for my age.” I think he had mentioned spark gap transmitters. Rather than circle fits “three times and fourteen something to the effect that, if kids disappointing me, finding out that hundredths” into the perimeter. get exposed to such things too early, my experiments and “inventions” Now, in Greek, the word for “hun- they can become nerds; I guess this, were actually not new was encour- dredths” is used both to mean just in his mind, was as bad as “becoming aging; it seemed that I was in good that, and also to mean “hundredths of a musician and starving.” I eventually company, and had found my calling. a meter,” i.e. cm. I wanted to make read that book a few years later, and I had no lab instruments, of course, sure I understand what the teacher started experimenting with electro- so everything was done qualitatively. had said, so I raised my hand and magnets. But the book that played a For example, I would test if the 4.5 V asked her, “Do you mean fourteen decisive role in my life was one on the batteries I was using “had enough volt- hundredths of the diameter, or four- early years of Thomas Edison. I was age” using my tongue; it had to sting teen hundredths of a meter?” She totally fascinated by it. I kept read- just enough, and then I would approx- answered, “hundredths of a meter.” ing it and re-reading it. I could relate imately know. This would later lead to That really troubled me. I said, “But to what was in that book! I could cer- a very unpleasant experience. An aunt how is this possible? No matter if tainly understand Edison’s fascination of mine, coming from abroad, brought the circle is this tiny, or this big (I with experiments, as I was feeling it, me as a gift a portable radio (with vac- made signs with my hands), the too. Edison became my hero. Since uum tubes). I decided to make sure periphery is always three times and Edison became a telegraph operator the battery in it had “enough voltage” fourteen hundredths of a meter?” at a very young age, I decided to learn using, as always, my tongue. I felt a She said “yes.” I was sure something the Morse code, too. With a friend, we horrible shock, not just in my tongue, was very wrong there, and tried to strung a pair of wires between our but in my whole head, which violently explain, but she cut me off with “Sit two houses and started sending each down, Tsividis! I said it’s fourteen other messages. It was very exciting. hundredths of a meter!” I had to For some reason, nobody complained shut up, or I would probably have that the wires went over a street suffered a few slaps with her ruler. crossed by cars. But the incident made an indelible At one point I made, with my Mec- cano kit plus a couple of other parts, a high-voltage generator of my own 1) About thirty years later, I decided to prove that childhood conjecture of mine; I design; I would turn a crank, which was able to do it in a simple way, using dual would cause periodic interruptions in a graphs and a theorem I found in a graph theory book. circuit involving a large electromagnet; Figure 1: Doodle example.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 15 But rock, as well as other popular From genres at the time, became more and Demodulator Audio Stages more important to us. Greek radio (at From Receiver the time only four stations in Athens, Input Circuit Converter Tube all government–operated) had started playing some of this music, but not Local Osc. enough for us. And the formal way Tank cct Record Player they used to announce the songs did Bias Bias Bias not go well with the improvisation and downright craziness of rock. But Figure 2: Surgery used to convert our old AM radio to a transmitter. there was, during the Cold War, an American radio station in Athens, and shot backwards. As it turned out, the school), and my family could not that was a different story. Announcers battery in question was a 67.5 V one. I afford outside language lessons. on it improvised, screamed, talked had no idea such batteries existed. For So I tried to learn some English by over songs, sang along with them, and days after this, I had pain in my spine. myself, just in order to understand somehow meshed with the music they I learned to be very careful with high some of the words in those songs, were playing. They were having a ball! voltage. A 400 V shock I experienced or at least their titles. I gradually This fascinated us. We would listen to years later (fortunately, not on my made some progress, and could that station for hours each day. tongue this time), while working on finally understand what “Unchain a power supply, completed my “train- my heart” meant, although I was still Radio Pirate ing” in this respect. puzzled by “Itsy bitsy teenie weenie I decided that improvisation had to At 12 years, I read in a children’s yellow polka dot bikini.” Anyway, come to Greek radio, and since the magazine an article describing how this partial success was encourag- staid announcers on government to make a batteryless radio using ing, so I kept up the effort. But what stations were not doing it, I would a galena crystal. I built one, and it really gave a push to my self-train- try to do it myself. In the meantime, worked. I was fascinated. I started ing in English was a small book by starting in 10th grade, I had enrolled experimenting with longer and longer A. Marcus et al., “Radio for Beginners,” in a correspondence course on radio antennas, trying to increase the signal found and given to me by a friend. I repair, offered by a local school, strength. I started collaborating in this opened it, and my mouth watered. which furthered my understanding quest with a neighborhood friend. At Starting with a picture of a pebble of how radios worked. But my train- one point we decided to erect a verti- falling into a lake and creating waves, ing so far included only receivers. cal antenna on his roof. It was a very the book went on to explain how my I started looking for a way to tall wooden pole, several times our galena crystal radios worked, and transmit. We had a beautiful Lafay- height, with a wire wound around it. gave schematics for several radios ette cathedral AM radio at home. It As we were raising it, we felt (too late) which used tubes for amplification. was a superheterodyne, with a self- that we could not handle the weight; I really had to understand this book! oscillating mixer tube (or “converter” the thing fell right onto the neighbor’s I picked up a dictionary, and slowly tube), and I now knew what that did. roof, causing serious damage. I still worked my way through it. At the I connected a short antenna to its cir- remember that neighbor, coming out end of this effort, I had both under- cuit. Sure enough, the local oscillator in his underware, shouting that we stood, for the first time, how radios signal could now be received at some were “shameless.” We decided to stick worked, and learned basic English. distance, as I could verify using a sec- to horizontal antennas (for the time I have not had formal training in ond radio (the one with the 67.5 V bat- being); those could be 40 m long with the language, before or since. Years tery). This was extremely exciting; it no problem. The signals started to get later, I repeated this “self-training” was my first radio transmission (other stronger and stronger. with other languages and subjects. than the more-than-ultra-wideband So now I could understand both attempt using a bell, described above). Teenage Years radio electronics, and what those I was now transmitting at a specific Soon I was a teenager, and started songs were saying. I was fascinated frequency, which I could control with going to parties where they played by rock music, and for a time I par- the tuning knob. The problem was, American popular music. I really ticipated in the rehearsals of a teen- this was only an unmodulated car- liked that music! But I could not age rock group. I was trying to play rier. How could I modulate it, and understand a word of the lyrics; at the drums, the others in the band make it carry music? The same mixer our school, English was not taught were trying to play other instruments, tube that generated this signal had (except for a few basic words and and none of us really knew what we multiple grids (for the young read- phrases near the end of elementary were doing. We didn’t last very long. ers: an electron tube “grid” roughly

16 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE corresponds to a MOSFET’s gate). One I kept up my efforts to increase the Greece were highly selective and of those grids was connected to the reach of my signal, now by “design- very difficult at the time, requiring radio’s input circuit, which carried the ing” transmitters from scratch. I knowledge and skills that far sur- signal of the station being received, reached a power of 10–20 W, which passed what was provided at most for mixing purposes. But I did not was enough to broadcast over sev- high schools, including mine. But want to receive a station; I wanted to eral miles. My audience was now there were separate, private tutoring make my own station, so this grid was rather wide. At about the same time, schools that specialized in preparing being wasted. I disconnected the grid, I started hearing a couple of other students for the university entrance and decided to try using it for modu- kids, from other areas, doing similar exams. They were a must (and, lation, motivated by a vague notion things. It is not clear who was earli- unfortunately, they still are). Like all I had that mixing, which is what this est, but from all I can tell I was among students preparing for the exam, I tube did, is some sort of modulation. the first radio pirates in Greece. The enrolled in such a school, starting in I had access to the input of the audio phenomenon started spreading. the 11th grade, and indeed I found it stages of the radio and I could connect More and more pirate radio stations essential. Unfortunately, during the there the output of a record player. sprung up, and soon they were doz- last year of high school I had to quit The output power tube provided an ens, then hundreds, just in the Ath- preparatory school, as I could no amplified version of the record play- ens area alone. Every neighborhood longer afford it; worsening troubles er’s signal, so I thought of connect- had its own radio pirate. Not once at home demanded that I get a job ing that to the now-available grid of during this period did it occur to me instead, and I did, working in ampli- the mixer tube (Fig. 2). With the silent that what we were doing may not fier assembly at a local factory. I now carrier being received on the second have been legal. We freely broadcast, had little time to prepare; I failed the radio, I placed a record on the record and even popular magazines would entrance exam to the main engineer- player, and lowered the cartridge onto write about us. So we thought that ing school at the time, the National the record. The music came out of the what we were doing was fine; it was Technical University of Athens. second radio. I felt extremely excited certainly tolerated by the authorities. Instead, I was admitted to the phys- and emotional. I was modulating and School was becoming more de- ics department of the University of transmitting my own signal! manding. To the subjects I abhorred Athens. In retrospect, this turned out I began a series of experiments, due to the rote learning they de- to be an advantage, as it broadened trying to increase the transmitter’s manded, namely geography and his- my perspective, and it would later range by trying different pins on tory, another one was added: chemis- complement my engineering educa- the converter tube for connecting try, especially the “organic” kind. Now tion. The courses were extremely the antenna, using a longer antenna, I really started struggling. Whereas rigorous. First-year calculus was like modifying the circuits, etc. Still, I I could do my math homework with a graduate course in real analysis. could only transmit in my immedi- ease, I would have to spend the rest of The real numbers were defined using ate neighborhood. I made a radio the evening trying to memorize dates “Dedekind cuts,” and we had to prove frequency (RF) amplifier on a piece in history, areas of countries in ge- everything rigorously, including, for of plywood, and connected my sig- ography, and formulas of substances example, that 1>0. In the exams, if nal to it. This did something, but with strange names in chemistry. As I we would successfully calculate an there was distortion. I started read- was growing, my pains with such sub- integral without first proving that ing up on power amplifiers and jects kept growing, too. Fortunately, it existed, we would get no credit. how they are modulated, and kept to compensate, I had my radio experi- Frankly, all this was way above my building more and more circuits, ments at home, and at school I had head and that of most of my class- until I finally could broadcast over math, physics and two new subjects mates; but it left something in me, several blocks. I could now have my that were a revelation to me: logic which later matured and became a own radio program. I bought a crys- and philosophy. I took to those with significant asset. tal mike, mixed its output with the an interest rivaling my interest in ra- The university was nothing like record player’s signal using a resis- dio. At one point, a few years later, I what I would later find in the US. The tive summer with potentiometers, considered majoring in philosophy; professors did not trust the students, and I was ready. I started broadcast- I didn’t, but that discipline, together and the students did not trust the ing rock and soul music in the after- with electronics and music, fascinates professors. Courses were year-long, noons, after school. I announced me to this day. and were very difficult to pass; the music as I pleased, made jokes, and passing rate in some of them was in general felt free to improvise. Studying Physics at the 10 to 20 per cent. The climate was This caught on with the teenagers University of Athens such that many of us would not go to in my neighborhood pretty quickly. I I was now looking forward to uni- most classes, preferring instead the was a high-school junior at the time. versity studies. Entrance exams in local cafés. There was no homework.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 17 At the end of the academic year, we using my transmitter. Students tuned broadcasting, I also got interested in would get together with classmates in every day to hear the latest bureau- trying FM, which was then being intro- and pull all-nighters, cramming for cratic details. This worked, and it was duced in Greece. It may be surprising the exams. We would pass some, even reported in some newspapers. that I found it much easier than AM! I fail others, and try again later in the At the same time, I continued to ended up using a single power tube in year, or the year after that, until we broadcast my regular music programs. oscillating mode, and applied ampli- passed, without having to re-enroll in I needed to increase my range further, tude modulation to it. Since this was the courses. That was the norm. For to reach a larger audience. I partnered not a very stable oscillator, the result- me, the situation was further compli- with friends, who became regular ing voltage variations on the tube’s cated because I had to keep part-time announcers and the main source of plate (corresponding to a MOSFET’s jobs in order to make ends meet. funding, whereas I happily resigned to drain) affected the oscillator frequency Nevertheless, I did not abandon the role of engineer. You can see one and resulted in frequency modula- electronics and my love of broad- of my transmitters, with 40 W output tion, which for some reason was of casting. Rather, I found a way to power, and with audio mixer inte- exceptionally high quality. The circuit incorporate them into my univer- grated, in Fig. 3. The stations became became popular with a number of FM sity activities. The university was very successful, attracting a lot of radio pirates, who started becoming as housed, at the time, in a number of young listeners. We would give out our numerous as AM pirates. This situation disjoint buildings in downtown Ath- phone number, and would be flooded eventually led to FM private radio sta- ens. To find out when and where by phone calls by listeners; the gov- tions in Greece. an exam would be held, you had ernment phone company threatened In the meantime, I had obtained to look for an announcement out- to cut our phone off, because the large my radio amateur license and had side the office of the corresponding number of calls to the same number begun to make regular contacts on professor, in the appropriate build- at the same time kept creating prob- shortwave with other amateurs all ing, a few days before the probable lems with their equipment. The high- over the world. Starting in the 2nd date of the exam. If there was no est power I reached was about 250 W, year of my university studies, I also announcement, too bad; you had to which was enough for us to be heard got my first taste of “publishing.” go look for one again the next day. in a radius of about 25 miles, and we There was a magazine in Greece at the This and other bureaucratic compli- were told that at night our signal could time, called “Electronic News,” and cations were a nightmare, especially be picked up in the island of Crete, they accepted to publish a variety of for students who lived far from the over 200 miles away. High-quality articles by me, mostly on construc- center of Athens. I decided to start amplitude modulation seemed to be tion of hobby circuits (amplifiers, an “Information Radio Station for 1st- my specialty. The modulation trans- receivers, transmitters, alarms, metal year Physics Students of the Univer- formers I used were made by a local detectors, etc.), some resulting from sity of Athens.” Our class president, transformer shop to my detailed modifications of circuits I would find who lived close to the university, instructions, including the number in foreign magazines, and some of would take daily rounds and collect of turns in each winding, and how my own design. I wanted to include all information regarding exam dates; the windings were to be split and transistor circuits but, in contrast he would phone them to me; and I interleaved for better high frequency to vacuum tubes, which I knew a lot would announce them on the radio, response. Later, after years of AM about, transistors were still a mystery

(a) (b)

Figure 3: (a) A 40 W transmitter I made while in college in Greece, with incorporated audio mixer. (b) View under the chassis.

18 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE to me, and I did not know what I was me like a magnet. I presented myself the sum to two electrodes, one near doing. One fun example of the sort to the professors, and offered to help the bottom and the other near the of thing I came up with was a circuit with anything I could. I was soon top of a flame, which was produced for a “radio for the shipwrecked,” repairing instruments for the lab and by a Bunsen burner fed from a butane using two batteries in series consist- helped build things, and they liked gas tank. We turn the thing on, and ing of plastic thimbles in which cop- me. They asked me – to my surprise – we are suddenly listening to a highly per and zinc electrodes were placed, to act as a teaching assistant for some distorted version of the evening and were filled with sea water — the of the lab classes for the Masters’ stu- news, coming out of the flame! We got one thing that these hypothetical dents. I was thrilled, although I was really excited, and started a series of users would never run out of. The not sure how this would go, as I was experiments, literally groping in the circuit included two bipolar transis- only an undergraduate. But it went dark (nothing wrong with that). We tors; the only element connected to well. There is one experience from tried various magnitudes for the DC the base of one of them was a capaci- those times, which I will never forget. and AC voltages; we varied the dis- tor. These days, if a sophomore com- One of the lab exercises was to build a tance between the electrodes; and we mits such a blunder, I consider it a power supply using a kit from Heath- tried introducing various substances mortal sin; but back then I did not kit. One of the teams called me, com- into the flame (salt seemed to work know any better. Neither did the edi- plaining they had powered the unit particularly well). Finally, we tried tors of the magazine, I guess, as they up and were not getting a voltage out. lowering the flame temperature, by let me publish it. The funny thing is I leaned over their chassis to inves- reducing the flow of gas, turning its that those circuits worked! Decades tigate, and I spotted an electrolytic color from blue to yellow. We found later, as I leafed through some of the capacitor actually bulging, with the that lower temperatures resulted in magazine issues I had kept, I was plastic around it melting and strip- less distortion; unfortunately, they embarrassed to see those designs, ping off the metal. I screamed, “Pull also resulted in smoke which dark- and started wondering how on earth the plug, quickly!” But before they ened the white walls, to the dismay those circuits worked, if there was could do this, the capacitor exploded, of Demetris’s mother. So we asked no path for DC base current to flow. literally in my face. At the time I had the Electronics Lab at the university Knowing by then how transistors a beard, and it was covered by a white to house the experiment, and they worked, I solved the mystery: The substance from the capacitor; I looked gave us a room and equipment for collector-base reverse-bias leakage like Santa Claus. Worse, one of the this purpose. You can see that setup current, of which there was plenty in capacitor’s terminals was blown over in Fig. 4. We continued the experi- those germanium transistors, served and got stuck, like a needle, into one ments there, and developed a theory as the base DC bias current. of my eyebrows. I do not know where to explain our observations. We wrote It is clear from the above, and it I got the calm, but I found this a per- a one-page report on it, in English, became clear to me from other indi- fect “teaching moment”; rather than which we later used as part of our cations, that I needed better train- cleaning myself up, I made the rounds applications to US universities. All ing. With money I had saved from in the lab and showed everybody three of us ended up in the US. repairing radios, I enrolled in a corre- there what can happen if they do not spondence course called “Electronic obey proper polarity with electrolytic Organic Chemistry Engineering Technology” from CREI capacitors, especially with a few hun- Catches Up With Me (Capitol Radio Engineering Institute) dred volts across them. I am sure they I mentioned earlier my problems in Washington, D.C. This course, never forgot this. with memory. Those problems, first which was at a rather high level, with But I also got to do research in the noticed in elementary school, con- lessons written by experienced engi- electronics lab. I had read in Popular tinued in high school, resulting in neers, really increased my under- Electronics (an American magazine, my spending far more time with sub- standing of electronics well beyond which back then you could find in jects that required rote learning. At the hobby level. I could now publish some of the kiosks of Athens), that university, those problems caught up more competent articles in Electronic it is possible to convert a flame into with me, in what turned out to be my News, including on theory, e.g. on a loudspeaker by applying a time- nightmare for years: Organic Chem- resonant circuits, modulation, etc., varying voltage across it. Not many istry. This year-long subject required as well as a series of articles I called details were given. Together with my memorization of innumerable facts. “The Mathematics of Electronics.” classmates, Demetri Paraskevopoulos The book was thick, and I was called and George Keramidas, we decided to to memorize most of it. I tried, and Volunteering in the Electronics Lab look into this. Working in Demetri’s tried, but it was just impossible for The physics department of the univer- home, we connected a radio’s audio me; I felt as if there were more facts sity had a sort of Master’s program in signal (through a transformer) in to memorize than I had synapses in electronics. The labs there attracted series with a DC voltage, and applied my brain. I could make some progress

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 19 I filled them all in, using every piece of evidence I could come up with to convince the admissions committees that I would be good at what I wanted to study, namely, electrical engineer- ing. I included a copy of the report on our research on the singing flame, which I mentioned above. I then waited, keeping my fingers crossed. Months later, replies started com- ing in. Most universities did not admit me, I guess due to the uncertainties involved in accepting an undergradu- ate transfer student from a place they Figure 4: The singing flame experiment setup. The flame is visible on the extreme left. knew little about. But then a positive reply came in: it was from the Uni- with some of those facts, if I could were considered individuals, not versity of Kansas. I recall vividly deduce the underlying principles, as necessarily fitting into the same how I felt. I started jumping around was the case with some reactions. But mold, and that the system was flex- the room. I took the train to Athens, why was I called to remember some ible enough to allow students to take and bought a record I knew, “Kansas of those endless strings of symbols advantage of their strong points. City Here I Come,” returned home, for existing substances, and why This sounded like a dream to me. I and started playing it and dancing to should I remember that the prepara- had already been thinking of com- it. That same day, I tore my Organic tion of a certain substance requires ing to the US for graduate studies; Chemistry book to pieces. heating the mix at 130 °C for 45 organic chemistry pushed me to take Following that, I got two more minutes? My poor memory, together the leap earlier. acceptances, from Auburn Univer- with sheer anger towards what I con- I began a frantic effort to find the sity, and from the University of Min- sidered an irrational demand of me, money required to come to the US. nesota, Minneapolis. They were all and the unacceptable way they were I worked at several jobs, including good schools. After considerable teaching the subject, conspired to radio repair; TV antenna installation; deliberation, I settled on the latter. make it impossible for me to pass the tutoring high school kids in physics exams. I tried studying for long days and math; etc. I was also hired as a Studying Electrical and nights, until those C’s, H’s, and stereo salesman. (I did not last very Engineering - Finally! O’s became nightmares; I tried get- long in that job, as I could not sell a I arrived at the University of Min- ting tutoring; nothing worked. single unit; the problem was that I nesota in the fall of 1970. From the As I said earlier, at that time uni- really wanted to explain to the cus- very beginning, my time there was a versity students could keep taking tomers why the particular stereo I dream come true. I found an exciting the exams for each course, twice a was recommending was technically academic environment, with students year, until they passed, without hav- the best.) I even tried my luck in the from all over the world, a lot of free- ing to re-enroll in the course. My local music industry; I wrote the lyr- dom, and a lot of “my music,” both efforts to pass organic chemistry, a ics for one song that was released as on and off campus. I had a lot to see second-year course, continued for a record. But my main income came and learn, and was very excited about three-and-a-half years. I still have my from making, selling, and install- it. The bitter cold, of which I had student records; here are the grades ing transmitters (with audio mix- been warned repeatedly, was noth- I received in succession in organic ers incorporated) for other pirates. ing to me. So what if the temperature chemistry (out of 10, with 5 being the Unfortunately, the needs of day-to- could reach – 30 °F (–34 °C)? I was in minimum passing grade): 0, 1, 3, 3, day existence left few of my earnings heaven. The professors recognized 1, 1, 2. It finally became clear to me for savings, for me to accumulate students as individuals and cared that this sequence was not converg- in order to come to the US. Finally, about them. Students asked a lot of ing to a number at least equal to 5, so a beloved aunt of mine offered me questions, and received answers. The I decided that I had to do something part of the alimony she had received classes were appealing and challeng- drastic about it. from her recent divorce. That did it. ing, and there were electives! There I had heard that university edu- In the meantime, I had written was weekly homework (I loved it!), cation was excellent in the US, and to a couple of dozen US universi- and quarterly exams. I encountered that studies there were appealing; ties, and about half had answered open-book exams for the first time. that students were respected and and had sent me application forms. And there was something called take

20 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE home exams: it seemed unbelievable I was given the OK, prepared the meant that there was no urgency to to me that I was allowed to work out instructions–to–be–taped, and asked a settle on an advisor. the exam problems at home, merely professor to record them in his voice, The department of electrical engi- by signing a statement certifying that so that students would not have to neering and computer science was a I did the work alone and did not spend struggle with my strong accent. The very exciting place. I attended and/or more than three hours on it. I said to tapes worked well, and I understand audited classes on myself, “these people trust me!”, and they continued to be used even after I (IC) design taught by Paul Gray, Dave was brought to tears (literally). left. I later repeated this approach as a Hodges, and Bob Meyer, and learned I was given transfer credit for teaching assistant at Berkeley. a lot from them. All three had indus- general science classes, and had to I feel I owe a lot to the University of trial experience, and this permeated start from zero in engineering. I was Minnesota, affectionately called “the U their classes; you could bet that what not asked to take organic chemis- of M.”2 It provided me with a high-level they taught you was highly relevant. try! By the way, I want to share an education, motivated me, gave me an In addition, those classes were full of incident from my first days in Min- opportunity to show what I can do, engineers, most of them neapolis. I had cut myself, and went and paved the path that allowed me studying for a Master’s degree. They to a pharmacy. I knew what I wanted, to go on to a rewarding career. What asked very relevant questions, and but for some reason the word would more can a student ask for? I owe a lot this made those IC design classes all not come to me. The pharmacist to them. So it was very moving for me the more interesting. But I also took kept showing me products I did not when, in 2013, the university gave me classes in many other subjects, includ- recognize, like betadyne. After a few its Outstanding Achievement Award. ing theory of signals and noise, com- frustrated attempts to explain what I To this day, the U of M has a special munications theory, circuit theory wanted, I took a piece of paper and place in my heart. (then called “network theory”), linear wrote on it “CH3CH2OH,” and showed systems theory, and nonlinear control. it to the pharmacist. He went, “Ohhh! Berkeley These classes satisfied my theoretical Alcohol!...” So there was some use for In 1972, I started my graduate studies side, which to this day co-exists with the organic chemistry I had learned, at the University of California, Berke- my practical side. Memorable among after all. I felt mildly proud. ley. I arrived there with a desire to do those classes was the one on linear I plunged into my classes with true research. I had already received systems, taught by Charles Desoer. enthusiasm, and learned a lot from an invitation from Prof. Leon Chua, He had a real impact on me with his them. I was experiencing, for the to work with him. So as soon as I knowledge and rigor. Later, I had the first time, what it is like to be moti- arrived at Berkeley, I went to see him. opportunity to teach an undergraduate vated to study at a university. At the He described to me his latest brain- class at Berkeley based on Desoer and same time, I knew my limited money child, the memristor, and showed me Kuh’s Basic Circuit Theory [1], which would be over in a few months, so I a large box full of electronics which, had a decisive effect on me. had to find a part-time job. Thanks to across its two terminals, behaved Time was passing by, and I still my electronics experience, I quickly like a memristor. He told me that did not have an advisor. I thus found a job as a technician in research part of my research would involve approached Bob Meyer, who told me labs, and later at the Space Science the making of an improved circuit I could work with him on oscillators. Center. I kept taking a full-time aca- with memristive behavior. The whole Having already made many oscilla- demic load, and also took courses in thing sounded intriguing. But then tors, somehow the area sounded too the summer. I graduated in less than he looked at me intensely in the eye, familiar to me (I didn’t know any two years, “with high distinction.” and said “I want you to work very better, to realize how deep a topic In the quarter following gradua- hard!” That was something that wor- this can be), so I did not accept; I tion, I became a lab teaching assistant. ried me. I had no problem with work- wanted more unfamiliar territory I was told that there was a recurring ing hard, but I had just arrived at (this attitude is something that problem with the students’ ability to Berkeley, a place full of life, not just never left me). It was now getting use the oscilloscope. I offered to make work, and I wanted to taste that life, late; I had been at Berkeley for two an instructional tape, called “Every- too. I had a fellowship, so I decided years already. In the meantime, I thing You Always Wanted to Know to politely decline Prof. Chua’s offer had made what I called an “elec- About the Tektronix 503 Oscilloscope, and keep an eye for other possible tronic musical instrument played But Were Afraid to Ask”; students who projects/­advisors. In the mean time, by singing or whistling,” which had felt they needed an introduction to the I started my class work, and I soon even made the local news.3 I invited instrument or a refresher, would sit in became a teaching assistant, which Paul Gray to the lab, demonstrated front of the oscilloscope and be guided by the tape through a set of detailed 2) I recently learned that other universities, 3) I tried to patent it, only to find out that the in different states that begin with an “M,” also idea already existed; “nothing new under the instructions, trying things hands–on. call themselves “the U of M.” sun,” as they say.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 21 the instrument to him, and asked to take the next step was a MOS op all I had to work with in the Berke- him if I could do a Ph.D. under amp, with low output impedance and ley process were nMOS transistors. him. He said yes, and became my internal compensation. I was asked In the course of design, I benefitted research advisor; I was one of his to try and design one, and make it from discussions with several able first Ph.D. students. His advising in Berkeley’s fabrication lab myself classmates, notably Bedrich Hostic- style was unobtrusive; he gave me (no “silicon foundries” at the time). ka, Jim McCreary, José Albarrán, Dan a lot of freedom, and interfered only I plunged into this work enthusiasti- Senderowicz, George Smarandoiu, when there was reason to do so. cally. I had to start from practically Ricardo Suárez and others. I had ear- zero, as there were no other analog ly access to Spice, and simulations of The First Fully Integrated MOS integrated circuits on which to the circuit I had designed were en- MOS Op Amp build. This is hard to imagine today, couraging, so I decided to lay it out Paul Gray and Dave Hodges had since analog MOS circuits are now (manually!) and fabricate it in the lab, started a joint effort to make mainstream, but back then, MOS in a 12 µm process. ­possible mixed-signal metal-oxide- transistors on chips were widely I will not delve here into what semiconductor (MOS) chips, so that considered suitable just for digital it was like to design ICs and make one could take advantage of the work. I still recall a visit by an en- them at Berkeley in the mid-1970s; synergy between analog and digi- gineer from one of the Silicon Val- I have recently written an article tal, while at the same time benefit- ley companies that supported the IC on this in this very magazine [3]. ting from the high transistor den- group’s work, who came to see what I But I should say that the fabrica- sity possible in MOS processes [2]. was doing, and said “So, I understand tion part of my work was a frustrat- Analog circuits on MOS chips were you are trying to make an amplifier ing experience for me. It involved almost unheard of at the time, ex- out of switches?” But I did design the about one hundred steps and sub cept for A/D converter chips that amplifier; you can see the circuit in steps, some using dangerous chemi- had recently been designed by my Fig. 5(a). If some of it looks strange cals and high-temperature furnaces classmates, Ricardo Suarez and Jim today, it’s because by now we have (Fig. 5(b)). In order to avoid surface McCreary. What was missing in order been spoiled by CMOS circuits; but contamination, I could not take a break, and had to work through the night. I had started a batch with sev- eral small wafers, hoping to get at least some working chips on them. The wafers were to be handled using tweezers. With my usual clumsiness, I kept dropping the wafers, which would instantly break. I was finally left with one , and some class- mates may remember my declara- tion that, if this one broke too, I would quit electrical engineering and go to medical school. That last wafer fortunately did not break. I cut it into chips, by placing (a) a ruler over the wafer, scribing lines with a glass cutter, and breaking it along those lines. You can see the chip in Fig. 5(c) (the “j” on it reflects the fact that, back then, I was called “John”). I had the chips packaged, and started measuring. To my delight, a few chips worked. The op amp’s per- formance would be unacceptable by today’s standards (see [4]); it required supply voltages of +15 V, –15 V, and (b) (c) a back-gate bias of 1.5 V. Drawing a current of 5 mA, it provided a gain Figure 5: The first fully integrated nMOS op amp [4]. (a) Schematic, (b) Making the chip, of just 51 dB, and a unity-gain fre- (c) Chip photo. quency of 5 MHz. But it was a first;

22 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE it was instrumental in con- sweatshirt” (they meant my vincing the community that turtleneck). But they made analog circuits can co-exist me an offer. I now had offers with digital ones, on the from two good places. I same MOS process. I felt chose Columbia; among elated and relieved. I had other things, New York was worked like crazy, and the very convenient for flying whole project had taken me to Greece, which I thought I 10 months, day and night; would be doing often. the only way I could get analog MOS out of my mind Professional Life when I went home in the When I arrived at Colum- late evening, so that I could bia in 1976, there was no sleep, was to watch reruns Figure 6: Teaching circuit theory at Berkeley in 1976. IC design activity there. I of early TV shows. thought of this as an advan- With the help of Master’s tage, as it would allow me student Jacob Chacko, and using capac- course, who showed up on campus to “name my own game,” and set up itor arrays provided by Jim McCreary, for the exam. This was an new expe- things from scratch, which is what I we demonstrated the amplifier’s use in rience for me, which I was to repeat proceeded to do. I published a paper a PCM voice codec for telephony. I pre- many times years later. on analog MOS circuit design tech- sented the results at the 1976 ISSCC I graduated in May of 1976, and niques [7], and embarked on an effort [5]. There was very high interest from begun looking for a university to hire to further develop the techniques the industry, which picked up the ideas me. Back then there was little IC design and expand their scope. I gradually right away, and produced commercial activity at universities outside Berke- formed a group, which went by vari- PCM codecs. Analog and mixed-signal ley, and related positions were rare ous names, including “Mixed Analog–­ MOS ICs really took off. Our PCM codec (let alone the fact that my specialty, Digital,” or MAD, group. (I used to is now featured in the communications analog MOS circuits, didn’t ring a bell say to my students that “MAD” also circuits section of the ISSCC 50th Ani- with most academics). Thus, I could stands for “mutually assured destruc- versary Virtual Museum [6]. only get the attention of two places. tion,” which is what you may get when A few years later, during ISSCC, I The first was the University of Florida. you put analog and digital circuits was introduced to Bob Widlar, one of During my presentation there, I made together on the same chip, if you are the pioneers of analog ICs, and the a statement to the effect that nonlinear not careful.) You can see several of the developer, in the nineteen sixties, of memoryless circuits have harmonic early members of the group in Fig. 7. the first mass-produced IC op amp distortion that is independent of the Soon after arriving in New York, I (all his circuits were in the technol- value of the input frequency. A gen- met my wife, Felicia, and we started a ogy available for analog circuits at tleman in the back of the room said, family. A full family life has provided that time, namely bipolar technol- “Why?” I answered that it was obvious; balance for me and has indirectly ogy). When he heard my name, he he said that it was not, and we went influenced my work. I have been thought for a moment, and then said back and forth a couple of times, until lucky in that my family has always “Oh, you’re the analog MOS freak, I said, in what I think was an annoyed understood my love for my profes- right?” To him, using MOS technology tone, “OK, I will explain it to you after sion, and has been supportive of me. for analog functions was just funny. the end of my talk.” Indeed, at the end I have spent most of my profes- I was a teaching assistant for sev- of the talk, my host introduced me to sional life (indeed, most of my adult eral semesters at Berkeley. I enjoyed that gentleman, saying “I would like life) in New York. I still work at Co- it very much, and evidently so to introduce you to Professor Rudy lumbia; it has always been a welcom- did the students, as I received the Kalman.” I was very embarrassed for ing place to me. Almost all of the re- HKN—University of California Soci- having answered that way to such search and teaching activities I will ety of Electrical Engineers Outstand- a well-known man. But I proved my describe below have been done at ing Teaching Assistant Award, the claim to him, and he was happy (he Columbia. But I have also worked at first time it was offered. I received was probably testing me all along). I other places, notably Bell Labs. While it again the last term I was a teach- got an offer. still at Berkeley, I had received a call ing assistant. Following that, I was The second interview I got was with from Carlo Séquin, who at the time made Teaching Fellow and then Columbia University in New York. It was with the Labs (he was not in com- Lecturer. I taught circuit theory on went very well, except that I learned puter science back then). He wanted camera (Fig. 6); the tapes were sent years later that after my interview detailed information about my op to people in Silicon Valley taking the I was referred to as “the guy in the amp; they had a strong effort in

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 23 which sometimes come down to prejudices. I like to re-consider such assumptions, and see if they hold; if they do not, I try to see if this realiza- tion allows me to invent something new. Perhaps this attitude started in my Ph.D. work, which questioned the belief that MOS transistors on chips are inadequate for analog work, and resulted in the first fully integrated MOS op amp. But perhaps it is also due to my way of learning. I do not grasp new concepts fast; I start asking myself a lot of ques- tions, which results in an initial Figure 7: Members and alumni of the mixed-signal group at Columbia in the late 1980s. From state of total confusion. I have thus left to right: Ken Suyama, San-Chin Fang, Peicheng Ju, Laszlo Toth, Mihai Banu, the author (sitting), learned to gradually make sense of Venu Gopinathan, Dave Vallancourt, Steve Daubert, John Khoury, Srinagesh Satyanarayana. things; checking concepts and un- derlying assumptions is part of this charged-coupled devices (CCDs), and founded the IC design group at NTUA, process. needed an op amp for their chips. I worked to get a significant amount of Finally, I like research that has a was happy to provide the informa- funding for them from the European chance of leading to useful applica- tion, and this lead to an association Union, and co-founded a startup in tions. In electronics, there is a long with Bell Labs, full-time during sum- the wireless radio area with Prof. Y. history of academics touching peo- mers, and part-time during the aca- Papananos. The company developed ple’s lives. Of the giants in our field, demic year. This relation lasted for significant technology, covered by probably the one I admire most is ten years, starting soon after I came 20 patents, and produced custom Edwin Armstrong, who was a stu- to Columbia. Bell Labs was a unique advanced radio designs, achieving dent and then a faculty member at place; I will talk about this in my sec- some important firsts. But as the Columbia; he is the inventor of the tion on research below. industry consolidated, it could not regenerative receiver (while he was In 1980, I was invited by MIT to compete. Yet it and the lab at NTUA still an undergraduate student), teach my analog MOS class there. helped train several IC designers, the super-regenerative receiver, the They already had an effort in digital and today there is significant mixed- superheterodyne receiver (although very large scale integration (VLSI), and signal IC design activity in Greece. some give him only partial credit wanted to expand to analog circuits. This is very satisfying to me. I had for this), and wideband FM radio. Columbia had no problem granting long felt that I wanted to do some- His is an inspiring, albeit sad, story. me a one-semester leave to do this. thing for my country of birth. Yet for I have written a short biography of The class was widely attended by stu- a variety of reasons, after a few years him [10]; readers may want to take dents from a variety of specialties, I decided to return to the US. a look, even if just for the photo- including artificial intelligence, as graphs therein. well as faculty. I included an exten- Some Thoughts on Research I have been fortunate that some sive design project, and the students’ Writing this article has been a great of the work of my group has lead to designs were laid out and fabricated, opportunity for me to look back and industrial applications. On the other as part of a multi-project chip [8]. try to identify common threads in hand, some of it has not. That is This, to my knowledge, was the first what might seem a large number of fine; I remain hopeful that most of application of the multi-project chip unconnected research projects I have it will be eventually picked up by approach, which was then beginning undertaken over many years. I have the industry. In the mean time, I am to take off with digital circuits [9], to identified several such threads. happy if the work explores some- analog circuit design. If a project looks conceptually in- thing new, is conceptually interest- In 1990, the National Technical teresting, if it helps me get deeper ing, and teaches something to the University of Athens (NTUA) invited into fundamentals, I am often drawn students and to me. I guess I am an me to teach there as a full professor. to it. I also like research projects academic at heart, while not failing This was pretty satisfying, given that that show that our assumptions are to recognize the industrial context I had failed to pass the entrance exam wrong. Much in engineering, as in so- of most of our work. to that school as a student! I accepted, ciety, is based on unjustified assump- I was associated with Bell Labs for and taught there for a few years. I tions and pre-conceived notions, ten years, starting in the mid-­seventies.

24 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE This was research heaven for me. I My desire to do open-ended research to writing research proposals and do not think I need to mention how has often run against funding con- progress reports, to dealing with sili- much came out of that organization. straints. I often cannot justify support- con foundries. I can spend entire af- This was due not only to the excel- ing students to work on a new “wild” ternoons dealing with such complica- lent engineers and scientists that idea, from funding that has been ob- tions, working on required formats, worked there, but also due to their tained to do work in a different area. I filling in forms, answering emails, visionary and open-minded manage- thus often find that I have to work on etc. At the end of such a session it ment. I had plenty of opportunities to such ideas by myself. Using the results is natural to say “I got a lot of things observe this, although I worked there of such work, I can often convince done today.” But something is wrong mostly part-time. I was fortunate to project proposal reviewers to recom- with this picture: most of the things I have George Smith, the co-inventor of mend funding for continuing that got done were things I shouldn’t have the CCD, as my department head. He work with graduate students. had to do in the first place. In such allowed me a lot of freedom in choos- When I had returned to Greece cases, I invariably remember a quote ing topics that were exciting to me. in 1990, I wanted to get research I once heard, that went something People today, used to research micro- funding for the IC Design Lab I had like “frantic movement is no substi- management, may find this strange. founded at the National Technical tute for thinking.” So true. But George Smith knew something University of Athens. To get Euro- about open research; he went on to pean Union funding in my area of Representative Research Projects win the Nobel Prize several years later. work, several universities and com- I will now briefly talk about the Unfortunately, in the past couple panies had to collaborate together, research of our group at Columbia of decades such attitudes toward and submit a joint, very thick pro- over the years. Due to lack of space, open research have become rare. posal containing not only a research I will only describe some of our rep- I do not need to elaborate on description, but all kinds of detailed resentative projects. this; Andrew Odlyzko’s excellent plans, pie charts, and something I paper, “The decline of unfettered had never heard of before: “Gantt Switched Capacitor Circuit research,” written while he was charts,” which show visually when Analysis and Simulation still with AT&T, discusses the phe- each sub-component of the pro- For a long time, attempts to integrate nomenon and its repercussions in posed research will start and fin- active RC filters had gone nowhere, detail [11]. Decades back, open- ish. I could not believe this. If I as such filters have frequency ended research was certainly done must give a detailed plan, specify- responses relying on RC products in places like Bell Laboratories; the ing what results will be available which have large tolerances and management there understood that when, this means that I already know temperature variations. In the mid- open-ended today does not mean what needs to be done to achieve 1970s, it was suggested that a resis- non-profitable tomorrow. Today, the those results. So by definition this tor can profitably be replaced by a IC industry has become very conser- is not research, let alone unfettered switched capacitor Cl, resulting in vative. I do not wish to judge this; research. I felt that, at least in my an effective resistance TC/,l where I am sure they know better what is field, more freedom was needed, and T is the switching period.4 This good for them in the short term, tried to convince officials in Brussels makes a filter’s frequency response given today’s economic situation. of this, but I did not get anywhere. dependent instead on CC/,l which But somebody must continue to do In general, the bureaucracy I en- is great, as ratios of capacitances open-ended research; and universi- countered in Europe was something can be defined with high precision ties can fill that role. Yet industry, that I found difficult to take, and on chips [13]. This observation lead when it comes to supporting uni- I used to mention to my European to a major development: the now versity projects, will almost always colleagues how much better things familiar switched-capacitor inte- prefer ones that will produce results were in the US. Unfortunately, after grated filters. I was not happy with they can use right away. By the way, I returned to the US, I observed that the proofs of the resistor/switched- it’s not that I do not appreciate tar- the US research model started go- capacitor equivalence that I had geted work in universities. I know ing gradually toward the European seen, and decided to analyze what how challenging and rewarding it model (at least, the one I had wit- happens exactly. I showed that if can be, and how well it prepares stu- nessed), rather than vice-versa. Over you take a first-order high pass RC dents for work in the industry. But the years, I have found that a larger filter, and replace the resistor by I think that there should be room and larger part of my time has to be a switched capacitor, you can get for unconstrained research, too, devoted to dealing with bureaucrat- and that it is in the long-term inter- ic procedures. Everything seems to 4) It was later discovered that the resistor – est of the industry to support such have become more complicated, from switched-capacitor correspondence had been described by James Clerk Maxwell [12] in the research more actively. dealing with university bureaucracy, 19th century.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 25 of companies and universities in the Amplitude 1980s and beyond, in the design of many telecom ICs. Without HF Noise Sampling Integrated Continuous-Time Filters Although switched-capacitor circuits were very successful, they required Baseband Frequency a constantly-on clock and suffered from aliasing; my view of aliasing can be summarized as in Fig. 8. My group decided to re-visit RC circuits. We With replaced resistors by MOSFETs oper- Amplitude ating in the triode region, connected (No Longer Sampling HF Noise) in such a way that their nonlineari- ties cancelled out [18]; Mihai Banu and I obtained a patent for this, through AT&T. We called the resulting circuits Baseband Frequency “MOSFET-C circuits”, and devised tech- niques for their automatic tuning (Fig. 9) Figure 8: My view of what noise aliasing can do to a signal. [19]. We worked further on this tech- nique with John Khoury [20, 21]. The work was initially “a problem in search of a solution.” Finally, years later, the golden application appeared: filters for disc drives. AT&T produced chips Automatic Tuning Circuit for this application on a massive scale. We followed this with work on transconductance-capacitance (Gm-C) filters, particularly with Venu Gopi- nathan [22] and, later, with Shanthi Capacitors Pavan [23]. Their chips demonstrated impressive performance at the time. We also did early work on Q-enhance- ment of integrated inductors [24], that MOSFET Resistors made possible high- Q integrated fil- ters in the GHz range, as part of the research of Nebojsa Stanic, Dandan Li and Shaorui Li [25, 26, 27, 28]. Then, planning ahead for the day when the only available circuit ele- ments would be transistors, if that day ever came thanks to the advent Op Amps for Filter and Input Converter of “digital” technology, I started wondering if we can make transistor- only filters. It turned out that this is Figure 9: The first MOSFET-C filter with on-chip automatic tuning [19]. entirely possible. One of my favor- ite circuits is the one shown in Fig. 10 [29]; its operation is described in voltage gain larger than 1 over a analysis techniques and the devel- the caption. The required chip area range of frequencies; therefore, the opment of general simulators for was extremely small. This type of equivalence cannot possibly hold in switched-capacitor circuits by two minimal circuit is suitable for appli- the general sense [14]. This lead to an of my first Ph.D. students, Mike Fang cations where a very large number effort to develop a theory for exact and Ken Suyama [16, 17]. The result- of non-critical filters are needed switched-capacitor analysis and ing program, Switcap, became the (e.g., thousands may be needed for properties [15], which in turn lead to standard switched-capacitor circuit energy detection in a biomemetic the formulation of computer-aided simulator and was used at hundreds implementation of the inner ear).

26 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE With Lih-Jiuan Pu, we later came up with a number of techniques for VDD transistor-only filters and oscillators [30], all using the MOS transistor as a distributed RC element. John Khoury – – V V devised a method for making inte- F Q grators, which have transfer func- + + tions inversely proportional to s M3 M4 (the Laplace transform variable), out of distributed RC elements (which have y -parameters that involve hyperbolic functions of s ) [31]. Out Externally Linear Circuits and Companding Signal Processors M1 M2

Following the above success, I took a In more general point of view and devel- oped a theory of “externally linear, in- ternally nonlinear” (ELIN) circuits and systems [32]. The principle of the idea is shown in Fig. 11; one starts with a Figure 10: Minimal transistor-only low-pass filter [29]. Each transistor has a different linear, time-­invariant prototype (top), function; M1, operating in the triode region, acts as a distributed RC element; M2 is an output with input ut(), output y()t , state buffer; the gate-source voltage of the M3 controls the gate-source voltage of M2 and thus the channel resistance of M1, thus controlling the cutoff frequency; and the gate- variables x()t , and a state descrip- source voltage of M4, which operates in triode, controls the loop gain and thus the quality tion characterized by matrices AB,,C, factor of the filter. and D. Through a time-­varying linear transformation, the system is con- verted to an input-output equivalent one with a different state description, x (t ) shown at the bottom of the figure, in u (t ) y (t ) which it is possible to control the in- A, B, C, D ternal part of the system without af- fecting input-output behavior. One can derive the control signal from the sig- Same Input Same Output nal strength, and design the system so that the internal signals are large even when the input is small, to help ensure x (t ) u (t ) y (t ) that the signal-to-noise ratio remains A(t ), B(t ), C(t ), D high. This leads to a type of externally linear systems we have called “com- panding signal processors” [33, 34]; Control it turned out that log-domain circuits, which had already been described, F i g u r e 11: Developing externally-time-invariant and externally linear systems [32]. (a) can be thought of as belonging to this Linear, time-invariant prototype. (b) Derived system, in which the internal variables can be class. Nagendra Krishnapura and Yor- controlled without affecting input-output behavior, which remains the same as that in (a); gos Palaskas came up with ideas for the resulting system is internally time-varying, but externally time-invariant. If the control is derived from the input, the system becomes internally nonlinear, but its input-output behav- making possible companding signal ior remains linear and unchanged from that in (a). processing chips, which demonstrated certain advantages, including a usable dynamic range that was much wider consisting of linear elements. Ari Klein power when you are doing something than that of corresponding classical later extended these techniques to useful in circuits such as filters, dis- processors [35, 36]. Nagendra even DSPs [37]. sipating such power when the signal demonstrated filters with a power-dis- does not demand it is a crime. This sipation-to-dynamic-range ratio that Adaptive-Power Circuits is more and more true today, with is below the bound predicted by well- I have felt for a long time that, the need for very-low-power cir- known fundamental limits for circuits although it is necessary to dissipate cuits, some even involving energy

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 27 but such conclusions seem to be Tracking Holding based on comparisons of apples to oranges. One cannot compare G G today’s digital computers, with bil- lions of transistors, to analog com- + S + S puters of half a century ago, with IN – IN – a few hundred vacuum tubes. So B B we decided to address the ques- tion, what could an analog com- puter do, if it were fabricated in today’s technology, and better yet, Boosting coupled to a digital computer? VG Boost G Glenn Cowan, in his Ph.D. thesis Hold work, made such an analog com- Electrons Are Pulled Out puter chip [43] that could solve + S t ordinary and partial differential IN – equations, both linear and non- B VPULL linear, with no convergence issues and faster than a digital computer. It had limited accuracy, but that is Figure 12: Principle of discrete-time parametric boosting [46]. By converting this to differ- fine in some cases (our brain prob- ential operation, small-signal voltage gain is obtained. ably does not operate with 64-bit accuracy, either). And, it could scavenging. We thus embarked on an ones, as VLSI technology advanced. The pass the approximate solution to effort to make circuits in which the paper received the 1984 IEEE W. R. G. a digital computer, for the latter power dissipation is signal-driven, Baker Prize Award, which is given to use as a first guess and signifi- i.e. it is fundamentally adaptive to “for the most outstanding paper re- cantly speed up convergence. The the input signal [34, 38]. Mehmet porting original work in the Trans- technique showed promise for cer- Ozgun and Atsushi Yoshizawa devel- actions, Journals and Magazines of tain special-purpose computation oped several techniques for making the Societies and in the Proceedings tasks. We are now continuing this possible adaptive power dissipation of the IEEE.” I was very fortunate to effort, aiming at true hybrid com- chips [39, 40]. receive this award early in my ca- puters on a chip. reer. It boosted my confidence, and Time-Mode Signal Processing convinced me that open-ended re- Current Copiers Switched-capacitor filters have re- search was the type of research I It would seem that currents cannot sponses that depend on two criti- wanted to continue pursuing. I even- be matched to a degree higher than cally determining factors: timing tually obtained funding to continue the matching of the transistors (through a clock), and capacitance work in this area, and Dave Vallan- involved. Our group found out that ratios. Early in my career, I won- court did his Ph.D. in it, which culmi- this does not have to be the case. dered if this is the most minimal nated in fully integrated, program- Steve Daubert and Dave Vallancourt thing we can do; could we perhaps mable time-mode analog filters [42]. demonstrated matching improve- develop signal processors that rely ments of an order of magnitude or only on timing? I found this ques- Analog and Hybrid Computation more. We called the circuits “cur- tion fundamentally important, and Electronic analog computers were rent copiers”; while this technique also of potential practical interest. widely used in the 1940s and seems to have been discovered As has often been the case in my 1950s. But those computers were independently by several groups, work, not having funding for such huge and very difficult to main- we seem to be the group that first research to support students, I tain. Digital integrated circuits published the idea [44]. These cir- studied this question initially on made it attractive for people to cuits have been used in commercial my own. The answer to the ques- turn to digital computing; this has data converters. tion turned out to be yes. An ana- lead to impressive technology, as log signal can be processed entirely a result of extensive development Discrete-Time Parametric Circuits in time mode. I reported this in a for over half a century by thou- I have been fascinated by parametric paper [41], explicitly pointing out sands of engineers. People assume circuits for a long time. Such circuits the advantages of relying on time that analog computers do not normally operate in continuous time. operations, rather than on amplitude have anything to offer anymore, In the early eighties, I wondered if

28 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE we can make a discrete-time para- I had been wondering, what do we [47, 48]. After obtaining funding to metric circuit using a MOS device. We get if we form the fourth possible look into this further, we set out to would use the device as a capacitor. combination, namely discrete ampli- further validate and extend the idea. Using a switch, we would sample a tude together with continuous time? The block diagram of a voiceband voltage on its gate while the channel Whatever that was, it seemed to me continuous-time DSP designed by Bob was strongly inverted; then we would that, by symmetry, it would have to Schell is shown in Fig. 14 [49]; no clock remove the electrons from the chan- be called “continuous-time digital.” is used whatsoever. More recently, nel, by applying a suitable potential. This symmetry is shown in Table 1. Christos Vezyrtzis demonstrated a Roughly speaking (assuming linear- I set out to look into what “con- flexible voiceband chip that includes ity for simplicity), the capacitance tinuous-time digital” signals, and the automatically tuned delay lines [50], seen by the gate would decrease, and corresponding processors, might look and Colin Weltin-Wu designed an since the gate charge was fixed, the like. But because of the sheer weird- event-driven ADC for use in such sys- gate voltage would increase. I was ness of this concept, I did not dare tems [51]. Maria Kurchuk came up teaching a graduate course on the give it to Ph.D. students, in case it was with techniques for GHz-range opera- operation and modeling of MOS tran- a dead end. Finally, in the summer of tion, for application in programmable sistors (in an attempt to understand 2003, I found a couple of weeks to try UWB receivers, with very small chip them), and decided to give the above the idea myself. I used a continuous- area (Fig. 15) [52]. idea to one of the students, as a final time version of the well-known level- We found that among the nice project. He came back with a report crossing sampler at the input, thus properties of systems consisting of full of analysis, claiming that the idea producing binary signals that were such processors along with continu- would not work. I could not immedi- a function of continuous time (Fig. ous-time ADCs and DACs, are a com- ately see something wrong in what 13), and came up with a processor plete absence of aliasing, appealing he did, but his report was just spectral properties with lower math, and I knew from physi- in-band error, faster time cal considerations that the idea TABLE 1. Signal processing categories. response, lower EMI emissions, was most likely correct. We Time Amplitude Category and, notably, power dissipation put it in the back burner, and Continuous Continuous Classical analog that is fundamentally adaptive revisited it later [45]; when the to the input signal. The time Discrete Discrete Classical digital opportunity came in the form duration of the individual 1’s Discrete Continuous Discrete-time analog of a promising Ph.D. student, and 0’s is an integral part of the Sanjeev Ranganathan, I pro- Continuous Discrete Continuous-time digital signal representation (Fig. 13). posed it to him for his Ph.D. This allows the signal to carry He expanded on the idea and more information than classical made a chip that confirmed discrete- to process these signals in continu- digital signals, including asynchro- time parametric amplification with ous time, without a clock. My result- nous ones; the emphasis on time is very low power and very low noise; ing hastily-put-together breadboard consistent with the advent of technol- our resulting paper [46] received worked. I even passed music through ogy [41], and has lead to a new form the ISSCC Lewis Winner Outstanding it; with 4 bits of resolution, it sounded of time-mode signal processing. This Paper Award. The discrete-time para- much better than a 4-bit conventional, processing is entirely “event-driven,” metric operation principle is shown clocked digital signal processor (DSP), with events defined by changes in the in Fig. 12. due to better spectral properties of signal (there is no clock). the quantization error. I published To convince the industrial com- Event-Driven, Continuous-Time this idea in the fall of the same year munity that this is a viable approach, Digital Signal Processors A signal amplitude can be continuous or discrete, and so can time. If both the amplitude and the time are con- tinuous, we have the classical analog 1 signals and circuits, e.g. active RC fil- mation or

ters. If both are instead discrete, we Amplitude Inf have the classical digital signals and 0 t ∆t systems. If the amplitude is continu- k tk ous but the time is discrete, we have Time Information the so-called “discrete time analog” signals and circuits, e.g. switched- Figure 13: A typical signal inside a continuous-time DSP. Unlike conventional digital sig- capacitor circuits. For a long time, nals, this signal carries considerable information along the time axis.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 29 Change Change1 Change2 Change15 INH + INC – UPDN UPDN1 UPDN2 UPDN15 ap 1 ap 2

Comparator ap 15 T T T y ACK y ACK ACKA 1 2 y Dela Dela Control Logic + DEC Dela INL ACKB – Comparator

VHIGH(t ) .

R-String DAC 256-bit-Dir

Shift Register h h h h VLOW(t ) 0 1 2 15 Multiplier Multiplier Multiplier Multiplier

One-Hot Accumulator/ Accumulator/ Accumulator/ Accumulator/ v v v v DELTA-MOD CT ADC 0 16 1 16 2 16 15 16

v 0 MCLK Carry-Save Adder, Followed by Ripple-Carry Adder, v1 Then Tr uncation

Adder ADONE Timing

Control 8

v15 Flip-Flops

8

NO CLOCK ANYWHERE DAC Out

Figure 14: Voiceband continuous-time DSP with adaptive power dissipation [49]. complementary to that of classical DSP, neural networks [60], fuzzy logic pro- design, and got a contract to do so has taken a lot of effort (not unlike the cessors [61], MOS device modeling with McGraw-Hill. I thought it was effort we spent trying to convince the [62, 63] and uncommon ways to use very important to devote a chapter to community that integrated continu- the MOS transistor [45, 64]. We have MOS transistor models, whose state at ous-time filters are a viable approach). also worked on the temperature prop- the time left much to be desired since We have recently started seeing indus- erties of bipolar transistors [57] and they had been developed mostly for trial interest. Continuous-time DSPs on early CMOS band gap references digital work. I set out to explain care- are being considered for program- using parasitic bipolar transistors in fully how MOS transistors work, and mable front ends in receivers, and CMOS technology [55, 56]. what a good model should do. I kept they are part of radar chips announced writing, and pretty soon it was obvi- by a recent startup [53]. Also, related The MOS Transistor Book ous that I could not fit everything patents for ADCs have recently been In the early 1980s, I decided to write a in one chapter. So I started a second applied for by Texas Instruments [54]. book on analog MOS integrated circuit chapter. To keep a long story short, The above is a selective presenta- the modeling material kept growing, tion of some of our main projects over to the point that my editor finally the years, and does not include all said, “Why don’t you make this into of the work done by many excellent ADC DSP/DAC a book by itself?” I thought this was Ph.D. students I had the pleasure of a good idea, and kept writing to my supervising and other collaborators. heart’s content; my efforts to explain We have worked in a number of areas things revealed that some things in addition to the above, including 0.5 were not well understood, so I started Figure 15: Programmable GHz-range V circuits [25, 26, 58] (an effort lead doing research in device modeling in continuous-time DSP with automatically jointly with my colleague Peter Kin- adaptive power dissipation in 65 nm CMOS. parallel with the writing. The result- get), circuit fundamental limits [59], The marked area is only 0.033 mm2 [52]. ing book, “Operation and Modeling

30 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE Recollections from Yannis’ Graduate Student Years at Berkeley One of the greatest rewards of an academic career is the extended Yannis played a major part in helping us make contributions to the family of graduate students that one gets to become associated with then very new field of MOS mixed signal circuits in those early days. both when they are students and later throughout their career. Yannis Of course, he has gone on through a remarkable career to made many was one of my very first students; we started working together in the more important contributions, and teach a long line of his own graduate mid 70s just after I arrived at Berkeley. It was a fortuitous association students who have gone on to notable careers. I feel very fortunate to for me and the integrated circuits group. Yannis worked first on the have been associated with him. implementation of an in NMOS technology, a –Paul Gray project that came to have real significance later on as MOS imple- mentation of mixed signal functions evolved from laboratory curiosity About the Author to mainstream technology, and then later on he developed, working Paul R. Gray ([email protected]) was born on December 8, 1942, in with Jacob Chaco, the companding version of the charge-­redistribution Jonesboro, Arkansas. He received the B.S., M.S., and Ph.D. degrees in ADC/DAC, which became quite important commercially in digital electrical engineering from the University of Arizona, Tucson, in 1963, telephone systems around the world. 1965, and 1969, respectively. Yannis stands out in my memories of those days because of his unusu- In 1971 Dr. Gray joined the faculty of the Department of Electrical Engi- ally determined pursuit to understand the underlying, fundamental neering and Computer Sciences (EECS), at U.C. Berkeley, where he is now aspects of any particular problem he was working on. One manifestation a professor emeritus. He has held several administrative posts at Berkeley, of this was his in-depth understanding of MOS device behavior, which including executive vice chancellor and provost (2000–2006), dean of led later on to his book and research on MOS device modeling and the College of Engineering (1996-2000), and chairman of the Department behavior. Another manifestation was that, later on when the switched- of Electrical Engineering and Computer Sciences (1990–93). His research capacitor technology for analog filtering became commercially impor- interests have included bipolar and MOS circuit design, electro-thermal tant and was widely studied, his research into the early origins of interactions in integrated circuits, device modeling, telecommunications work on the approach, which had long preceded our Berkeley efforts. circuits, and analog-digital interfaces in VLSI systems. Prior to joining U.C. He pointed out for example that Maxwell had suggested the possibil- Berkeley, he was with the Research and Development Laboratory at Fair- ity of switched capacitor circuits in the late 1800s. His innate curiosity child Semiconductor (1969–1971) in Palo Alto, California. and drive to reach fundamental and intuitive understanding served us Dr. Gray has served as a Councillor of the National Academy of Engi- well and has served him well in his remarkable career. neering since July 2008, and serves as a member on the Executive Com- Yannis also contributed greatly to the sense of teamwork and cama- mittee of the NAE Council. He has serves on several corporate boards, raderie that is so important in a community of graduate students. In a and currently is a member of the board of trustees and Interim President research environment like Berkeley, most of the learning comes from of the Gordon and Betty Moore Foundation. other graduate students and not from the professors. He was an instinc- Dr. Gray is a Fellow of the IEEE, and has served as president of the IEEE tively collaborative and supportive member of the team and contrib- Solid-State Circuits Council and as editor of the IEEE Journal of Solid uted greatly to overcoming the various challenges that the group faced, State Circuits. He has received several technical achievement and edu- especially in those early days when prototype circuits were fabricated cation awards, including the IEEE Solid State Circuits award (1994) the by the students themselves in the laboratory. That lab experience was IEEE James H. Mulligan, Jr. Education Medal (2004), and the IEEE Robert a challenge in many ways and collaboration and mutual sharing of Noyce Medal (2008). He has been awarded honorary doctorates from information was essential. These same qualities have made him an the University of Bucharest in Romania (1999) and from the Swiss Fed- outstanding teacher. eral Institute of Technology in Lausanne, Switzerland (2006). Dr. Gray is married and has two grown sons and two grandsons.

Digital Object Identifier 10.1109/MSSC.2014.2348931 Date of publication: 12 November 2014

of the MOS Transistor,” came out in book. This time I promised myself mean time several good books on 1987 [65]. I had recently the pleasure to be disciplined, and to limit the analog MOS circuits had been pub- of co-authoring­ the third edition [66] extent of the modeling introduction. lished, so I gave up on the idea to with Colin McAndrew from Freescale. Indeed, this time around the mod- write one myself. Colin’s contributions have certainly eling material was shorter. But not made the book better; I am very glad short enough! I finally published that Teaching we joined forces! material, too, without the circuits, in I get a distinct pleasure from explain- After the first edition of the book 1996, in a small, little-known book ing concepts to students, and so I came out, I returned to the task [67] that is still used by some com- have found the teaching component of writing an analog MOS circuits panies for in-house training. In the of my career extremely enjoyable. I

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 31 on the matter (notably Ron Rohrer’s), Antenna and interviewing students, it became evident that the problem was that today’s students see circuit analysis + as a bunch of equations. They have – Power no “frame” to attach the subject to (in Amplifier contrast to me and many others who Speaker had tinkered as children) and, having grown up in the age of video games, LC Circuit Demodulator Voltage Amplifier are impatient; telling them that they (Week 8) (Week 9) (Week 5) will find out next year how the subject Radio Receiver (Week 10) can be applied doesn’t do it for them. I decided to introduce a first-year Figure 16: First–year students build a radio receiver in the 10th week of the lab, based on course in which circuits are introduced earlier experiments on op amp circuits, LC circuits, and demodulators [70]. together with electronics at a basic level, with emphasis on lab (three have taught circuits to a variety of corresponding courses at Columbia. hours per week). In the lab sections, I audiences, including art students When I do this, I study from sev- tried not only to reinforce the utility of at the California College of Arts and eral books (anywhere from three to what the students were learning in the Crafts, high school students, and stu- seven), trying to come up with my lecture, but also to encourage students dents in my son’s first-grade class. own synthesis of the subject. It takes to discover things – in other words, Teaching does wonders for me; it a lot of time and effort, but it pays make them experience, to the extent even picks me up when I am down. in the end, both for the students and possible, the joy hobbyists experience. It also teaches me. There is a well- for me. Early in my career, I got the It worked. In the course and lab, stu- known quote attributed to Einstein, following comment in one of the dents build up their understanding “If you cannot explain it simply, you student evaluations: “He can prob- gradually, as exemplified in Fig. 16. I do not understand it well enough.” ably explain everything, from tax law published the lab manual into a small This may not apply to all people, but to organic chemistry.” I smiled, and book [68], which has helped carry the it certainly applies to me (even if you thought, “If you only knew.” approach to a number of other univer- leave out the “well enough” part). I In the mid-nineties, I asked my sities. I wrote about this experience cannot understand an area outside department to give me a one-semester elsewhere [69, 70], so I will not say my own, unless I teach a course on it, leave from teaching, so that I could do more here. But I would like to say one so that I am forced to explain the con- a study to determine why students do thing, in order to avoid any misunder- cepts to others. I have learned signals not take well to the first circuits class, standings: having tinkered can be a and systems, semiconductor devices, which then was, as in most places, a big advantage, but it is not a sufficient digital signal processing, and com- circuit analysis class. After study- condition for success in our field. Nei- munication systems by teaching the ing the opinion of other educators ther is it necessary; some of the most- respected leaders in IC design had not tinkered as children. I encourage students to challenge VOUT > VIN VOUT > VIN their assumptions, or rather to become aware of assumptions they are making without realizing it. I do this often by Nothing giving them puzzles. I will mention C Connected some examples. We have a 1 V source R Here and a 50 X load. What is the value C of the source resistance that results V IN VIN in maximum power transfer? Nine R out of ten students answer “50 X.” But if you do this, I tell them, you get a voltage divider, so only 0.5 V will be applied to the load. Wouldn’t a 0 X (a) (b) source resistance work better, given that it would result in a 1 V load volt- Figure 17: Passive circuits with voltage gain. (a) RC, (b) MOSFET. The quantities shown are age, and thus more load power? They phasors. get startled when they hear this; they

32 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE gradually work things out, and real- by the end of the course, most had then you are mostly wasting your ize that they were just reproducing a dropped out, or at least stopped doing time – and you are a candidate for result they thought they had heard, the homework and taking the exams, replacement by a computer. You without actually understanding it, as is the case with MOOCs in general. need to develop deep understanding swapping source and load resistances It was comforting to find out later that and intuition – things that computers in their head. In another puzzle, I ask many registrants continued to view have difficulty with. Intuition will them whether I can make a circuit that the lectures; they just did not care to help you become creative, too. amplifies an AC voltage, using only take the exams and get from Cours- To acquire intuition, and to learn resistors and capacitors; again, almost era a statement of accomplishment. the fundamentals meaningfully, you everybody says no. Then I tell them I In teaching this course, the biggest need to engage in active learning. can, and show them the circuit in Fig. problem for me was the amount I had It is not enough to passively attend 17(a). They do not believe me, but a to spend on it, despite the help of two lectures and passively read facts in quick simulation is enough to con- very able teaching assistants. The stu- a book or paper. You need to churn vince them that I am right; they get dio editing took me about five times the results in your head, interpreting a maximum gain of about 1.08. They the time it took to make the initial lec- them physically and connecting them now have to go back and examine ture recordings. The problem was that to other results until they “click”. Keep their pre-conceived notions that lead once I watched the recordings and asking yourself questions about what to their wrong answers and carefully found I had not explained something you are studying; try to re-interpret distinguish between power gain and well, or I had made careless mistakes, the results – see them from a different voltage gain. Then I ask them, “Can I felt obliged to make corrections point of view. If possible, experiment you make a voltage amplifier, using through elaborate editing. If I ever do or simulate, trying variations on the only a transistor, with no load and no a MOOC again (not soon), I will just theme you are studying. Try to explain power supply? Again, it turns out that talk and will not watch myself after the the results to a colleague; it is startling you can, as shown in Fig. 17(b). The recordings. I left the course lectures, how often we think we understand depletion transistor is on (you can use exercises and exams on Coursera [71], something, until the time comes for an enhancement transistor, but you and people still take the course, only us to explain it. will have to bias the gate). It operates without any supervision or otherwise Do not just work on your topic, in the triode region, and the channel, involvement on my part. but rather try to find out what oth- together with the gate, form a distrib- ers may have done with it. Check uted RC element, which can be roughly Some Thoughts for Students the literature thoroughly. If you find modeled as in Fig. 17(a). When theory I would like to offer some conclud- that what you were planning to do suggested to me that this element had ing thoughts, which may be of has been done, you will have saved voltage gain, I wanted to be sure; we particular interest to the students yourself considerable time and you had a square MOSFET fabricated, of among the readers of this article. will have avoided a possibly embar- huge size to swamp out the parasitic Many things in research, and life rassing situation later on, when the capacitances, and verified in the lab in general, are largely a matter of time comes to publish your results. that it had voltage gain by itself. The chance. The key is to realize that, as Do not despair if others have done interested reader can find more de- Pasteur has famously said, “Chance what you wanted to do; it means you tails in [66], pp. 516–517, footnote.) favors the prepared mind.” In science are in good company. Study what In the beginning of 2013, Colum- and engineering the mind can be they have done, and see if you can bia wanted to understand what is better prepared if one understands go further. Give proper attribution. involved in the so-called Massive fundamental concepts well; one then Do not worry if you make mis- Open Online Courses (MOOCs), and must keep eyes and ears open for takes; instead, try to take advantage asked me to give one of the univer- when the opportunity may call. of them. If a circuit was not working sity’s three pilot MOOCs on a topic of With that in mind, the thing to do until a few minutes ago, and now it my choice. I chose the subject of MOS is keep working at the fundamental does, do not go on happily refining Transistors, and gave a MOOC through concepts until you understand them the now-working circuit, but rather Coursera. There was a total enrollment and can use them effectively. It is go back and make sure you under- of about 17,000 students from all over true that technology changes rap- stand why it was not working before. the world. Although in the course idly; but concepts, if they are fun- One can learn a lot from this. At least, description I had emphasized that the damental enough, rarely do. But do this has been the case with me; it has course would be at a graduate level, not take fundamental concepts for even led me to new research topics. people with a very wide range of back- granted; ask yourself what are the Your research is not complete grounds and abilities registered, from assumptions behind them. unless it is described to others in the university professors to high-school If all you can do is plug numbers community, in a thoughtful and mean- students. So it was not surprising that, into formulas, or follow procedures, ingful way. In writing scientific and

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 33 technical papers, having something IEEE Solid-State Circuits Magazine, [18] M. Banu and Y. Tsividis, “Fully integrated active RC filters in MOS technology,” IEEE to say is only half the story. How you for giving me the opportunity to tell J. Solid-State Circuits, vol. 18, no. 6, pp. say it is the other half. One needs to my story; and Mary Lanzerotti, edi- 644–651, 1983. present things logically, in as system- tor of the Magazine, for her expert [19] M. Banu and Y. Tsividis, “An elliptic contin- uous-time CMOS filter with on-chip auto- atic a fashion as possible, in a way that suggestions. matic tuning,” IEEE J. Solid-State Circuits, does not frustrate the reader. When we vol. 20, no. 6, pp. 1114–1121, 1985. [20] Y. Tsividis, M. Banu, and J. Khoury, “Contin- write a paper, the burden is on us to References uous-time MOSFET-C filters in VLSI,” IEEE explain things, and not on the reader J. Solid-State Circuits, vol. 21, no. 1, pp. [1] C. A. Desoer and E. S. Kuh, Basic Circuit 15–30, 1986. to struggle to find out what it is we Theory. New York: McGraw-Hill, 1969. [21] J. M. Khoury and Y. Tsividis, “Analysis and said, or worse, what it is we thought [2] P. Gray, D. Hodges, and R. Brodersen, compensation of high-frequency effects in “Early development of mixed-signal MOS we said, but didn’t. integrated MOSFET-C continuous-time fil- circuit technology,” IEEE Solid-State Cir- ters,” IEEE Trans. Circuits Syst., vol. 34, no. Life is full of ups and downs. I cer- cuits Mag., vol. 6, no. 2, pp. 12–17, 2014. 8, pp. 862–875, 1987. tainly faced many downs in the first [3] Y. Tsividis, “Designing analog MOS circuits [22] V. Gopinathan, Y. Tsividis, K.-S. Tan, and at Berkeley in the mid-70s,” IEEE Solid-State R. K. Hester, “Design considerations for twenty-four years of my life, and Circuits Mag., vol. 6, no. 2, pp. 22–24, 2014. high-frequency continuous-time filters and many ups afterwards. If I had not per- [4] Y. P. Tsividis and P. R. Gray, “An integrated implementation of an antialiasing filter for NMOS operational amplifier with internal digital video,” IEEE J. Solid-State Circuits, severed through the downs, I probably compensation,” IEEE J. Solid-State Circuits, vol. 25, no. 6, pp. 1368–1378, 1990. would not have experienced the ups. vol. 11, no. 6, pp. 748–753, 1976. [23] S. Pavan, Y. Tsividis, and K. Nagaraj, Some people get disappointed by the [5] Y. Tsividis, P. R. Gray, D. A. Hodges, and J. J. “Widely programmable high-frequency Chacko, “An all-MOS companded PCM voice continuous-time filters in digital CMOS downs, and they give up. For others, encoder,” in IEEE Int. Solid-State Circuits technology,” IEEE J. Solid-State Circuits, vol. the difficulties they face strengthen Conf. Dig., 1976, pp. 24–25. 35, no. 4, pp. 503–511, 2000. [6] (2014, July 28). IEEE, ISSCC 50th Anniver- their determination. For many people, [24] S. Pipilos, Y. Tsividis, J. Fenk, and Y. Pap- sary Virtual Museum. [Online]. Available: ananos, “A Si 1.8 GHz RLC filter with tun- a moment comes when they sit right http://sscs.ieee.org/history/isscc-50th- able center frequency and quality factor,” museum.html in the middle between giving up and IEEE J. Solid State Circuits, vol. 31, no. 10, [7] Y. P. Tsividis, “Design considerations in pp. 1517–1525, 1996. going on; with a little more persis- single-channel MOS analog integrated [25] N. Stanic, P. Kinget, and Y. Tsividis, “A 0.5 V tence, they can persevere and thrive. circuits—A tutorial,” IEEE J. Solid-State Cir- 900 MHz CMOS receiver front end,” in IEEE cuits, vol. 13, pp. 383–391, 1978. Symp. Circuits Dig. Techn. Pap. 2006, pp. The best I can do here is to quote Cal- [8] Y. Tsividis and D. A. Antoniadis, “A mul- 228–229. vin Coolidge: “Nothing in this world tiproject chip approach to the teaching [26] N. Stanic, A. Balankutty, P. R. Kinget, and can take the place of persistence. Tal- of analog MOS LSI and VLSI,” IEEE Trans. Y. Tsividis, “A 2.4-GHz ISM-band sliding-IF Educ., vol. 25, no. 2, pp. 48–53, 1982. receiver with a 0.5-V supply,” IEEE J. Solid- ent will not: nothing is more common [9] C. Mead and L. Conway, Introduction to VLSI State Circuits, vol. 43, no. 5, pp. 1138–1145, than unsuccessful men with talent. Systems. Reading, MA: Addison-Wesley, 2008. 1980. [27] D. Li and Y. Tsividis, “Design techniques for Genius will not; unrewarded genius is [10] Y. Tsividis. (2014, July 28). Edwin Arm- automatically tuned integrated gigahertz- almost a proverb. Education will not: strong: Pioneer of the airwaves. [Online]. range active LC filters,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 967–977, 2002. the world is full of educated derelicts. Available: www.columbia.edu/cu/alumni/ Magazine/Spring2002/Armstrong.html [28] S. Li, N. Stanic, K. Soumyanath, and Y. Tsivi- Persistence and determination alone [11] A. Odlyzko. (2014, July 29). The decline dis, “An integrated 1.5 V 6 GHz Q-enhanced are omnipotent.” of unfettered research. [Online]. Avail- LC CMOS filter with automatic quality fac- able: http://www.math.washington.edu/ tor tuning using conductance reference,” Commentary/science.html in IEEE Radio Frequency Integrated Circuits Symp. Dig. 2005, pp. 621–624. Acknowledgments [12] J. C. Maxwell, A Treatise on Electricity and [29] Y. P. Tsividis, “Minimal transistor-only I am thankful to my professors at the Magnetism, 3rd ed. Clarendon, 1891, vol. 2 [re-published by New York: Dover Publica- micropower integrated VHF active filter,” University of Minnesota, who gave tions, 1954]. Electron. Lett., vol. 23, no. 15, pp. 777–778, 1987. me opportunity and motivation; Paul [13] G. M. Jacobs, D. J. Allstot, R. W. Brodersen, and P. R. Gray, “Design techniques for MOS [30] L.-J. Pu and Y. Tsividis, “Transistor-only fre- Gray, for suggesting an excellent Ph.D. switched capacitor ladder filters,” IEEE quency-selective circuits,” IEEE J. Solid-State research topic and for being a great Trans. Circuits Syst., vol. 25, no. 12, pp. Circuits, vol. 25, no. 3, pp. 821–832, 1990. 1014–1021, 1978. [31] J. Khoury and Y. Tsividis, “Synthesis of arbi- advisor, in general; Dave Hodges and [14] Y. Tsividis, “Analytical and experimental trary rational transfer functions in s using Bob Meyer, for their contributions to evaluation of a switched-capacitor filter and uniform distributed RC active circuits,” IEEE Trans. Circuits Syst., vol. 37, no. 4, pp. my circuits education; my classmates remarks on the resistor/switched-capacitor correspondence,” IEEE Trans. Circuits Syst., 464–472, 1990. and lab mates at Berkeley, for many vol. 26, no. 2, pp. 140–144, 1979. [32] Y. Tsividis, “Externally linear, time-invariant stimulating discussions and their [15] Y. Tsividis, “Analysis of switched capaci- systems and their application to compand- tive networks,” IEEE Trans. Circuits Syst., ing signal processors,” IEEE Trans. Circuits help; George Smith, who provided vol. 26, no. 11, pp. 935–947, 1979. Syst. II, vol. 44, no. 2, pp. 65–85, 1997. freedom for me to do open-ended [16] S.-C. Fang, Y. Tsividis, and O. Wing, “Time- [33] Y. Tsividis, V. Gopinathan, and L. Toth, “Companding in signal processing,” Elec- research at Bell Labs; my colleagues and frequency-domain analysis of linear switched-capacitor networks using state tron. Lett., vol. 26, no. 17, pp. 1331–1332, at Columbia, from Omar Wing, who charge variables,” IEEE Trans. Comput.- 1990. hired me, to Peter Kinget, with whom Aided Design Integr. Circuits Syst., vol. 4, [34] Y. Tsividis, “Minimising power dissipation no. 4, pp. 651–661, 1985. in analogue signal processors through syl- I have had the pleasure of collabo- [17] K. Suyama, S.-C. Fang, and Y. Tsividis, “Sim- labic companding,” Electron. Lett., vol. 35, rating; my Ph.D. students over the ulation of mixed switched-­capacitor/digital no. 21, pp. 1805–1807, 1999. networks with signal-driven switches,” IEEE [35] N. Krishnapura and Y. Tsividis, “Noise and years, for their many contributions J. Solid-State Circuits, vol. 25, no. 6, pp. power reduction in filters through the use to the research of our group; the 1403–1413, 1990. of adjustable biasing,” IEEE J. Solid-State

34 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE Circuits, vol. 36, no. 12, pp. 1912–1920, [53] Novelda AS. (2014, July 28). Novelda. University of Minnesota, Minneapolis, 2001. [Online]. Available: https://www.novelda.no and the M.S. and Ph.D. degrees from [36] Y. Palaskas, Y. Tsividis, V. Prodanov, and V. [54] G. Thiagarajan, U. Dasgupta, and V. Boccuzzi, “A ‘divide and conquer’ technique Gopinathan, “Asynchronous analog-to- the University of California, Berkeley, for implementing wide dynamic range con- digital converter,” U.S. patent application in 1972, 1973, and 1976, respectively. tinuous-time filters,” IEEE J. Solid-State Cir- 20140062734, Mar. 6, 2014. He is Edwin Howard Armstrong cuits, vol. 39, no. 2, pp. 297–307, 2004. [55] Y. Tsividis and R. W. Ulmer, “A CMOS volt- [37] A. E. Klein and Y. Tsividis, “Externally linear age reference,” IEEE J. Solid-State Circuits, Professor at Columbia University, New time invariant digital signal processors,” vol. 13, no. 6, pp. 774–778, 1978. York, NY. He has worked at Motorola IEEE Trans. Signal Processing, vol. 58, no. 9, [56] R. Ye and Y. Tsividis, “Bandgap voltage ref- pp. 4897–4909, 2010. erence sources in CMOS technology,” Elec- Semiconductor and AT&T Bell Labora- [38] Y. Tsividis, N. Krishnapura, Y. Palaskas, tron. Lett., vol. 18, no. 1, pp. 24–25, 1982. tories, and has taught at the University and L. Toth, “Internally varying analog [57] Y. Tsividis, “Accurate analysis of tempera- circuits minimize power dissipation,” IEEE of California, Berkeley and the National ture effects in lC–VBE characteristics with Circuits Devices Mag., vol. 19, no. 1, pp. application to bandgap reference sources,” Technical University of Athens. He was 63–72, 2003. IEEE J. Solid-State Circuits, vol. 15, no. 6, a visiting Professor at MIT in 1980, and [39] M. T. Ozgun, Y. Tsividis, and G. Burra, pp. 1076–1084, 1980. “Dynamic power optimization of active fil- [58] S. Chatterjee, Y. Tsividis, and P. Kinget, at the University of Paris 6 in 2008. ters with application to zero-IF receivers,” “0.5-V analog circuit techniques and their He has written the books Opera- IEEE J. Solid-State Circuits , vol. 41, no. 6, application in OTA and filter design,” pp. 1344–1352, 2006. IEEE J. Solid-State Circuits, vol. 40, no. 12, tion and Modeling of the MOS Tran- [40] A. Yoshizawa and Y. Tsividis, “A channel- pp. 2373–2387, 2005. sistor (3d edition, co-authored with select filter with agile blocker detection and [59] G. Efthivoulidis, L. Toth, and Y. P. Tsividis, adaptive power dissipation,” IEEE J. Solid- Colin McAndrew, Oxford University “Noise in Gm-C filters,” IEEE Trans. Circuits State Circuits, vol. 42, no. 5, pp. 1090–1099, Syst. II, vol. 45, no. 3, pp. 295–302, 1998. Press, 2011); Mixed Analog-Digital 2007. [60] S. Satyanarayana, Y. P. Tsividis, and H. P. VLSI Devices and Technology: An Intro- [41] Y. Tsividis, “Signal processors with trans- Graf, “A reconfigurable VLSI neural net- fer function coefficients determined by duction, World Scientific, 2002; and work,” IEEE J. Solid-State Circuits, vol. 27, timing,” IEEE Trans. Circuits Syst., vol. 29, no. 1, pp. 67–81, 1992. A First Lab in Circuits and Electron- no. 12, pp. 807–817, 1982. [61] S. Bouras, M. Kotronakis, K. Suyama, and [42] D. Vallancourt and Y. Tsividis, “A fully ics (John Wiley and Sons, New York, Y. Tsividis, “Mixed analog-digital fuzzy programmable sampled-data analog CMOS logic controller with continuous-ampli- 2002). He has co-edited several books. filter with transfer-function coefficients tude fuzzy inferences and defuzzifica- determined by timing,” IEEE J. Solid-State He was made Professor Honoris tion,” IEEE Trans. Fuzzy Syst., vol. 6, no. 2, Circuits, vol. 22, no. 6, pp. 1022–1030, 1987. pp. 205–215, 1998. Causa at the University of Patras, [43] G. E. Cowan, R. C. Melville, and Y. Tsividis, Greece, in 2012, and received the “A VLSI analog computer/digital computer [62] Y. Tsividis, “Moderate inversion in MOS accelerator,” IEEE J. Solid-State Circuits, devices,” Solid-State Electron., vol. 25, Outstanding Achievement Award no. 11, pp. 1099–1104, 1982. vol. 41, no. 1, pp. 42–53, 2006. from the University of Minne- [44] S. Daubert, D. Vallancourt, and Y. Tsivi- [63] M. Bagheri and Y. Tsividis, “A small sig- dis, “Current-copier cells,” Electron. Lett., nal dc-to-high-frequency nonquasistatic sota, Minneapolis, in 2013. He has vol. 24, no. 25, pp. 1560–1562, 1988. model for the four-terminal MOSFET valid in all regions of operation,” IEEE Trans. received the 1984 IEEE W.R.G. Baker [45] Y. Tsividis and K. Suyama, “Strange ways Electron Devices, vol. 32, no. 11, pp. 2383– Award for the best IEEE publication, to use the MOSFET,” in Proc. IEEE Int. Symp. 2391, 1985. Circuits Systems, 1997, vol. 1, pp. 449– and is recipient or co-recipient of 452. [64] K. Vavelidis, Y. Tsividis, F. Op’t Eynde, and Y. Papananos, “Six-terminal MOSFET’s: best paper awards from the Euro- [46] S. Ranganathan and Y. Tsividis, “A MOS Modeling and applications in highly lin- pean Solid-State Circuits Conference capacitor-based discrete-time paramet- ear, electronically tunable resistors,” ric amplifier with 1.2 V output swing IEEE J. Solid-State Circuits, vol. 32, no. 1, in 1986, the IEEE International Solid- and 3 n W power dissipation,” in IEEE pp. 4–12, 1997. Int. Solid-State Circuits Conf. Dig., 2003, State Circuits Conference in 2003, pp. 406–502. [65] Y. Tsividis, Operation and Modeling of the MOS Transistor. New York: McGraw-Hill, and the IEEE Circuits and Systems [47] Y. Tsividis, “Continuous-time digital sig- 1987. Society (Darlington Award, 1987; nal processing,” Electron. Lett., vol. 39, no. 21, pp. 1551–1552, 2003. [66] Y. Tsividis and C. McAndrew, Operation Guillemin-Cauer Award, 1998 and and Modeling of the MOS Transistor, 3rd [48] Y. Tsividis, “Mixed-domain systems and ed. London, U.K.: Oxford Univ. Press, 2011. 2008). He has received the Great signal processing based on input decom- position,” IEEE Trans. Circuits Syst. I, [67] Y. Tsividis, Mixed Analog-Digital VLSI Teacher Award from the Society of vol. 53, no. 10, pp. 2145–2156, 2006. Devices and Technology. Singapore: World Columbia Graduates in 1991, the Dis- Scientific, 2002. [49] B. Schell and Y. Tsividis, “A continuous- tinguished Faculty Teaching Award time ADC/DSP/DAC system with no clock [68] Y. Tsividis, A First Lab in Circuits and Elec- and with activity-dependent power dissi- tronics. Hoboken, NJ: Wiley, 2002. from the Columbia Engineering pation,” IEEE J. Solid-State Circuits, vol. 43, [69] Y. Tsividis, “Some thoughts on introduc- School Alumni Association in 1998 no. 11, pp. 2472–2481, 2008. ing today’s students to electrical engi- [50] C. Vezyrtzis, W. Jiang, S. M. Nowick, and neering,” IEEE CAS Newsletter, vol. 9, no. 1, and 2010, and Columbia’s Presiden- Y. Tsividis, “A flexible, clockless digital p. 1, 1998. tial Award for Outstanding Teaching filter,” in Proc. European Solid-State Cir- [70] Y. Tsividis, “Turning students on to cir- cuits Conf., 2013, pp. 65–68. cuits,” IEEE Solid-State Circuits Soc. News- in 2003. He has received an IEEE CAS [51] C. Weltin-Wu and Y. Tsividis, “An event- letter, vol. 13, no. 1, pp. 6–9, 2008. Golden Jubilee Medal in 2000, the driven clockless level-crossing ADC with [71] Y. Tsividis. (2014, July 28). MOS transis- IEEE Undergraduate Teaching Award signal-dependent adaptive resolution,” tors. [Online]. Available: https://www. IEEE J. Solid-State Circuits, vol. 48, no. 9, coursera.org/course/mosfet in 2005, and the IEEE Circuits and pp. 2180–2190, 2013. Systems Education Award in 2010. [52] M. Kurchuk, C. Weltin-Wu, D. Morche, and Y. Tsividis, “Event-driven GHz-range Biography He is a Life Fellow of the IEEE, and continuous-time digital signal proces- Yannis Tsividis (tsividis@columbia. received the IEEE Gustav Robert sor with activity-dependent power dis- sipation,” IEEE J. Solid-State Circuits, vol. edu) (S’71—M’74—SM’75—F’86—LF’12) Kirchhoff Award in 20 07. 47, no. 9, pp. 2164–2173, 2012. received the B.E.E. degree from the

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 35 –

+ Yannis Tsividis’ Early Contributions to MOS Filters John Khoury and Mihai Banu

n the 1970s, when the bipolar transis- Yannis always had analog circuits close to his heart. tor was the undisputed king of analog Yet back in the 1980’s designing with MOS transistors was integrated circuits (ICs), most electrical seriously impeded by a lack of accurate transistor mod- engineers regarded the MOS transistor as els, particularly for small-signal operation. He exposed I a second-rate device for ICs: it was a good the weaknesses of the transistor models and was the switch, but a mediocre amplifier. As a graduate student leading advocate to greatly improve them for mixed- at UC Berkeley, under the supervision of Paul Gray, Yan- signal circuit design [4]. When he sat down to write his nis Tsividis had a very different vision. He saw the MOS textbook on CMOS mixed-signal design in 1981, the first transistor as the future star for mixed-signal ICs and was planned chapter in the book was an introduction to the excited to prove to the world he was right. The open- MOS transistor. For several years he kept adding tran- ing gambit was his thesis work demonstrating the first sistor modeling material to this first chapter, perform- fully-integrated MOS opamp [1]. This single achievement ing more device investigations and adding the latest propelled him to the top of his generation of research- research results from industry and academia. From this ers and earned him a Berkeley PhD degree, a teaching introductory chapter was born the world’s best reference appointment at Columbia University and a consulting book for the MOS transistor [5], an achievement that is position at Bell Laboratories. maintained to this day. It may sound ironic that such a The co-inventor of CCDs and later Nobel Prize laure- superb textbook was written by a circuit designer and not ate, George Smith, recruited Yannis to Bell Labs to con- by a device or a compact modeling expert, but perhaps tinue his outstanding research in MOS mixed-signal ICs. not surprising because who else would understand the Smith’s vision was to unravel the device physics and fab- building block of circuits better than a master in the art rication methods for scaling MOS technology to 1 micron of design? line widths and below. It was a perfect match and they Yannis has contributed to many areas of MOS circuit became important players in making their common vision design and analysis, but in this article we only provide a reality. With his research at Columbia and in close col- highlights of his early work in MOS filter design. He has laboration with Bell Labs, Yannis invented and demon- made seminal contributions to continuous-time­ filters, fil- strated new MOS circuit design methods. [2–4, 6–9]. ters that depend only on timing, companding filters and recently continuous-time DSPs. We will focus primarily on his contributions to continuous-time filters.

Digital Object Identifier 10.1109/MSSC.2014.2347772 Filters are a fundamental component in virtually all Date of publication: 12 November 2014 electronic systems. In ICs at lower frequencies inductors

36 fall 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/14©2014IEEE are impractical so fil- to linearize element-by-element or is from the input-output terminals the ters were typically con- there a more general technique?” circuit behaves linearly. (Note that + structed with resistors, MOSFET-C filters were invented companding filters, log-domain fil- – capacitors and opamps. [7, 8] to avoid element-by-element ters and other techniques that were + A major problem with linearization. The basic building developed later exhibit this internally – CMOS technology is that the RC block of an active-RC state-variable­ nonlinear, externally linear behavior.) products vary by up to +- 40% over filter is the Miller integrator shown The transistors’ strong second-order process and temperature variations. in Figure 2(a). One can simply nonlinearity causes the summing node (In the early 1980s, switched-capac- replace the resistor with a MOS tran- inputs of the opamp to have a com- itor filters were gaining traction, sistor operating in triode region as mon-mode signal at the second-har- but they were still a bit odd since in Figure 2(b) to enable tunability, monic of the input signal. they had to be synthesized in the but the filter will operate linearly The MOSFET-C filter solution was Z-domain, suffered from aliasing only for small signals. Large signals extremely elegant: One simply had and were generally a strange new will cause significant second-order to build a classical active RC state-­ beast for traditional analog IC engi- nonlinearity to appear at the out- variable filter, then convert the design neers.) A method to tune the RC put. A Taylor-series expansion of the to a balanced structure and replace time constants was thus necessary. channel current for zero drain-to- each resistor with a MOS transistor [7, If a variable linear resistor could be source voltage is of the form: 8]. There are two caveats. At the time created, a traditional active RC filter VVds- 2 2 this approach was developed, virtu- IVds ^ dsh = +-kV2 ` d Vs j could be realized with fixed capaci- R ally all IC circuits were single-ended. tors (double-poly structures at the + high –order terms (1) Also, this structure required balanced time) and each R replaced with this Examining the expression for the topologies, not just differential topol- newly invented element. drain current when the opposite ogies. Although topologically a bal- Yannis challenged his doctoral polarity drain-to-source voltage is anced circuit looks like a differential student at the time, to find a way to applied, the drain current has the one, the MOSFET-C filters required use the MOS transistor as a floating opposite linear component as in (1), far superior opamp output common- variable linear resistor. Use of a FET but the second-order (and all even- mode control in contrast to the differ- in the triode region with zero drain- order) nonlinearities are identical. ential switched-capacitor filters that to-source voltage as a variable resis- Therefore, if the two currents are were emerging at the time. In the early tance controlled by the gate voltage, subtracted all even-order nonlineari- 1980s, design of balanced and differ- had been used for many years in AGC ties will cancel. The remaining odd- ential amplifiers was a relatively new circuits. However, the I-V character- order nonlinearities will not cancel, phenomenon so there was consider- istics of the drain-source resistor but for the MOSFET, they are low able confusion and misunderstanding. exhibits a very large and undesir- enough for many applications. To alleviate this issue, Tsividis’ group able second-order nonlinearity. Now the challenge for Yannis and published a paper detailing the design After much analysis of the MOS tran- his doctoral student was to create a approach to be used for two-stage sistor’s nonlinear I-V characteristics circuit that implemented this subtrac- and folded-cascode balanced opamps using the 3/2 power model and its tion. Their solution, the MOSFET-C in- [9]. The notable feature of the two- Taylor series expansion, a solution tegrator [7, 8], is shown in Figure 2(c). stage opamp, shown in Figure 3, is was created [6] as shown in Figure 1. By using matched transistors and the common-mode feedback loop. The To suppress the even-order nonlin- operating the circuit fully-balanced, common mode is detected at the con- earities, two matched transistors are all even-order nonlinearities cancel. nection of the two identical resistors used and the resistance control volt- Internally the circuit is nonlinear, but (the two capacitors are added for high age on the gate (and body) is made signal dependent, but the gate-to- source (or gate-to-drain voltage) of each of the matched transistors is + + VG – VQ – VG – VQ fixed to minimize modulation of the – channel resistance. (Although it is VQ + VX VQ + VY not shown, the floating ideal voltage sources can be realized with source followers, in practice.) Although a + + viable solution was found, it was VQ – VB – – VQ – VB complicated and Yannis felt a sim- pler approach could be found. Yan- nis posed the question: “Do we need Figure 1: Linearization a single MOS transistor around zero drain-to-source voltage.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 37 Vc Vc C R C C In In +In – – + +Out – Vc Out + – –Out + + Out –In

C (a) (b) (c)

Figure 2: Continuous-time active integrators: (a) active-RC integrator implemented with linear resistor, (b) active-RC integrator implemented with MOSFET in triode operating as a variable resistor and (c) MOSFET-C Integrator. frequency stability) and the modified design MOSFET-C filters for IC audio Gm-C filters, a competing technique differential pair on the left side mea- signal processing circuits such as at the time. Gm-C filters have the sures the common-mode error and CODECs or MODEMs. Unfortunately, potential benefit of wider bandwidth applies feedback to the first stage of by that time the engineers had finally and lower power dissipation due to the opamp with separate current and learned to make switched-capacitor their open-loop operation. However, voltage feedback paths. This topol- filters work properly, so the change achieving linear operation for large- ogy achieves a common-mode closed- to MOSFET-C filters never material- signal swings is a formidable prob- loop bandwidth that approximates the ized. However, a few short years later lem. Yannis and his group, returned differential-mode bandwidth of the at Bell Labs and elsewhere, MOSFET-C to the trusted MOS transistor, and amplifier. High common-mode band- and other types of continuous-time determined that a differential pair width is required for accurate out- filters found widespread commercial degenerated with a triode-operated put balancing at the signal frequency success in the emerging CMOS read MOS transistor rejects all even- to maintain linearity. (In contrast, channels for hard disk drives [10]. order nonlinearity if the drain and switched-capacitor filters at that time These applications required cor- source voltages are the negative of often used common-mode feedback ner frequencies in the 10’s of MHz each other in a balanced fashion loops with bandwidths at least one and moderate linearity and were the (see equation (1)). If two degenerated order-of-magnitude lower than the dif- perfect problem to be solved by the differential pairs are cross-coupled, ferential bandwidth.). This balanced MOSFET-C solution. Today the cor- as shown in Figure 4, all nonlinear opamp and variations of it have been ner frequencies are in the 100’s of terms vanish and the sole remain- widely adopted by the IC industry. MHz and the yearly revenue from ing term is linear provided that Since Yannis was consulting regu- these ICs is in the hundreds of mil- the two control voltages are not larly at Bell Labs during this time, he lions of dollars. equal [11]. Transconductance tun- approached the product development Although Yannis was recognized ing is achieved with the difference group and explained the significant for his invention of MOSFET-C fil- between the gate control voltages. benefits of the intuitive and easy to ters, his group also contributed to His group used this transconductor and variations of it to create Gm-C continuous-time filters in the 4 MHz frequency range for video process- vdd ing [12] and in the 15 MHz range for Bias disk-drive read channels [13]. Continuous-time filters clearly were finding their niche in the early 1990s cmref –In for disk drive and video applications; +In –Out +Out however, during the RF CMOS devel- Bias Bias opment surge in 1990’s a new tact was required. As inductors became Bias practical at 1 GHz and above, Tsivi- dis’ group continued to innovate with continuous-time filters, but now added gnd inductors into the design approach. The basic concept was to use RLC fil- Figure 3: Two-stage fully-balanced opamp. ters with some positive feedback via a

38 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE transconductor to enhance the Q of a bandpass filter. The concept is similar +iout –iout to oscillator design, except that the Q enhancement must be well controlled to achieve the desired bandwidth. Tsividis’ group produced several fil- V ters in this area that were applicable C 2 to RFIC transmit filters [14, 15]. They +in –in V also explored several new tuning C 1 approaches to move the state-of-the art forward in master-slave tuning [15]. Early in his career at Columbia, Yannis asked a fundamental question concerning filters. He observed that: (1) the frequency responses of passive filters depend on L, R and C values, Figure 4: Linearized Transconductor. (2) in active continuous-time filters, the frequency response depends on pass filters with corner frequencies method to delay audio-frequency con- RC products and (3) in switched-ca- much higher than the bandwidth of tinuous-time signals (remember this pacitor filters, the frequency response interest. Instead of continuous-time is the 1980’s), they asked themselves: is determined by capacitor ratios and delay, they opted for a discrete-time “Can we delay currents?” That ques- a clock frequency. He asked himself, voltage-sample delay. With this small tion resulted in their invention of “Is it possible to create a filter whose modification to the original theory, the current-copier circuit [18], shown response is only dependent on a Yannis and Vallancourt demonstrated in its simplest form in Figure 6. In clock?” The answer turned out to be a highly programmable audio filter phase 1, the current is converted to a yes! The diagram in Figure 5(a) shows dependent only on timing [17]. gate-to-source voltage that is stored a classical IIR prototype operating in While Yannis and Dave Vallancourt on the gate capacitance of the tran- continuous time. Continuous-time were intensely searching for a good sistor. In phase 2, the copied current waveforms are delayed, scaled by co- efficients and then added to realize the output. Yannis’ invention was to create the filter coefficients by chop- 1 x (t ) a0 + y (t ) ping the delayed waveforms [16]; the b0 duty cycle of a given chopping wave- form implements a coefficient from 0 x (t – 1) ′ a y (t – 1) to 1 as shown in Figure 5(b) (Negative 1 b1 coefficients are easily realized with y Circuits differential circuits.). Summation of y Circuits x (t – M) y (t – N′) the feedforward chopped paths cre- aM b Dela

Dela N Continuous-Time ates the zeros of the filter and the Continuous-Time summation of the feedback chopped (a) paths creates the filter poles. Since 1 the duty cycles of the waveforms can x (t ) y (t ) + LPF b be controlled digitally, the entire filter 0 0 function can be easily programmable from low pass to bandpass, etc. [17]. x (t – )

1 y (t – 1′)

For this research work [16], Yannis re-  1 1′ ceived the W.R. Baker Award in 1981. y Circuits A significant challenge to take the el- y Circuits x (t – ) y (t – N′) egant theory of Figure 5 into practice M Dela Dela M ′  Continuous-Time was implementing the waveform de- Continuous-Time N lay on an IC. Tsividis and his student, (b) D. Vallancourt, researched how best to implement such a delay on chip Figure 5: Filters Dependent only on timing: (a) conventional implementation of signal scal- for that application. Many options ing and summation and (b) implementation of signal scaling by “chopping” waveforms with were considered, including RC low varying duty cycles to realize the coefficients.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 39 academic, but in the end has yielded [17] D. Vallancourt and Y. Tsividis, “A fully pro- grammable sampled-data analog CMOS filter iin practical engineering solutions that with transfer-function coefficients deter- are employed in the industry. mined by timing,” IEEE J. Solid-State Circuits, vol. 22, no. 6, pp. 1022–1030, 1987. We feel very fortunate and grate- [18] S. Daubert, D. Vallancourt, and Y. Tsivi- ful to have been his students and for dis, “Current copier cells,” Electron. Lett., 1 vol. 24, no. 25, pp. 1560–1561, Dec. 1988. 2 iout his continuing friendship. [19] Y. Tsividis, “Externally linear, time-invari- 1 ant systems and their application to com- panding signal processors,” IEEE Trans. References Circuits Systems II: Analog Digital Signal [1] Y. Tsividis and P. R. Gray, “An integrated Processing, vol. 44, no. 2, pp. 65–85, 1997. NMOS operational amplifier with internal [20] Y. Tsividis, “Continuous-time digital sig- compensation,” IEEE J. Solid-State Circuits, nal processing,” Electron. Lett., vol. 39, vol. 11, no. 6, pp. 748–753, 1976. no. 21, pp. 1551–1552, 2003. [2] Y. Tsividis, D. Fraser, Jr., and J. Dziak, “A pro- cess-insensitive high-performance NMOS operational amplifier,” IEEE J. Solid-State About the Authors Circuits, vol. 15, no. 6, pp. 921–928, 1980. John M. Khoury (john.khoury@silabs. [3] Y. Tsividis and D. Fraser, Jr., “Harmonic Figure 6: Current-copier circuit. distortion in single-channel MOS inte- com) (M’85, SM’94) received the B.S. grated circuits,” IEEE J. Solid-State Cir- and Eng.Sc.D. from Columbia Universi- cuits, vol. 16, no. 6, pp. 694–702, 1981. is held at the drain of the transistor. [4] Y. Tsividis, “Problems with precision ty in 1979 and 1988, respectively, and The current-copier invention led to modeling of analog MOS LSI,” in Proc. the S.M. degree from Massachusetts In- 1982 Electron Devices Meeting, vol. 28, the invention and growth of switched- pp. 274–277. stitute of Technology in 1980. All three current filters. [5] Y. Tsividis, Operation and Modeling of degrees are in electrical engineering the MOS Transistor, 2nd ed. New York: There is no room in this article McGraw-Hill, 1998. with a focus on mixed-signal research to describe all Yannis’ contributions [6] M. Banu and Y. Tsividis, “Floating voltage- and development. He has held various controlled resistors in CMOS technology,“ to MOS filters, but a couple of more Electron. Lett., vol. 18, no. 15, pp. 678–679, technical and management positions recent techniques deserve mention- 1982. at Bell Laboratories, Multilink Tech- [7] M. Banu and Y. Tsividis, “Fully integrated ing. In the 1990’s, he studied bipo- active RC filters in MOS technology,“ IEEE nology Corporation and Silicon Labs. lar log-domain filters and created J. Solid-State Circuits, vol. SC-18, pp. 644– From 1995 to 1998, he was an Associ- 651, Dec. 1983. more general alternatives for MOS [8] Y. Tsividis, M. Banu, and J. Khoury, “Contin- ate Professor of Electrical Engineering technology. This led to his exten- uous-time MOSFET-C filters in VLSI,” IEEE J. at Columbia University. He has been at Solid State Circuits, vol. SC-21, no. 1, pp. sive work in companding filters [19] 15–30, Feb. 1986 [IEEE Trans. Circuits Syst., Silicon Labs, Austin, Texas since 2005 and low-power filters with signal-­ vol. CAS-33, no. 2, pp. 125–140, Feb. 1986]. and performs mixed-signal circuit [9] M. Banu, J. Khoury, and Y. Tsividis, dependent dynamic biasing. Most “Fully differential operational amplifi- design and signal processing devel- recently, Yannis and his research ers with accurate output balancing,” IEEE opment for Wireless Mesh Networks, J. Solid-State Circuits, vol. SC-23, no. 6, group have been developing contin- pp. 1410 –1414, Dec. 1988. Class D amplifiers, FM tuners, TV tun- uous-time DSPs [20]. These unusual [10] J. Sonntag, O. Agazzi, P. Aziz, H. Burger, ers and related areas. Dr. Khoury is a V. Comino, M. Heimann, T. Karanink, J. signal processors hold the potential Khoury, S. Madine, K. Nagaraj, G. Offord, R. member of the 2014 ISSCC Technical to achieve very low power dissipa- Peruzzi, J. Plany, N. Rao, N. Sayiner, P. Setty, Program committee, contributing to and K. Threadgill, “A high speed low power tion because the dynamic power PRML read channel,” IEEE Trans. Magn., the Data Converter subcommittee. He is correlated to the signal activity. vol. 31, no. 2, pp. 1186–1195, Mar. 1995. is a co-recipient of the 1987 IEEE Dar- [11] Y. Tsividis, Z. Czarnul, and S. C. Fang, They also provide a high degree of “MOS transconductors and integra- lington Award. transfer function programmabil- tors with high linearity,” Electron. Lett., Dr. Banu received a PhD degree vol. 25, no. 6, pp. 1368–1378, 1990. ity. We are anxiously waiting to see [12] V. Gopinathan, Y. Tsividis, K.-S. Tan, and R. from Columbia University in 1984. He their latest results. K. Hester, “Design considerations for high- was with Bell Laboratories until 2001 frequency continuous-time filters and What is the source of Yannis’ implementation of an anti-aliasing filter (summer intern, MTS, Dpt. Head and creativity? First, he asks fundamen- for digital video,” IEEE J. Solid-State Cir- R&D Director), with Agere Systems cuits, vol. 25, no. 6, pp. 1368–1378, 1990. tal questions about conventional [13] J. Khoury, “Design of a 15 MHz contin- until 2004 (R&D Director) and did con- approaches and challenges one to uous-time filter with on-chip tuning,” sulting and independent IP develop- IEEE J. Solid-State Circuits, vol. 26, no. 12, think of alternatives that question pp. 1988–1997, Dec. 1991. ment until 2013 (MHI Consulting LLC). basic assumptions. Second, he asks [14] S. Pipilos, Y. Tsividis, J. Fenk, and Y. Pap- He founded Blue Danube Labs Inc. ananos, “A Si 1.8 GHz RLC filter with tun- how a commonly assumed negative able center frequency and quality factor,” (BDL) to apply his IP to a revolution- effect such as a parasitic capaci- IEEE J. Solid-State Circuits, vol. 31, no. 10, ary smart antenna technology. Cur- pp. 1517–1525, 1996. tance or a nonlinearity, “can be used [15] D. Li and Y. Tsividis, “Design techniques for rently he is VP R&D and CTO at BDL, to advantage”. Third, Yannis always automatically tuned integrated gigahertz- which received Series-A funding from range active LC filters,” IEEE J. Solid-State understands a problem both intui- Circuits, vol. 37, no. 8, pp. 967–977, 2002. Sequoia Capital in 2013. Dr. Banu is an tively and also from basic principles. [16] Y. Tsividis, “Signal processors with trans- IEEE Fellow, received several IEEE and fer function coefficients determined by Lucent awards and is author of many Finally, he has not shied away from timing,” IEEE Trans. Circuits Syst., vol. 29, research that initially appears purely no. 12, pp. 807–817, 1982. publications and patents.

40 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE Nagendra Krishnapura and Shanthi Pavan A Mind for all Seasons

t is always fascinating to follow (and his attention for the next two decades. In the last decade, learn from) the scientific contributions he has been investigating continuous-time digital signal of an accomplished researcher, particu- processing, wherein analog signals are quantized and larly so when one works in the same area. processed without being sampled [7]. This has led to a I Over four decades, Yannis Tsividis has made number of techniques and offshoots into other areas of substantial contributions to circuits and systems at electronics [8]. several levels: device modeling, circuit simulation meth- It is our opinion that Yannis gets a kick out of doing ods, integrated circuit design, and signal processing exactly those things that others believe cannot be done. techniques—each one an area on which an entire aca- We can think of several such instances throughout his demic career could possibly be spent. During his PhD career—starting from making the first opamp with MOS and for several years thereafter, he contrib- uted to several IC designs, starting from the first integrated MOS opamp [1]. Then, while investigating bandgap references and start- ing to write a book on circuits, he made In his distinguished career, Yannis has been an his foray into device modeling, mainly of exemplary scholar, researcher, and teacher. the MOS transistor. This work, spanning seven years culminated in the famous book, “Operation and Modeling of the MOS Transistor”, now in its third edition [2]. Around the transistors, which were thought to be good only for logic late seventies, switched-capacitor filters began finding (so you are going to make an opamp using switches ??). widespread use in the telecom industry. Yannis started When switched-capacitor techniques, where transfer func- investigating analysis methods for switched-capacitor tions depended on timing and capacitor ratios, were in networks which eventually resulted in SWITCAP[3-6], a vogue, he wondered if would be possible to create sys- popular and highly efficient tool for simulating switched tems with transfer functions depended only on timing capacitor circuits. In the early 1980s, he began working [9]. Another branch-off from switched capacitor filters on continuous-time filters—an area that received bulk of was towards continuous-time filters, which were widely realized using discrete components, but were difficult to

Digital Object Identifier 10.1109/MSSC.2014.2348932 implement on MOS ICs in those days. This work resulted Date of publication: 12 November 2014 in improved theoretical understanding [10, 11] of filters,

1943-0582/14©2014IEEE IEEE SOLID-STATE CIRCUITS MAGAZINE fall 2014 41 [Yannis] seems to have a talent for fishing out He is extremely conscientious about acknowledging all those who came very old references, for instance, books in Russian, before. Moreover, he seems to have written in the sixties, or earlier!—all this, before a talent for fishing out very old the days of Google. references, for instance, books in Russian, written in the sixties, or earlier!—all this, before the days of Google. One of us, who investigated power amplifiers for a while, was as well as designs which improved out to be the foundation for a paper handed a thesis in French to read! upon the state of the art [12]. When that received the best paper award at Yannis typically worked with few faced with the fundamental tradeoff ISSCC several years later [16]. graduate students at a time, unlike between dynamic range and power, he A characteristic of his papers many of his well-known contempo- turned to companding techniques— is the rigor of analysis, without an raries. His was not a group working the result was several filters that excess of algebra. We believe that towards a single goal, usually the one achieved high dynamic range [13, 14] this comes from his having thought considered important by the indus- while consuming orders of magni- long and hard about the topic at try (and most of the academic com- tude lower power dissipation. hand and having developed a high munity) at that particular time. Each An aspect of his work that really level of intuition about the same. of his students would be working on stands out is his dogged determina- Well before meeting him in person, problems quite different from that tion to get to the bottom of things, one of us had read a testimony to of the others. The starting point for and pursue something to its logi- his uncanny intuition as a foot- some students would be Yannis’ own cal conclusion. His work on the MOS note in a paper [17] by Martin and analysis or a short paper foraying into parametric amplifier is a case in Sedra, themselves accomplished an unknown area. Far from being a point. The story goes that after hav- engineers in this area. The footnote, manager-professor,­ he has been per- ing come up with the idea, he asked a simultaneously amusing and inspir- sonally technically active throughout student to investigate this in the late ing, reads “The authors would like his career. He is the sole or the first eighties—a time when accurate MOS to acknowledge Dr. Y. P. Tsividis, author of about a third of his two transistor models suited for analog who in a private conversation, was hundred odd publications. Of the design were not yet available, and the largely responsible for convincing numerous awards he has won, the two student concluded that “this wouldn’t them of this fact before the present Guillemin-Cauer awards and the WRG work”. Unconvinced, Yannis made an analysis was carried out.” Baker prize have been his alone. early version of the amplifier, first Another characteristic of his pub- Our interaction with Yannis was reported at ISCAS [15]. This turned lications is the long list of references. during our graduate student years

Analog Computer [18] Parametric Amplifier [15] Moderate Inversion Limit [20] Transistor-Only CT Filter [15] log() Qr V = V + + ( – 2 – V ) GBM FB SM  – 1 + e SM F c Cox SM

Log Domain Integrator [19] n+ n+ Used in [18] p Charges in a MOS Transisor [2]

Switched-Capacitor Filter Equation [3]

T q(t) –EI v (t ) v I(t ) 0  T qI (t ) 0 D + ED = T  S C (t –) SkC – Sk – I SkEI SkED qD (t ) k v k

Figure 1: Yannis and his students worked on diverse topics. Starting from circuit design, they moved both “upwards”, towards systems and circuit simulators, as well as “downwards” towards the MOS transistor.

42 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE [10] J. M. Khoury and Y. Tsividis, “Synthesis An aspect of his work that really stands out of arbitrary rational transfer functions in s using uniform distributed RC active is his dogged determination to get to the circuits,” IEEE Trans. Circuits Syst., vol. 37, no. 4, pp. 464–472, Apr. 1990. bottom of things, and pursue something [11] G. Efthivoulidis, L. Toth, and Y. P. Tsividis. “Further results for noise in active RC and to its logical conclusion. MOSFET-C filters,” IEEE Trans. Circuits Syst. II: Analog Digital Signal Process., vol. 45, no. 9, pp. 1311–1315, Sept. 1998. [12] S. Pavan, Y. Tsividis, and K. Nagaraj, “Widely programmable high-frequency continuous-time filters in digital CMOS in the late 1990s. While giving us a the envelope of integrated circuits technology,” IEEE J. Solid-State Circuits, vol. 35, no. 4, pp. 503–511, Apr. 2000. great deal of freedom to choose our and systems by lasting contributions [13] N. Krishnapura and Y. Tsividis, “Noise and thesis topics and work on them in to both mainstream areas such as power reduction in filters through the use of adjustable biasing,” IEEE J. Solid-State our own way, he nonetheless stayed switched capacitor and continuous- Circuits, vol. 36, no. 12, pp. 1912–1920, very much in touch with the tech- time filters, and MOS modeling as Dec. 2001. [14] Y. Palaskas, Y. Tsividis, and V. Boccuzzi, “A nical details. The biggest impact well as more esoteric ones like com- power efficient channel selection filter/ he had on our work was to enforce panding, continuous-time digital sig- coarse AGC with no range switching tran- sients,” in Proc. 2003 Custom Integrated rigor in our thinking. This reduced nal processing, and analog computing Circuits Conf., San Jose, CA. the likelihood of deceiving oneself, [18]. He has inspired a large number [15] Y. Tsividis and K. Suyama, “Strange ways to use the MOSFET,” in Proc. 1997 Int. a common occurrence in research of students through his teaching. It Symp. Circuits and Systems, Hong Kong, when one is trying to achieve a dif- has been a privilege for us to have vol. 1, pp. 449–452. [16] S. Ranganathan and Y. Tsividis, “A MOS ficult outcome. Another was the worked with him. capacitor-based discrete-time paramet- clarity he insisted on in our writ- ric amplifier with 1.2 V output swing and 3 µW power dissipation,” in Proc. 2003 Int. ings describing our work. Both of Solid-State Circuits Conf., San Francisco, us remember the first drafts of our References CA, pp. 406–407. early papers returned after being [1] Y. P. Tsividis and P. R. Gray, “An integrated [17] K. Martin and A. S. Sedra, “Effects of the NMOS operational amplifier with internal op amp finite gain and bandwidth on the nearly doused in red ink! The ability compensation,” IEEE J. Solid-State Cir- performance of switched-capacitor fil- to see our own writings from an out- cuits, vol. 11, no. 6, pp. 748–753, Dec. ters,” IEEE Trans. Circuits Syst., vol. 28, 1976. no. 8, pp. 822–829, Aug. 1981. sider’s perspective in order to free [2] Y. Tsividis and C. McAndrew, Operation [18] G. E. R. Cowan, R. C. Melville, and Y. Tsividis, them from unstated assumptions, and Modeling of the MOS Transistor, 3rd “A VLSI analog computer/digital computer ed. London, U.K.: Oxford Univ. Press, accelerator,” IEEE J. Solid-State Circuits, skipped steps, and other annoying 2010. vol. 41, no. 1, pp. 42–53, Jan. 2006. [19] M. Punzenberger and C. Enz, “A 1.2-V low aspects of a beginner researcher’s [3] Y. Tsividis, “Analysis of switched capaci- power BiCMOS class AB log-domain filter,” paper is something he tried to drill tive networks,” IEEE Trans. Circuits Syst., IEEE J. Solid-State Circuits, vol. 32, no. 12, vol. 26, no. 11, pp. 935–947, Nov. 1979. pp. 1968–1978, Dec. 1997. into all his students. [4] S. C. Fang, Y. P. Tsividis, and O. Wing, [20] Y. Tsividis, “Moderate inversion in MOS Besides technical discussions on “SWITCAP: A switched-capacitor network devices,” Solid-State Electron., vol. 25, analysis program Part I: Basic features,” no. 11, pp. 1099–1104, Nov. 1982. our research, our group meetings usu- Circuits Syst. Mag., vol. 5, no. 3, pp. 4–10, ally involved some circuit brainteasers Sept. 1983. [5] S. C. Fang, Y. P. Tsividis, and O. Wing, from Yannis. These were usually based “SWITCAP: A switched-capacitor network About the Authors on unexpected aspects of simple cir- analysis program Part II: Advanced appli- Nagendra Krishnapura received cations,” Circuits Syst. Mag., vol. 5, no. 4, his Ph.D. from Columbia University cuits. For example, we remember at pp. 41–46, Dec. 1983. first being skeptical of achieving gain [6] K. Suyama, S. C. Fang, and Y. P. Tsividis, “Sim- in 1996 and is currently an associ- greater than unity with only a pas- ulation methods for mixed switched‑capac- ate professor in the Department of itor/digital networks with signal-driven sive-RC network, only to say aah-of switches,” IEEE J. Solid-State Circuits, vol. 25, Electrical Engineering at the Indian course, after being shown the “trick” no. 6, pp. 1403–1423, Dec. 1990. Institute of Technology Madras, [7] B. Schell and Y. Tsividis, “A continuous- a few minutes later [15]. The diver- time ADC/DSP/DAC system with no clock Chennai, India. sity in his work (Fig. 1) meant that his and with activity-dependent power dissi- Shanthi Pavan received his PhD pation,” IEEE J. Solid-State Circuits, vol. 43, students were necessarily exposed to no. 11, pp. 2472–2481, Nov. 2008. from Columbia University in 1995 a broad range of ideas during group [8] Z. Zhao and A. Prodic, “Continuous-time and is currently a professor in the meetings-something for which we are digital controller for high-frequency DC-DC Department of Electrical Engineer- converters,” IEEE Trans. Power Electron., now grateful. vol. 23, no. 2, pp. 564–573, Feb. 2008. ing at the Indian Institute of Tech- In his distinguished career, Yan- [9] Y. Tsividis, “Signal processors with trans- nology Madras, Chennai, India. nis has been an exemplary scholar, fer function coefficients determined by timing,” IEEE Trans. Circuits Syst., vol. 29, researcher, and teacher. He has pushed no. 12, pp. 807–817, Dec. 1982.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 43 How a Humble Colin C. McAndrew Circuit Designer Revolutionized MOS Transistor Modeling

Logical and incremental knowledge building: from 2- to 3- to 4-terminal MOS structures.

I Introduction Open most textbooks on MOS transistor modeling, MOS circuit design is based on two fun- and the introductory material may include some infor- damental pillars: Understanding how mation on fabrication, but will emphasize the fundamen- MOS transistors operate, so a designer tal physics related to electrostatics, semiconductors, can innovate new circuit topologies and and carrier transport. What those books do not include C functions or know how to adjust the is information on circuits. This asymmetry is startling, geometry and bias of transistors in existing circuits to since for the most part the days of discrete semicon- meet performance goals; and understanding how accurate ductors are long gone, and the most widespread use the MOS transistor models used in circuit simulators are, to of transistor models is in integrated circuit design, so know where the results of the detailed simulations used to circuit-relevance should be of prime importance. Alas, verify circuit performance can or cannot be trusted. in general it is not1: physics and mathematical sophisti- Open most textbooks on CMOS circuit design and cation tend to relegate circuit needs to a secondary role. the first chapter or two will concentrate on MOS tran- Although Prof. Yannis Tsividis is renowned as one of the sistor fabrication, operation, and modeling. The models world’s most innovative and accomplished CMOS circuit reviewed there will form the basis of the circuit analyses designers, perhaps his most important contribution to the presented throughout the rest of the book. semiconductor industry, and the one I came to know him through, has been his work on MOS transistor modeling.

Digital Object Identifier 10.1109/MSSC.2014.2347793 1) The EKV model is the obvious exception to this rule; it was developed Date of publication: 12 November 2014 by experienced analog CMOS designers to meet specific design needs.

44 fall 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/14©2014IEEE The key differentiating feature of his One of the reasons I liked the work in this area is that it preserves book so much when I bought my the rigor of the underlying device first copy was the honesty in discus- physics while at the same time focus- sion of imprecise quantities. ing on relevance for circuit design. Here I will highlight the extent of his III Influence on Students influence in this area, with emphasis The potential “customer base” for on his book Operation and Modeling OMMT is much greater on the circuit of the MOS Transistor [1], 2], see Figs. design side than on the modeling 1 and 2, which for brevity I shall refer engineer side, for the simple reason to as OMMT. that only one suite of simulation models (provided by a few model- II Style ing engineers) is required per tech- In two aspects of style OMMT is head nology but they are reused for the and shoulders above other text books development (by many design engi- on MOS transistors: clarity of presen- neers) of all of the circuit blocks tation, and clarity of concepts. implemented for that technology. One of the reasons I became a dis- Figure 1: My copy of the first edition, Therefore the main focus of OMMT ciple of OMMT was that it is so easy to with original stickies that marked the sec- is to educate circuit designers, not read: All concepts are explained clearly tions I referred to most often showing. modeling engineers. In this role and succinctly, and re-emphasized­ a OMMT has become the most widely few times at different places to make linearly with VGS . Can you pick out used text to train university stu- sure they sink in. The way material a single, exact point (the threshold dents about MOS transistors. is presented overall, from 2- to 3- to voltage VT) that defines the bound- In large part I believe this is because, 4-terminal MOS structures and from 5- ary between near exponential and as noted above, of the clear and simple to 9-­capacitance element small-signal near linear behavior?2 Most descrip- prose style, which makes it easy to models, is designed to lead students tions of MOS transistor behavior understand, and the logical structure along a logical path to full understand- explicitly assume that such a point and flow of the material, which makes ing of MOS transistors while avoiding exists, and the vast majority of MOS it easy to follow and enables students scaring them off by presenting the full transistor models are constructed to step-by-step assimilate the details complexity as a fait accompli in step based on the concept of this ficti- of how MOS transistors operate. 1. Moreover, it is not just the organi- tious point. That unfortunately all- But it is also because the mate- zation and structure that are easy to too-common “mental model” is an rial is presented by an expert circuit follow, the English prose is clear and impediment to proper understanding designer, rather than a device engi- flows beautifully, so learning and ab- of how MOS transistors behave. neer, who understands what aspects sorbing the material is almost effort- One of the most important aspects are best emphasized to convey what less. It is not sufficient for an author of OMMT is that is does not, with is most important for circuit design. to be an expert in the subject material little explanation or justification, being presented, he or she must be assume that VT exists, and con- able to communicate effectively, and tinue from there. It clearly explains

I always found it extremely easy to the “muddiness” that surrounds VT, read OMMT. and then rather than considering In almost all expositions on MOS MOS transistor operation as being transistors you will find terms such split into below and above VT opera- as “threshold voltage” and “sub- tion delineates weak, moderate, and threshold” used as if they are defini- strong inversion regions, and de- tive. Indeed, I used to use such fines what these mean. More impor- language myself. Fig. 3 shows MOS tant, it is completely above-board in transistor IVD ^hGS characteristics at describing the imprecisions in the

VDS = 1V, on linear and log-linear boundaries between these regions, scales. You can see that there is a especially between moderate and region (to the left, marked as weak strong inversion, and presenting inversion) where ID varies approxi- practical ways to determine them mately exponentially with VGS and a from experimental data. region (to the right, marked as strong 2) If you can, patent how you do so, or at inversion) where ID varies roughly least write a paper on it! Figure 2: The second edition.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 45 to think in terms of y-parameters, 102 60 and not in terms of design-oriented representations. It is nice that the 0 50 10 ersion 9-element small-signal capacitance v model form presented in the first 40 ersion

–2 eak In edition of OMMT has been adopted, 10 v W 30 although unfortunately not univer- ( µ A) D

I sally, by modeling engineers. 10–4 ersion v 20 In my daily work I have the plea-

Moderate In sure of interacting and collaborat- 10–6 10 ing with many outstanding circuit Strong In designers. Most of them also have a 10–8 0 0.5 1 1.5 copy of OMMT, which makes it easy VGS (V ) to respond to questions I get on the phone: I just ask them to open to a

Figure 3: Can you determine the exact value of VT ? Red curve is log-linear scale, blue certain page and look at a specific fig- curve is linear scale. ure or equation, and then can provide them with the information they need Excruciating levels of detail, of poten- In this section I have emphasized effectively and quickly. tial interest to a modeling profes- students working on circuit topics. sional, but that may be obfuscating to However, it has been my pleasure V Pioneering Benchmarks students and are not critical to under- over the years to work with quite a No model is perfect, and over the stand for circuit design, are avoided. few students whose research area years MOS transistor models have As an example, when a small-signal is in modeling, and they invariably continued to evolve and improve. But model is envisioned by a modeling have a copy of OMMT, have studied models cannot be fixed if the devel- engineer it is invariably as a y-parame- it carefully, and cite it in their theses opers do not understand exactly what ter model. This is because most models and papers. the problems with a particular model are formulated as large-signal models are. The way to raise the bar for model of currents and charges as functions of IV Influence on Industry capabilities is to develop benchmark voltages, in part because that is often Professionals tests that definitively evaluate wheth- the simplest way to develop a model This is my bailiwick, and trust me er a model is or is not afflicted by a but also in part because it aligns with when I tell you that OMMT is the certain problem. how circuit simulators work. When bible as far as modeling engineers The first paper that I am aware these are linearized to give a small- in industry are concerned. Very of that introduced benchmarks for signal model the y-parameter form fol- many of us garnered significant MOS transistor models was published lows naturally. portions of our knowledge about by Prof. Tsividis more than 30 years However, that form may not be MOS transistors and models from ago [3], and this was followed with a intuitive to a designer when trying successive editions of the book, substantially expanded set of bench- to visualize how a particular transis- and I believe that it is the book that marks about a decade later [4]. Part tor biasing scheme or a specific cir- is most widely owned and most of the “call-to-arms” when [4] was cuit topology operates. Although the widely referenced by professional presented was for designers in the y-parameter small-signal model is cov- modeling engineers. audience to go back to their compa- ered in OMMT, a much more intuitive On occasion I know I yearned for nies and give a copy of the paper to form, with a clear circuit representa- greater detail on some aspects of their modeling engineers, and ask tion, is emphasized. Again, this is to modeling, such as maintaining proper them if the models they were provid- benefit the design, rather than model- behavior for operation in accumula- ing passed the benchmarks. I became ing, engineer, as many more of the for- tion and depletion, which are criti- aware of that paper when one of my mer use the book than the latter. cal for an industrial-strength model design colleagues, who had attended The significant number of prob- but irrelevant for the vast majority of the presentation, came to me and did lems, and requirement to write a CMOS circuits. But if the details neces- precisely that!4 computer program to implement the sary to encompass that detract from The development of benchmarks models presented in the book, also understanding by circuit designers, it to evaluate MOS transistors models reinforce the material to students.3 is understandable that this material has been of immense benefit to the should not be included. 3) But don’t tackle problems 9.15 or 9.16 As noted above, for small-signal 4) I forget the exact details, but my model (8.15 and 8.16 in the third edition) unless did a pretty good job in passing the tests, al- you have a lot of time on your hands! purposes modeling engineers tend though it was not completely free of issues.

46 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE industry as a whole, and Prof. Tsivi- automatically fell open at the sec- dis pioneered this area.5 tions I referred to the most!6

VI Foundation for Circuit Innovation References Deep understanding of how MOS [1] Y. Tsividis, Operation and Modeling of the MOS Transistor. New York: McGraw-Hill, transistors operate has also led to 1987. the development of innovative cir- [2] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd ed. New York: McGraw- cuit applications. Hill, 1999. In [5], [6] understanding of how non- [3] Y. Tsividis, “Problems with precision mod- eling of analog MOS LSI,” in IEDM Tech. Dig., linearities arise in MOS transistors lead 1982, pp. 274–277. to an unconventional biasing scheme [4] Y. Tsividis and K. Suyama, “MOSFET mod- eling for analog circuit CAD problems that cancels the nonlinearities, result- and prospects,” in Proc. CICC, 1993, ing in a resistor with a distortion level pp. 14.1.1–14.1.6. [5] Y. Tsividis and K. Vavelidis, “Linear, elec- of -90 dB for a 1 V peak-to-peak signal. tronically tunable resistor,” Electron. Lett., Another clever application was vol. 28, no. 25, pp. 2303–2305, Dec. 1992. [6] K. Vavelidis, Y. P. Tsividis, F. Op’t Eynde, reported in [7]. By understanding how and Y. Papananos, “Six-terminal MOSFET’s: the internal state, both potentials Modeling and applications in highly linear, electronically tunable resistors,” IEEE. J. and charges, of a MOS device varies Figure 4: The third edition. Solid-State Circuits, vol. 32, no. 1, pp. 4–11, as external biases are changed it was Jan. 1997. [7] S. Ranganathan and Y. Tsividis, “Discrete- realized that single transistor dynamic OMMT, has not only provided a clear time parametric amplifier based on a boosting of the gate voltage was pos- and logical path to teaching future cir- three-terminal MOS varactor: Analysis and experimental results,” IEEE. J. Solid-State sible, and that this phenomena can be cuit design engineers about MOS tran- Circuits, vol. 38, no. 12, pp. 2087–2093, Dec. used for very low power and very low sistors, it has been, for almost three 2003. [8] Y. Tsividis and C. McAndrew, Operation and noise small-signal amplification. The decades, the standard reference for Modeling of the MOS Transistor, 3rd ed. New actual structure used is a three-termi- industry professionals such as myself York: Oxford Univ. Press, 2011. [9] [Online]. Available: http://global.oup.com/ nal device, with gate, source, and body working in the area of MOS transis- us/companion.websites/9780195170153/ connections, and the drain shorted to tor modeling. That is why whenever I the source. This is precisely the struc- gave tutorials or educational sessions About the Author ture analyzed in Chapter 3 of OMMT; it on compact modeling I would always Colin McAndrew (mcandrew@ieee. was primarily intended as a stepping- hold up a copy of OMMT and say “if org) (S’82-M’84-SM’90-F’04) received stone to understanding of the opera- you buy one book on modeling, get the Ph.D. and M.A.Sc. degrees in Sys- tion of a conventional four-terminal this.” And that is why I stopped using tems Design Engineering from the Uni- transistor, but turned out to have ser- terms like “subthreshold” or “above versity of Waterloo, Canada, in 1984 endipitous application in and of itself. threshold” and now assiduously use and 1982, respectively, and the B.E. the terms weak, moderate, and strong (Hons) degree in Electrical Engineering VII Final Comments inversion. Unfortunately I must admit, from Monash University, Melbourne, MOS transistors are the basic building for brevity, that I still use the term Australia, in 1978. From 1987 to 1995 block of the modern electronics indus- “MOSFET” rather than “MOS transistor,” he was at AT&T Bell Laboratories, Al- try. Today it is not possible to rant so I guess I still need to be more disci- lentown, PA. Since 1995 he has been “SPICE lies,” hurl your computer off the plined and follow Prof. Tsividis’ rigor. with Freescale Semiconductor (former- top of a building, then resort to pen- After learning from, and encour- ly Motorola Semiconductor Products cil-and-paper calculations to design a aging others to learn from, Prof. Tsi- Sector), Tempe, AZ where he is at pres- CMOS chip: anyone wanting to become vidis’ book for many years, you can ent a Freescale Fellow. He was an Edi- effective at CMOS circuit design needs imagine how honored I felt when he tor of the IEEE Transactions on Electron to understand how MOS transistors asked me to co-author the third edi- Devices from 2001 to 2010, has been work, and what are the limitations tion of OMMT [8] with him, see Fig. 4. an editor of the IEEE Journal of the Elec- and inaccuracies of the MOS transistor There is a companion web site [9] tron Devices Society since 2012, and is models used in circuit simulators. for this edition that enabled details or has been on the technical program Prof. Tsividis’ understanding and obfuscating to circuit designers, but committees for the IEEE BCTM, ICMTS, research, as embodied in the book important to modeling engineers, to CICC, and BMAS conferences. be made available. 5) It is still somewhat disheartening to real- There is only one problem I ever ize that it took over three decades for some had with OMMT: when a new edition of the problems to be fixed. Also, on mul- tiple occasions I have seen model develop- came out, I had to train my new copy 6) Although I must admit, it was nice for ers proudly present results of a benchmark to open to the “right” pages—from each new version not to have the unsightly test without understanding that their model black smudges and dirt on the page edges failed the benchmark! such frequent use my old copies from years of constant use.

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 47 The opener graphic is from [10]. Yannis Tsividis, Path-Breaking Researcher and Educator

Gabor Temes

took first notice of Prof. Tsividis’s work amplification) as the traditional active-RC ones, but are around 1977, when my UCLA students and more suitable for integrated MOS implementation, since I started to work on switched-capacitor their frequency responses depend only on the ratios (SC) circuits. These circuits may perform of capacitances, which can be accurately controlled Ithe same functions (filtering, equalization, in fabricated devices. A key component of SC circuits is the operational amplifier (opamp). The design of

Digital Object Identifier 10.1109/MSSC.2014.2347796 CMOS opamps was a new challenge, and the UC Berke- Date of publication: 12 November 2014 ley researchers (with Yannis in the forefront) were the

48 fall 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/14©2014IEEE leaders in meeting it. I learnt a great deal from Yannis’s December 1976 JSSC papers [1] [2], and even called Timing him on the telephone a few times to get help with our SC projects. He was most helpful, and we succeded in our design. Threshold Logic By 1980, there were simplified analysis techniques, giving valid approximation only for sampling frequencies far higher than the Nyquist rate of the signal. In [3], Yan- nis presented an exact analysis of a SC circuit, and showed that subtle- ties exist; for example, that if you take a passive highpass RC filter and SCN replace the R by a switched capaci- Function + Oscillo- aV Generator + v Scope tor of supposedly equivalent resis- – tance, you can get a voltage gain – larger than 1 (supported by experi- mental results). Also, the existing design techniques for simple SC fil- Figure 1: Structure of mixed SC/D network defined in SWITCAP2 (from [6]). ters assumed that ideal components could be used. However, the analy- for all integrated analog filters. How- example of an implemented Gm-C sis of complex SC circuits, includ- ever, Yannis also recognized the key filter, and Fig. 3 the method of pro- ing also the unavoidable nonideal advantages of CT filters: the absence gramming the transconductors. Fig. 4 effects, such as parasitic capaci- of such detrimental effects as signal (from [10]) illustrates the method of tance, charge injection, finite opamp and noise aliasing, charge injection, tuning a Gm-C filter. gain and nonzero switch resistance, noise mixing, slow settling and slew It was found some years later that was an open problem In the 1980s. rate limitations. The advantages of the advantages of CT filters are also Fortunately for the designers of SC CT filters turned out to be crucial in very important in the implementation circuits, Yannis and his students S.C. important high-frequency applica- of the loop filters needed in wide- Fang and K. Suyama, along with Prof. tions, such as disk drive electronics band TR analog-to-digital converters Omar Wing, developed the theory of and antialiasing filters for digital (ADCs). Unsurprisingly, some of Dr. analyzing such generalized circuits. video [10]. Rather, the group devel- Tsividis’s former students, such as Dr. The result was SWITCAP, a utility SC oped MOSFET-C techniques [7][8] as Shanthi Pavan, played a major role in analysis program [4] [5]. For many well as worked on early Gm-C fil- the development of CT TR ADCs. years afterwards, SWITCAP, and its ters with the industry, as described Dr. Tsividis has continued his re- expanded version SWITCAP2 [6], in [10]. Fig. 2 (from [9]) shows an search efforts in CT signal processing remained the designer’s top choice for helping to design SC filters and other SC circuits. I used it happily, and taught its use to my many stu- C2 C1 dents active in SC circuit design. – + – + – + – + Fig. 1 (from [6]) illustrates the wide Gw Gw Gw Gw Vin + – + – + – + – variety of circuit elements which C2 C1 SWITCAP2 was capable of simulating. b0-3 b0-3 b0-3 b0-3 Towards the end of the 1980s, Yannis started a crusade, advocating the use of continuous-time (CT) sig- C1 C2 nal processing in CMOS ICs [7] [8] [9] + – + – + – + – Gw Gw Gw Gw Vout in high-frequency applications. This – + – + – + – + was swimming against the tide, since C1 C2 b b b b the accepted wisdom preferred the 0-3 0-3 0-3 0-3 more accurate and robust SC circuits

(which he earlier helped to propagate) Figure 2: Gm-C filter (from [9]).

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 49 able to track it even at the low sample rate. In fact, it made identification at ip + – on large meetings much easier. However, 4gm in – + op at some point, without warning, he shaved the beard off. This discrete- + – time action caused serious aliasing: I gm Programmable didn’t recognize Yannis when we met + – Transconductor at the next meeting, and for a while I b0 had trouble identifying him among the + + – – masses of clean-shaved engineers at 2g m G C + Ci m out ISSCC and ISCAS.) – + – Another field where Yannis’s re- b 1 search made a large impact was com- + – b panding signal processing. Compand- 4gm 0-3 + Fixed Input Fixed Output ing (compressing-expanding) has – Parasitic Parasitic been long used in communications b Capacitance Capacitance 2 to process signals in circuits with + Ci Cout – limited dynamic range. Its purpose 8gm – + is to keep the signal level well over that of noise, but below the overload b 3 limits of the processor. Dr. Tsividis (a) (b) and his collaborators developed cir- cuits for such applications. [12] [13]. Figure 3: Programmable transconductance (from [9]). As with CT circuits, he started with analog companding methods, and up to the present time, extending CT was provided by Yannis’s beard. I saw later extended these to digital signal methods even to digital signal process- him with a low sample rate, about 3-4 processing [14]. ing [10] [11]. sightings/year. When many years ago In several of these research proj- (An inadvertent demonstration of the he grew a large and luxurious beard, ects, Yannis was opening new vistas for problems with sampled-data systems it was a slow CT process, and I was research, departing from the prevailing

Phase Control (POLE) –1 V Phase Control Circuit PHASE, P In+ Out+ Phase + RECT V-I Control (ZERO) – In– Out– VPHASE, Z

In+ Out+ + V-I RECT – In– Out– VDD EXNOR 1 Master 3 2 2 Filter 1 4 + Out C Ref 3 4 3 Frequency + + A 1 2 – + + + + ++ 4 Frequency Gm G – VSS – – – m– A + Control – – –– V-I VDD – V COMP 1 FREQ 3 2 2 1 4 – + B Out C + + ++ Gm 4 3 – – – 3 –Q –– B 2 4 1 COMP VSS EXNOR

Frequency Control Circuit

Figure 4: Block diagram of a tuning circuit for a Gm-C filter (from [10]).

50 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE state of the art. His innovations were sometimes revolutionary. Often he pre- Concerning his other activities, Prof. Tsividis’s vailed, and created new design para- mentoring of his graduate students was also digms replacing the existing ones. Yannis’s research and teaching exceptional. activities have extended over a very broad area, ranging from circuit theory to CMOS device technology. I [6] K. Suyama, S. C. Fang, and Y. Tsividis, “Simula- from the Technical University of Bu- tion methods for mixed switched-capacitor/ did not follow his work in all these digital networks,” IEEE J. Solid-State Circuits, dapest, Budapest, Hungary, in 1991. fields, but I have often consulted his vol. SC-25, no. 6, pp. 1403–1412, Dec. 1990. He held academic positions at the [7] M. Banu and Y. Tsividis, “An elliptic encyclopedic book on the MOS tran- ­continuous-time CMOS filter with on-chip Technical University of Budapest, sistor [15], and also his books on automatic tuning,” IEEE J. Solid-State Circuits, Stanford University, Stanford, CA, vol. SC-20, no. 6, pp. 1114–1121, Dec. 1985. MOS VLSI integrated circuits and on [8] Y. Tsividis, M. Banu, and J. F. Khoury, “Con- and the University of California at integrated CT filters [16] [17]. tinuous-time MOSFET-C filters in VLSI,” IEEE (UCLA). He worked in Trans. Circuits Syst., vol. 33, no. 2, pp. Concerning his other activities, 125–140, Feb. 1986 (special issue on VLSI industry at Northern Electric R&D Prof. Tsividis’s mentoring of his analog and digital signal processing) also Laboratories (now Bell-­Northern published jointly in the IEEE J. Solid-State graduate students was also excep- Circuits, vol. SC-21, no. 1, pp. 15–30, Feb. Research), Ottawa, Canada, as well tional. He produced several gen- 1986 (invited). as at Ampex Corp. He is now a Pro- [9] S. Pavan, Y. P. Tsividis, and K. Nagaraj, erations of leaders in the fields of “Widely programmable high-frequency fessor in the School of Electrical analog and mixed-mode integrated continuous-time filters in digital CMOS Engineering and Computer Science technology,” IEEE J. Solid-State Circuits, circuits and signal processing. I vol. 35, no. 4, pp. 503–511, Apr. 2000. at Oregon State University and Dis- interacted with many of his success- [10] V. Gopinathan, Y. P. Tsividis, K.-S. Tan, and tinguished Professor Emeritus at R. K. Hester, “Design considerations for ful former students, and became high-frequency continuous-time filters UCLA. His recent research has dealt aware of their respect and admira- and implementation of an antialiasing fil- with CMOS analog integrated cir- ter for digital video,” IEEE J. Solid-State Cir- tion for their advisor. cuits, vol. 25, pp. 1368–1378, Dec. 1990. cuits, as well as data converters. In conclusion, I regard Dr. ­Tsividis’s [11] B. Schell and Y. Tsividis, “A continuous- He coedited and coauthored many time ADC/DSP/DAC system with no clock contributions to the discipline of sol- and activity-dependent power dissipa- books; the most recent one is Under- id-state circuits as unique. In addition tion,” IEEE J. Solid-State Circuits, vol. 43, standing Delta-Sigma Data Convert- no. 11, pp. 2472–2481, Nov. 2008. to furthering existing fields of inves- [12] Y. Tsividis, V. Gopinathan, and L. Toth, ers (IEEE Press/Wiley, 2005). He also tigation, he proposed and advocated “Companding in signal processing,” Elec- wrote approximately 300 papers in tron. Lett., vol. 26, pp. 1331–1332, 1990. completely new approaches to circuit [13] Y. Tsividis, “Externally linear, time-invari- engineering journals and conference design. He and his talented students ant systems and their application to com- proceedings. panding signal processors,” IEEE Trans. were then able to translate these ideas Circuits Syst., Part II, vol. 44, no. 2, pp. Dr. Temes was Editor of the IEEE into practical tools for analog and 65–85, Feb. 1997. Transactions on Circuit Theory and [14] A. Klein and Y. Tsividis, “Externally linear mixed-signal circuit designers. He discrete-time systems with application to Vice President of the IEEE Circuits advanced our knowledge and design instantaneously companding digital signal and Systems (CAS) Society. In 1968 processors,” IEEE Trans. Circuits Syst. I, capabilities in many important ways! vol. 58, no. 11, pp. 2718–2728, Nov. 2011. and in 1981, he was co-winner of the [15] Y. Tsividis, Operation and Modeling of the IEEE CAS Darlington Award, and in MOS Transistor. New York: McGraw-Hill, 1984 winner of the Centennial Medal References 1987. [16] Y. Tsividis, Mixed Analog-Digital VLSI [1] Y. P. Tsividis, P. R. Gray, D. A. Hodges, of the IEEE. He received the Andrew Devices and Technology: An Introduction. and J. Chacko, Jr., “A segmented u-255 New York: McGraw-Hill, 1996. Chi Prize Award of the IEEE Instru- law PCM voice encoder utilizing NMOS [17] S. Pavan and Y. Tsividis, High Frequency technology,” IEEE J. Solid-State Circuits, mentation and Measurement Society Continuous Time Filters in Digital CMOS vol. SC-11, no. 6, pp. 740 –747, Dec. 1976. Processes. Boston: Kluwer, 2000. in 1985, the Education Award of the [2] Y. P. Tsividis and P. R. Gray, “An integrated NMOS operational amplifier with internal IEEE CAS Society in 1987, and the compensation,” IEEE J. Solid-State Circuits, About the Author Technical Achievement Award of the vol. SC-11, no. 6, pp. 748–754, Dec. 1976. [3] Y. P. Tsividis, “Analytical and experimen- Gabor C. Temes (Gabor.Temes@­ IEEE CAS Society in 1989. He received tal evaluation of a switched-­capacitor oregonstate.edu) (SM’66–F’73–LF’98) the IEEE Graduate Teaching Award in filter and remarks on the resistor/ switched-capacitor correspondence,” IEEE received the undergraduate degrees 1998, and the IEEE Millennium Medal Trans. Circuits Syst., vol. CAS‑26, no. 2, from the Technical University of as well as the IEEE CAS Golden Jubi- pp. 140‑144, Feb. 1979. [4] Y. P. Tsividis, “Analysis of switched capaci- Budapest and Eötvös University, Bu- lee Medal in 2000. He was the 2006 tive networks,” IEEE Trans. Circuits Syst., vol. dapest, Hungary, in 1952 and 1955, recipient of the IEEE Gustav Robert CAS‑26, no. 11, pp. 935–947, Nov. 1979. [5] S. C. Fang and Y. P. Tsividis, “Modified respectively. He received the Ph.D. Kirchhoff Award, and the 2009 IEEE nodal analysis with improved numeri- degree in electrical engineering from CAS Mac Valkenburg Award. cal methods for switched‑capacitor net- works,” in Proc. Int. Symp. Circuits Syst., the University of Ottawa, ON, Canada, Houston, Texas, Apr. 1980, pp. 977–980. in 1961, and an honorary doctorate

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 51 Yannis Tsividis,

Educator David Vallancourt

he great universities offer more than a collection of excellent courses and research achievements. A unique culture —a personality—characterizes the institutions that consistently bring out the best in their students and earn their loyalty after graduation. Like-minded faculty and administration can T develop this personality in an emergent process, but a consistent, dedicated voice is most effective in shaping it and preserving its fundamental character while letting it evolve with the times and the students. Yannis Tsividis has been that voice in the Department of Electrical Engineering at Columbia University for almost 40 years. Today, the entire Engineer- ing School is enjoying a burst of innovation, with Yannis leading its efforts in education. Yannis’ teaching career began in an era during which students were of generally simi- lar backgrounds to their teachers. Most had prior experience with ham radios, kit stereos and so on, and were seeking to deepen their knowledge beyond what was easily grasped. Yannis’ pedagogical style was consistent with these times. His Operation and Modeling

Digital Object Identifier 10.1109/MSSC.2014.2347798 Date of publication: 12 November 2014

52 fall 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/14©2014IEEE Yannis Tsividis as a Role Model for Reversing the Negative Spiral of Basic Circuits and Systems Education It is acknowledged worldwide over the past several decades that the basic References education of circuits and systems (CAS) is not in stable water. A first reason [1] Y. Tsividis, “Turning students on to circuits,” IEEE Circuits Syst. Mag., pp. 58–63, First Quarter 2009. is that smart phones, iPads, the Internet and computers have created ex- [2] Y. Tsividis, A First Lab in Circuits and Electronics. New York: Wiley, 2002. pectations of instant gratification among young people. This has reduced the attention span of students, so that they are not prepared for the lengthy About the Author lectures that traditionally used to dominate the conceptual elements of Joos Vandewalle obtained the electrical engineering degree and doctor- CAS education. Another issue is the fact that, in EE departments, basic CAS ate in applied sciences from KU Leuven, Belgium in 1971 and 1976. courses are often assigned to uninterested or unmotivated teachers. Even He was a full professor at the Department Electrical Engineering research in CAS is not in the mainstream at many universities anymore. (ESAT), Katholieke Universiteit Leuven, Belgium; head of the SCD However, the role of CAS is still central in basic EE education, with numer- division at ESAT, with more than 150 researchers. Since October ous concepts that are essential for every EE undergraduate student: transfer 2013 he is a professor emeritus with assignments at KU Leuven. His functions, impulse response, time constant, Bode diagrams, impedance, … present tasks include chairing the positioning test for engineering in Much earlier than most of us, Yannis Tsividis had deep insight in this Flanders, board member of the Flemish Academy in Brussels, and new state of affairs [1]. His courageous and wise approach was to excite chairing PhD defenses. the interest of students by an early lab on circuits [2]. Such a lab can He held visiting positions at the University of California, Berkeley and have a deep impact on the students by illustrating the many concepts of I3S CNRS Sophia Antipolis, France. CAS, even before those are formally introduced in theoretical courses. He taught courses in linear algebra, linear and nonlinear system and The effect of this was to reverse the negative trend in EE and double circuit theory, signal processing and neural networks. His research enrollment in EE at Columbia University in three years. This success has interests are in mathematical system theory and its applications in cir- stimulated several universities to adopt this role model. Also within the cuit theory, control, signal processing, cryptography and neural net- IEEE CAS Society, Yannis’s contagious ideas have stimulated the start of a works. He (co-)authored more than 300 international journal papers new Technical Committee, CASEO CAS education and outreach. and obtained several best paper awards and research awards. He is a CAS Society has recognized the exceptional contributions of Yannis to Fellow of IEEE, IET, and EURASIP and member of the Academia Euro- the CAS education by awarding him the CAS Educational Award in 2010. paea and of the Belgian Academy of Sciences. He is currently a mem- ber of the Board of Governors of the IEEE Circuits and Systems Society –Joos Vandewalle, ESAT-Stadius, and Chair-Elect of the IEEE CAS Circuits and Systems Education and KU Leuven, Belgium Outreach TC. Digital Object Identifier 10.1109/MSSC.2014.2347802 Date of publication: 12 November 2014

of the MOS Transistor [1] is a clas- students. Noting specifically that into tinkering. As Yannis writes, sic text, carefully written for an (a) students have not “tinkered”, “There are steps to be followed in audience that appreciates precision (b) they are impatient, and (c) they each experiment, but parts of the of thought and expression. In this believe “software is everything”, he story are withheld, and the students it mirrored his classroom presenta- designed and proposed a new first- are required to search for these tions, also models of focus, clarity, year lab/lecture course to address parts themselves.” [4] The moti- and insight. As a Columbia under- these issues, and wrote a unique lab vation to do so is provided by an graduate myself (BSEE 1981), I was manual for its implementation [3]. immediacy of application in realis- counseled by many upperclassmen to take any course taught by Yan- nis, regardless of subject. Recently I The quality of the research and documentation saw that same advice offered on one in PhD dissertations and journal articles that of the Columbia student social net- working sites. How does one remain emerged from his mentoring has earned several effective enough to be singled out by awards among his 28 matriculated PhD students. students over such a long period? In a 1998 paper [2], Yannis rec- ognized the need for updating One key aspect of the lab was tic settings. For example, observing teaching methods in the field of cir- the balance between guidance and that listening to music is as near a cuits and electronics in light of the freedom in the experimental proce- universal student experience as can changing backgrounds of incoming dures, gently nudging the students be imagined, Yannis wrote many of

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 53 Figure 1: Audio equipment supplements standard test instruments in Columbia’s Intro to Electrical Engineering lab.

the experiments to include music vocal-like sounds they produce, and program flexibility was key, with signal sources as well as traditional electronics students design and students preferring more time to function generators, and to supple- build battery-operated­ phasor ped- choose a major and opportunity to ment the oscilloscope with amplifi- als (Jimi Hendrix, anyone?) from create cross-disciplinary programs. ers and speakers [Figure 1]. In fact, scratch. Yannis’ groundbreaking lab He led the Committee which cre- certain experiments are affection- manual has been adopted by many ated the new required first-year ately known among the TA popu- universities. course Art of Engineering, which lation as “headache labs”, and are This tinkering ethos and self- exposes students to all engineer- student favorites every semester. motivated, realistic, and immediate ing disciplines taught at Columbia through weekly seminars given by academic and industry engineers, and hands-on projects. Now, as I am now his colleague, and from the Chair of Columbia Engineering’s broad picture of a school’s curriculum to the Advisory Committee on Undergradu- ate Curriculum, Yannis is leading the mentorship of individual students, Yannis effort to promote cross-disciplinary has shown me how to be an educator. opportunities for undergraduates by (a) unifying the core course require- ments across departments in order In addition to popularity among application have since become hall- to give more time up front to choose Columbia students (a decade-long marks of the burgeoning Maker a major, and (b) reducing the num- decline in overall enrollment in movement. Columbia’s new Maker ber of required discipline-specific the EE major was reversed follow- Lab, created under the leadership of courses in each department, making ing the introduction of his course), Dean Mary Boyce and headed by EE room for courses in other fields. Yannis’ approach has proven effec- Department Professor John Kymis- Yannis’ school-wide effort follows tive as a teaching tool: measured sis, is the most recent embodiment years of similar engagement with all student performance in courses for of the process Yannis pioneered aspects of the Electrical Engineering which his lab was a prerequisite over fifteen years ago. Department’s education mission, rose significantly. Courses across Another aspect of Maker is its from redesign of the PhD qualifying the EE Department have adopted the cross-disciplinary nature. As Advi- exam (1999) and EE undergraduate hands-on style with similar results. sor to the Dean on Undergraduate core curriculum (2003) to creation Today students in the junior-level Curriculum for the last few years, of a streamlined combined BS/MS circuits course modify wah-wah Yannis surveyed students to iden- program (2007), the last of which pedals to alter the characteristic tify current issues and found that allows students the flexibility to

54 FALL 2014 IEEE SOLID-STATE CIRCUITS MAGAZINE reorder the courses for the two degrees, interleaving them for opti- Any course taught by someone with such a long- mal flow and maximum efficiency. standing enthusiasm for education could be The combined degree program has been adopted by other Departments expected to be well attended, and indeed Yannis’ at Columbia as well. dozens of courses have been so over the years. Any course taught by someone with such a long-standing enthusi- asm for education could be expected to be well attended, and indeed Yan- effort at Columbia, teaching the first Monterey, May 31–June 3, 1998, vol. 1, nis’ dozens of courses have been so pp. 424–427. electrical engineering course offered by [3] Y. Tsividis, A First Lab in Circuits and Elec- over the years. His organization and the Engineering School on the Cours- tronics. New York: Wiley, 2002. attention to detail, including careful [4] Y. Tsividis, “Turning students on to cir- era network [5]. Enrollment exceeded cuits,” IEEE Solid-State Circuits Soc. Mag., selection of TAs, has kept the stu- seventeen thousand students. His cri- vol. 13, no. 1, pp. 6–9, Jan. 2008; also dents’ experience of even the larger (updated) in IEEE Circuits Syst. Mag., vol. 9, tique of this enterprise is helping to no. 1, pp. 58–63, First Quarter 2009. courses positive, but Yannis recog- guide Columbia in further developing [5] Available: https://www.coursera.org/ nized early on that PhD research course/mosfet its presence online. Of course MOOCs [6] “Design of MOS VLSI circuits for telecom- would not scale in the same way. He are only the latest means for Yannis to munications,” in Scuola Superiore Gug- has limited the number of students lielmo Reiss Romoli, Aquila, Italy, June reach students outside of Columbia: 18–29, 1984; Technical Program Director he supervised at any one time, giv- he is well known for his many short and Lecturer (supported by the European ing each the benefits of easy access Economic Community). courses, organized and taught, around [7] “Design of MOS VLSI circuits for telecommu- and close attention. the world (a few of which are listed in nications,” in Scuola Superiore Guglielmo As one of his PhD students in the Reiss Romoli Aquila, Italy, July 1–12, 1985; the references [6–10]). Technical Program Director and Lecturer. 1980’s, I can attest that his attention Yannis Tsividis’ outstanding con- [8] “Design of MOS VLSI circuits for telecommu- was sometimes daunting—my fellow nications,” Puerto de Sta. Maria, Spain, June tributions to education at Columbia 16–27, 1986; Technical Program Director. students and I would try to make cer- have been recognized by students [9] “Design of MOS VLSI circuits for telecom- tain we knew what we were talking munications,” Tampere University of Tech- and administration repeatedly. He nology, Tampere, Finland, June 10–22, 1988 about before knocking on his door, as has received the Great Teacher (held in association with the IEEE ISCAS and he had the ability and willingness to sponsored by the IEEE CAS Society); Techni- Award, Society of Columbia Gradu- cal Program Director and Lecturer. stop what he had been doing, listen ates (1991), Distinguished Faculty [10] “Design of VLSI circuits for telecommu- to us, and find the flaw in any tech- nications and signal processing,” IST, Teaching Award, Columbia Engineer- Technical University of Lisbon, Lisbon, nical argument almost immediately. ing School Alumni Association (1998 Portugal, June 18–29, 1990; Technical Pro- We considered ourselves successful and 2010), and Columbia’s highest gram Director and Lecturer. if we could last a few minutes under honor, the Presidential Award for his scrutiny. I still have copies of Outstanding Teaching (2003). The About the Author rough drafts of my research papers larger engineering community hon- David Vallancourt (dv82@columbia. which contain more text in the form ored him with the IEEE Undergradu- edu) teaches in the EE Department, Fu of his red-inked comments than in ate Teaching Award, 2005 and the Foundation School of Engineering and my manuscript. The quality of the IEEE Circuits and Systems Society Applied Science, Columbia University. research and documentation in PhD Education Award in 2010. He received the BS (1981), MS (1983), and dissertations and journal articles It has been a privilege for me to PhD (1987) degrees from Columbia, and that emerged from his mentoring write this brief summary of Yannis was an Assistant Professor until joining has earned several awards among Tsividis’ contributions to education. AT&T Bell Labs in 1992. Dr. Vallancourt’s his 28 matriculated PhD students. I am now his colleague, and from the focus at the Labs and subsequent posi- Yannis was demanding, but his broad picture of a school’s curriculum tions at Texas Instruments, Vitesse Semi- nurturing and playful personality to the mentorship of individual stu- conductor, and PMC-Sierra was mixed- always came through, creating a dents, Yannis has shown me how to signal IC design for communications cohesiveness to his research group. be an educator. The voice of Colum- applications. In 2005 Dr. Vallancourt He continues to keep in touch with bia’s EE Department, and indeed of returned to Columbia, where he teaches nearly all of us. We who were his the School, to me, will always be his. courses for engineers and for non-engi- PhD students value both his mentor- neers, including The Art of Engineering. ship and friendship. References Dr. Vallancourt has received the Colum- At the opposite extreme of one-on- [1] Y. Tsividis and C. McAndrew, Operation bia SEAS Distinguished Faculty Teaching and Modeling of the MOS Transistor. New one PhD supervision is the Massive York: Oxford Univ. Press, 2004. Award (2007, 2013) and the Columbia Online Open Course, or “MOOC”. Here [2] Y. Tsividis, “Teaching circuits and elec- Presidential Teaching Award (2013). tronics to first-year students,” in Proc. also Yannis is in the forefront of the 1998 IEEE Int. Symp. Circuits and Systems,

IEEE SOLID-STATE CIRCUITS MAGAZINE FALL 2014 55