US010155921B2 (12 ) United States Patent ( 10) Patent No. : US 10 , 155 , 921 B2 Cui ( 45 ) Date of Patent: * Dec. 18 , 2018 (54 ) REMOVAL COMPOSITION FOR (52 ) U .S . CI. SELECTIVELY REMOVING HARD MASK CPC ...... CIID 11/ 0047 ( 2013 .01 ) ; B08B 3 /08 AND METHODS THEREOF (2013 . 01 ); B08B 3 /10 (2013 . 01 ) ; CIID 3 /2082 (2013 . 01 ); ( 71 ) Applicant: EKC TECHNOLOGY INC , Hayward , ( Continued ) CA (US ) (58 ) Field of Classification Search ( 72 ) Inventor: Hua Cui, Castro Valley, CA (US ) CPC ...... C11D 11 /0047 ; COOK 13 /00 (Continued ) ( 73 ) Assignees : E I DUPONT NE NEMOURS AND COMPANY, Wilmington , DE (US ) ; (56 ) References Cited EKC TECHNOLOGY INC . CA (US ) U . S . PATENT DOCUMENTS ( * ) Notice: Subject to any disclaimer, the term of this 6 , 319 , 801 B1 11 /2001 Wake et al . patent is extended or adjusted under 35 7 , 141 , 121 B2 11 /2006 Aoki U . S .C . 154 (b ) by 0 days . ( Continued ) This patent is subject to a terminal dis FOREIGN PATENT DOCUMENTS claimer . CN 103282549 A 9/ 2013 ( 21 ) Appl. No. : 15 / 028, 501 EP 0292057 Al 11 / 1988 ( 22 ) PCT Filed : Oct. 9 , 2014 (Continued ) ( 86 ) PCT No. : PCT/ US2014 / 059840 OTHER PUBLICATIONS $ 371 ( c ) ( 1 ) , International Search Report dated Feb . 18 , 2015 for International (2 ) Date : Apr. 11, 2016 Patent Application No . PCT/ US2014 /059840 . (Continued ) (87 ) PCT Pub . No. : W02015 /054460 Primary Examiner — Gregory E Webb PCT Pub . Date : Apr. 16 , 2015 (74 ) Attorney , Agent, or Firm — Simon L . Xu (65 ) Prior Publication Data ( 57 ) ABSTRACT The present disclosure relates to a removal composition for US 2016 /0312162 A1 Oct 27, 2016 selectively removing an hard mask consisting essentially of TiN , Tan , TiNxOy, TiW , W , Ti and alloys of Ti and W Related U .S . Application Data relative to low - k dielectric material from a semiconductor (63 ) Continuation of application No . substrate . The semiconductor substrate comprises a low - k PCT/ US2013 /074356 , filed on Dec . 11 , 2013 , which dielectric material having a TiN , TAN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask thereon . The removal ( Continued ) composition comprises 0 . 1 wt % to 90 wt % of an oxidizing (51 ) Int. Cl. agent ; 0 .0001 wt % to 50 wt % of a carboxylate ; and the COOK 13 /06 ( 2006 .01 ) balance up to 100 wt % of the removal composition com CIID 11 /00 ( 2006 . 01 ) prising deionized water . ( Continued ) 20 Claims, 4 Drawing Sheets

TINi Soobelpoor too opost sobe US 10 , 155 ,921 B2 Page 2

Related U . S . Application Data 2006 /0115970 A1* 6/ 2006 Lee ...... CIID438 7 /3209 /584 is a continuation of application No . 14 / 103 , 303 , filed 2006 /0154839 A1 7 /2006 Ilardi et al. on Dec . 11, 2013 , now abandoned . 2007 /0060490 A1 * 3 / 2007 Skee ...... C11D 3 /0073 510 / 175 (60 ) Provisional application No .61 / 889 , 968 , filed on Oct . 2008 / 0004197 A1* 1/ 2008 Kneer ...... C11D 1 / 54 11 , 2013 . 510 / 245 2008 /0139436 A1 * 6 / 2008 Reid C11D 3 /044 (51 ) Int. Ci. 510 / 176 2009 / 0099051 AL 4 / 2009 Aoyama et al. GO3F 742 ( 2006 .01 ) 2009 /0131295 A1 * 5 /2009 Cui ...... HO1L 21/ 02063 HOIL 21/ 02 ( 2006 .01 ) 510 / 176 HOIL 21/ 3213 (2006 .01 ) 2009 / 0239777 A1 * 9 /2009 Angst C11D 3 /0084 C23F 1 / 18 ( 2006 .01 ) 510 / 175 C23F 1 / 26 ( 2006 .01 ) 2009/ 0281017 A1 * 11/ 2009 Suzuki ...... GO3F 7 / 425 C23F 1 / 28 ( 2006 .01 ) 510 / 176 C23F 1 /34 ( 2006 . 01) 2010 /0112728 Al * 5 / 2010 Korzenski ...... C09K 13 /08 C23F 1 / 38 ( 2006 . 01 ) 438 / 3 C23F 1 /40 ( 2006 .01 ) 2010 / 0160200 A1* 6 /2010 Seki ...... C11D 1 /008 510 / 175 CIID 3 / 39 ( 2006 .01 ) 2010 /0163788 A1 * 7 /2010 Visintin ...... C11D 1/ 62 ciID 7 / 26 ( 2006 .01 ) 252 / 79 . 3 CIID 732 ( 2006 .01 ) 2010 /0190347 A17 /2010 Ramachandrarao et al. B08B 3 /08 ( 2006 .01 ) 2011/ 0147341 A1 * 6 / 2011 Sato ...... C23F 1 /38 CIID 7 /04 ( 2006 . 01 ) 216 / 13 B08B 3 / 10 ( 2006 . 01 ) 2011/ 0230053 A1 * 9 /2011 Matsuda ...... C23F 1/ 38 438 / 745 CID 3 / 20 ( 2006 .01 ) 2011/ 0275164 A1* 11/ 2011 Visintin ...... HO1L 21/ 02079 HOIL 21/ 768 ( 2006 .01 ) 438/ 4 HOIL 21311 ( 2006 .01 ) 2012 /0153287 A1 6 /2012 Park et al. (52 ) U . S . Ci. 2013 /0157472 A1 6 /2013 Cui CPC ...... CIID 3/ 3942 ( 2013 .01 ) ; CIID 3 /3947 2013 /0171829 Al 7 / 2013 Fitzsimmons et al. ( 2013 .01 ) ; CIID 7/ 04 (2013 .01 ) ; C11D 7 / 265 2013 /0200040 A1 * 8 /2013 Fitzsimmons . .. . HOIL 21 /02063 (2013 .01 ) ; CIID 7 /3209 ( 2013. 01 ) ; CUID 216 / 17 7 /3218 ( 2013 .01 ) ; CIID 7 /3245 (2013 .01 ) ; 2013 /0203231 A1 8 /2013 Fitzsimmons et al. 2013/ 0280916 A1* 10 /2013 Matsuda ...... C23F 1/ 38 CIID 73281 ( 2013 .01 ) ; C23F 1 / 18 ( 2013 .01 ) ; 438 / 745 C23F 1 /26 ( 2013 .01 ); C23F 1 /28 ( 2013 .01 ); 2014 /0128307 A1 * 5 /2014 Chhabra ...... C11D 3 /042 C23F 134 ( 2013 .01 ) ; C23F 1 /38 ( 2013 . 01 ) ; 510 / 175 C23F 1 / 40 ( 2013 .01 ) ; GO3F 7423 ( 2013 .01 ) ; 2015 /0027978 A1* 1 /2015 Barnes ...... CO9K 13/ 00 GO3F 7 /425 ( 2013 .01 ) ; GO3F 7 /426 216 / 13 ( 2013 .01 ) ; HOIL 21/ 02057 ( 2013 .01 ) ; HOIL 21 /02063 ( 2013 .01 ) ; HOIL 21/ 31111 FOREIGN PATENT DOCUMENTS ( 2013 .01 ) ; HOIL 21/ 32134 ( 2013 . 01 ) ; HOIL EP 2234145 A1 9 / 2010 21 /76807 ( 2013 .01 ); HOIL 21/ 76814 EP 2322692 A1 5 / 2011 (2013 .01 ) ; HOIL 21/ 31144 ( 2013 .01 ) JP 2003 - 339509 A 12 / 2003 (58 ) Field of Classification Search JP 2006339509 A 12 /2006 USPC KR 20120051488 A 5 / 2012 510 /175 TW 200505975 A 2 / 2005 See application file for complete search history . TW 200536936 A 11 /2005 TW 201014927 A 4 / 2010 ( 56 ) References Cited TW 201333171 A 8 / 2013 WO 2012 /009639 A2 1 /2012 U . S . PATENT DOCUMENTS Wo 2013 / 101907 A1 7 / 2013 7 ,922 ,824 B2 4 / 2011 Minsek et al. 8 ,080 ,475 B2 12 /2011 RamachandraRao et al . OTHER PUBLICATIONS 2001/ 0003061 A1 6 /2001 Chen et al. 2002/ 0077259 Al * 6 / 2002 Skee ...... C11D 3 / 0073 Steven Verhaverbeke , PH . D . et al ., “ A Model for the Etching of Ti 510 / 175 and TiN in SC - 1 Solutions” , Mat . Res. Soc. Symp. Proc ., vol. 447 , 2002/ 0132745 A1* 9 / 2002 Honda ...... C11D 7 / 10 510 / 175 1997 Materials Research Society . 2005/ 0263743 A1* 12 / 2005 Lee ...... C11D 7 / 3218 International Search Report dated Apr. 23 , 2015 for International 252 / 364 Patent Application No . PCT/ US2013 /074356 . 2006 / 0094613 A1* 5/ 2006 Lee ...... C11D 7 / 32 International Search Report dated Jan . 15 , 2015 for International 510 / 175 Patent Application No. PCT/ US2014 /059848 . 2006 /0094614 A1* 5 /2006 Honda ...... C11D 7 / 10 510 /175 * cited by examiner U . S . Patent Dec. 18, 2018 Sheet 1 of 4 US 10 , 155, 921 B2

goodoBand - Residue

SEXE WWW FIG . 1A

Some . Sooool

FIG . 1B U . S . Patent Dec. 18, 2018 Sheet 2 of 4

TIN

XXXXXX FIG . 2A

FIG . 2B U . S . Patent moDec. 18, 2018 . Sheet 3 of 4 US 10 , 155, 921 B2

2

000 We www w XOXO FIG . 3A

FIG . 3B U . S . Patent Dec. 18, 2018 Sheet 4 of 4 US 10 , 155, 921 B2

FIG . 4B US 10 , 155 , 921 B2

REMOVAL COMPOSITION FOR baking, and /or ashing ) by which it was created , and whether SELECTIVELY REMOVING HARD MASK batch or single wafer removal processes can be used . Some AND METHODS THEREOF residues may be cleaned in a very short period of time, while some residues require much longer removal procedures . CROSS REFERENCE TO RELATED 5 Compatibility with both the low - k dielectric and with the APPLICATIONS/ INCORPORATION BY copper conductor over the duration of contact with the REFERENCE STATEMENT removal composition is a desired characteristic . During back - end - of - line (BEOL ) IC fabrication pro This application claims the benefit of U . S . provisional cesses, i. e . , dual damascene processes , TiN , TAN , TiNxOy, application Ser. No . 61/ 889 , 968 , filed Oct . 11, 2013 , the 10 TiW , Ti , and /or W ( including alloys of Ti and W ) are used entire contents of which are hereby incorporated herein by as an hard mask in the formation of vias and trenches to gain reference . high selectivity to low - k dielectric materials during dry etching steps . Effective removal compositions are required BACKGROUND OF THE DISCLOSURE that can selectively remove the Tin , Tan , TiNxOy, TiW , Ti 15 or W , be compatible with low - k materials , copper, cobalt and The presently disclosed and claimed inventive concept( s ) other dielectric materials , and also simultaneously remove relates to compositions and methods for selectively remov unwanted etching residues and Cu oxide from the resulting ing hard mask and other residues from integrated circuit ( IC ) dual damascene structure . Beyond selective removal, it is device substrates , and , more particularly , to compositions also highly desirable that the achievable removal rate of a and methods useful for selectively removing Tin , TaN , 20 hard mask ( Å /min ) for the removal composition be main TiNxOy, TiW , Ti and W hard mask , and hard masks com tained substantially constant for an extended period of time. prising alloys of the foregoing , as well as other residues with the continuing reduction in device critical dimen from such substrates comprising low - k dielectric materials , sions and corresponding requirements for high production TEOS, copper, cobalt and other low - k dielectric materials efficiency and reliable device performance , there is a need using a carboxylate compound . 25 for such improved removal compositions. Plasma dry etching is commonly used to fabricate vertical sidewall trenches and anisotropic interconnecting vias in SUMMARY copper (Cu ) / low - k dual damascene fabrication processes . As the technology nodes advance to 45 nm and smaller , the The present disclosure relates to an improved semicon decreasing size of the semiconductor devices makes achiev - 30 ductor processing composition , i. e . , a wet cleaning chemis ing critical profile control of vias and trenches more chal- try or removal composition , with one or more carboxylates lenging. Integrated circuit device companies are investigat - which provides highly selective removal of hard mask from ing the use of a variety of hard masks to improve etch a dual damascene structure without damaging wiring met selectivity to low -k materials and thereby gain better profile allurgy and dielectric materials . Semiconductor substrates of control. 35 the type fabricated in dual damascene back end metallization In order to obtain high yield and low resistance , polymer consist of multiple layers or levels of metal interconnects residues on the sidewalls and the particulate / polymer resi- that are isolated by interlayer dielectrics ( Low - k dielectric dues at the via bottoms that are generated during etching material) . The removal composition can remove hard mask must be removed prior to the next process step . It would be etch residues , photoresist, polymeric materials , and copper very beneficial if the removal composition (cleaning solu - 40 oxide from via and trench surfaces without damaging under tion ) can also effectively etch the hard mask to form an lying layers that form the structure . The semiconductor intermediate morphology , e . g . , a pulled -back / rounded mor- substrates typically comprise copper, cobalt , a Low - k dielec phology, or completely remove the hard mask . A pulled tric material( s ), SiON , SiCN , TEOS and a hard mask back /rounded morphology could prevent undercutting the selected from TiN , TAN , TiNxOy, TiW , W , Ti, including hard mask , which , in turn , could enable reliable deposition 45 alloys of Ti and W . The removal composition comprises 0 . 1 of barrier metal, Cu seed layer and Cu filling . Alternatively, wt % to 90 wt % at least one oxidizing agent, 0 .0001 wt % fully removing the hard mask using the same composition to 50 wt % of a carboxylate , with the balance up to 100 wt could offer numerous benefits to downstream process steps, % of the removal composition comprising water , e . g . , deion particularly chemical mechanical polishing (CMP ) , by ized water . eliminating a need for barrier CMP. 50 Following almost every step in the fabrication process , BRIEF DESCRIPTION OF THE DRAWINGS e . g ., a planarization step , a photolithography step , or an etching step , removal (cleaning ) processes are required to FIGS. 1A and 1B are cross - sectional SEM images of remove residues of the plasma etch , photoresist, oxidizer, semiconductor wafer segments which show trenches and abrasive , metal and/ or other liquids or particles that remain 55 vias , respectively , during dual damascene device fabrication , and which can contaminate the surface of the device if they but prior to contact with the removal composition of the are not effectively removed . Fabrication of advanced gen - invention . eration devices that require copper conductors and low - k FIGS. 2A and 2B are cross - sectional SEM images of dielectric materials ( typically - doped silicon oxide semiconductor wafer segments of the type shown in FIGS . ( SiOCH ) , or porous low - k materials ) give rise to the prob - 60 1A and 1B after contact with removal composition 1 from lem that both materials can react with and be damaged by Table 1 at 50° C . for 90 sec . various classes of prior art cleaners . FIGS . 3A and 3B are cross -sectional SEM images of Low -k dielectrics, in particular, may be damaged in the semiconductor wafer segments of the type shown in FIGS. removal process as evidenced by etching , changes in poros - 1A and 1B after contact with removal composition 2 from ity /size , and ultimately changes in dielectric properties . 65 Table 1 at 50° C . for 90 sec . Time required to remove residues depends on the nature of FIGS . 4A and 4B are cross -sectional SEM images of the residue , the process (heating , crosslinking, etching, semiconductor wafer segments of the type shown in FIGS. US 10 , 155 , 921 B2

1A and 1B after contact with removal composition 3 from the etch rate does not decrease as much as when ammonium Table 1 at 53° Detailed C for 90 sec . ethylenediaminetetraacetic acids or a combination of ammo nium carboxylate ( s ) and , amine polycarboxylic DETAILED DESCRIPTION acid , carboxylic acid or polycarboxylic acid chelating agent 5 were not used . It is recognized that various components of the compo - The term “ selectively removing " is intended to mean sitions of this invention may interact , and , therefore , any removing the hard mask without damaging the underlying composition is expressed as the amount of various compo - metal conductor layer (metal interconnect) and Low - k nents which , when added together, form the composition . dielectric material. Low - k dielectric material is any material Unless specifically stated otherwise , any composition given 10 used as a dielectric material in a semiconductor substrate or in percent is percent by weight (wt % ) of that component any microelectronic device where in the dielectric constant that has been added to the composition . When the compo - is less than 3 .5 . Examples of useful Low - k dielectric mate sition is described as being substantially free of a particular rials include but are not limited to : silicon dioxide (SiO2 ) , component, generally there are numeric ranges provided to carbon -doped silicon oxide ( SiOCH ), low -polarity materials guide one of ordinary skill in the art to what is meant by 15 such as organic polymers , hybrid organic , inorganic mate “ substantially free ,” but in all cases “ substantially free ” rials , organosilicate glass (OSG ) , and carbon -doped oxide encompasses the preferred embodiment where the compo (CDO ) glass . The incorporation of porosity , i. e. air - filled sition is totally free of that particular component. pores , in these materials further lowers the dielectric con As noted briefly above , the dual damascene process is stant of the material . used to form metal interconnects in the backend metalliza - 20 Carboxylate tion , which are then used to electrically interconnect various The term “ carboxylate ” is used herein to mean the general electrical components in a semiconductor substrate into formula M (RCOO ) n , where M is a metal and n is 1, 2 , . . . functional circuits . A discussion of backend metallization , is the number of carboxylate esters within the compound which comprises fabrication of multiple levels , or layers , of having the general formula RCOOR ', wherein R and R ' are metal interconnects isolated by an interlayer dielectric 25 organic groups with the proviso that R ' + H . When chemis layer (s ) and /or barrier layer ( s ) can be found , for example , in tries of the type described herein are used in electronic U . S . Pat. No. 8 , 080 ,475 , the teachings of which are incor- device fabrication , such as fabricating IC devices , it is porated herein in their entirety by reference . The integration preferable not to have any metal impurities in the chemical of new materials , such as ultra low - k dielectrics, into micro - composition . In such cases, M is replaced with NH4 + . The electronic devices places new demands on removal perfor - 30 removal composition of the present disclosure selectively mance . Concurrently , shrinking device dimensions reduces removes a hard mask from a semiconductor substrate . The the tolerances for changes in critical dimensions for vias and hard mask consists essentially of TiN , TAN , TiNxOy, TiW , trenches . W , Ti and alloys of Ti and W . The removal composition The described and claimed inventive concept( s ) reside in selectively removes the hard mask relative to the low - k the discovery that selective removal of hard mask from 35 dielectric material of a semiconductor substrate . The semiconductor substrate wherein said hard mask is in over removal composition comprises : lapping relationship with a low - k dielectric material can be ( a ) from 0 . 1 wt % to 90 wt % of an oxidizing agent; accomplished by incorporating into the removal composi- ( b ) from 0 . 0001 wt % to 50 wt % of a carboxylate ; and tion an effective amount of from 0 . 0001 wt % up to 50 wt ( c ) the balance up to 100 wt % of the removal composition % of a carboxylate . In a preferred embodiment, the concen - 40 comprising deionized water . tration of carboxylate is from 0 .001 wt % up to 10 wt % . The presence of a carboxylate in the removal composition Another advantage is the addition of a carboxylate increases increases the etch rate of a metal hard mark at least 8 % when the etch rate of a hard mask selected from TiN , Tan , compared to the same removal composition with out a TiNxOy, TiW , W , Ti, including alloys of Ti and W . carboxylate and in some embodiments , at least 39 % or 43 % Yet another advantage resides in the discovery that ammo - 45 or 50 % or 60 % or 75 or 80 % . In some embodiments , the nium carboxylates in combination with CDTA in removal carboxylate is selected from the group consisting of potas composition of the present disclosure increase etch rate as s ium citrate tribasic monohydrate , potassium sodium tartrate well as stabilize the etch rate . And yet another advantage tetrahydrate , potassium L -Lactate and mixtures thereof. resides in the discovery that ammonium ethylenediaminetet In some embodiments , the carboxylate is an ammonium raacetic acids not only increase the etch rate but also 50 carboxylate . In some embodiments, the ammonium car stabilize the etch rate . boxylate is selected from the group consisting of ammonium The chemicals in a removal composition for removing a oxalate , ammonium lactate , ammonium tartrate . ammonium metal hard mask can decompose over time. The term " sta - citrate tribasic , ammonium acetate , ammonium carbamate , bilize” or “ stabilized ” is used herein to mean that the ammonium carbonate, ammonium benzoate , ammonium achievable etch rate for a hard mask remains substantially 55 ethylenediaminetetraacetic acid , diammonium ethylenedi constant over an extended period of time, e . g . , a time period aminetetraacetic acid , triammonium ethylenediaminetet of from twenty two ( 22 ) hours and up to thirty five ( 35 ) raacetic acid , tetraammonium ethylenediaminetetraacetic hours or longer at the selected operating temperature . For acid , ammonium succinate , ammonium formate , ammonium example , the etch rate of the removal composition after for 1 - H -pyrazole - 3 -carboxylate and mixtures thereof . 2 , 4 , or 8 hrs of use is substantially the same after 20 , 24 or 60 The carboxylate is present in an amount from 0 .0001 wt 35 hrs of use . % to 50 wt % based on the total weight of the removal The term “ substantially constant” is intended to mean that composition . In some embodiments , the carboxylate is pres decomposition over time is minimized or less than if ammo- ent in an amount from 0 .0001 wt % to 25 wt % based on the nium ethylenediaminetetraacetic acids or a combination of total weight of the removal composition . In some embodi ammonium carboxylate( s ) and amino acid , amine polycar- 65 ments , the carboxylate is present in an amount from 0 .0001 boxylic acid , carboxylic acid or polycarboxylic acid chelat - wt % to 10 wt % based on the total weight of the removal ing agent were not used in the removal composition . Thus , composition . In another embodiment, the carboxylate is US 10 , 155 , 921 B2 present in an amount from 0 . 0001 wt % to 0 .6 wt % based (H2O2 ) , n -methylmorpholine oxide (NMMO or NMO ) , on the total weight of the removal composition . In another benzoyl peroxide, tetrabutylammonium peroxymonosulfate , embodiment, the carboxylate is present in an amount from ozone, ferric chloride , permanganate peroxoborate, perchlo 0 . 001 wt % to 50 wt % based on the total weight of the rate , persulfate , ammonium peroxydisulfate , per acetic acid , removal composition . In another embodiment, the carboxy - 5 urea hydroperoxide, nitric acid (HNO3 ) , ammonium chlorite late is present in an amount from 0 . 001 wt % to 10 wt % (NH4C102 ) , ammonium chlorate (NH4C103 ) , ammonium based on the total weight of the removal composition . In yet iodate (NH4103 ) , ammonium perborate (NH4BO3 ) , ammo another embodiment, the carboxylate is present in an nium perchlorate (NH4C104 ) , ammonium periodate amount from 0 . 2 to 0 . 5 wt % based on the totalweight of the (NH4103 ) , ammonium persulfate ( (NH4 ) 2S208 ) , tetram removal composition 10 ethylammonium chlorite ( ( N (CH3 ) 4 ) C102 ) , tetramethylam In yet another embodiment, the ammonium carboxylate is mionium chlorate (( N (CH3 ) 4 )C103 ) , tetramethylammo present in an amount from 0 . 0001 wt % to 50 wt % based nium iodate ( ( N (CH3 ) 4 )103 ) , tetramethylammonium on the total weight of the removal composition . In some perborate (( N (CH3 ) 4 )BO3 ) , tetramethylammonium per embodiments , the ammonium carboxylate is present in an chlorate ( ( N (CH3 ) 4 )C104 ) , tetramethylammonium perio amount from 0 .0001 wt % to 25 wt % based on the total 15 date ( ( N (CH3 ) 4 ) 104 ) , tetramethylammonium persulfate ( ( N weight of the removal composition . In some embodiments , (CH3 ) 4 )S208 ) , ( (CO (NH2 ) 2 )H202 ) , peracetic acid (CH3 the ammonium carboxylate is present in an amount from (COOOH ) , and mixtures thereof. Among the foregoing , 0 .0001 wt % to 10 wt % based on the total weight of the H2O2 is a most preferred oxidizing agent being low con removal composition . In another embodiment, the ammo centration of metals and providing ease of handling and nium carboxylate is present in an amount from 0 . 0001 to 0 . 6 20 lower relative cost . wt % based on the total weight of the removal composition . In one embodiment, the removal composition comprises In yet another embodiment, the ammonium carboxylate is from 0 . 1 wt % to 90 wt % of an oxidizing agent . In another present in an amount from 0 .001 wt % to 50 wt % based on embodiment, the removal composition comprises from 0 . 1 the total weight of the removal composition . In another wt % to 24 wt % of an oxidizing agent. In another embodi embodiment, the ammonium carboxylate is present in an 25 ment, the removal composition comprises from 3 wt % to 24 amount from 0 . 001 wt % to 10 wt % based on the total wt % of an oxidizing agent. weight of the removal composition . In yet another embodi- Acids/ Chelating Agents ment, the ammonium carboxylate is present in an amount The removal composition may also include an amino from 0 . 2 to 0 . 5 wt % based on the total weight of the removal acid , amine polycarboxylic acid ( i. e ., aminopolycarboxylic composition 30 acid ) , and / or carboxylic acid , polycarboxylic acid chelating In some embodiments , the ammonium carboxylate is an agent, or a mixture thereof. The presence of an amino acid , ammonium ethylenediaminetetraacetic acid or mixture amine polycarboxylic acid ( i . e . , aminopolycarboxylic acid ) , thereof. The presence of ammonium ethylenediaminetet - and /or carboxylic acid , polycarboxylic acid chelating agent, raacetic acids in the removal compositions of this disclosure or a mixture thereof in combination with an ammonium not only increased the etch rate of the hard mask but also 35 carboxylate or mixtures of ammonium carboxylates , was operates to stabilize the achievable etch rate over an observed to stabilize the etch rate up to at least 22 hours or extended period of time (up to at least 22 hours and in some even up to 35 hours . embodiments up to at least 35 hours ) . In some embodiments , the removal composition includes In some embodiments , the ammonium ethylenedi - 0 .0005 wt % to 20 wt % of an amino acid , amine polycar aminetetraacetic acids are selected from the group consisting 40 boxylic acid ( i. e ., aminopolycarboxylic acid ), and / or car of ammonium ethylenediaminetetraacetic acid , diammo boxylic acid , polycarboxylic acid chelating agent, or a nium ethylenediaminetetraacetic acid , triammonium ethyl- mixture thereof. In some embodiments , the removal com enediaminetetraacetic acid , tetraammonium ethylenedi position includes 0 .001 wt % to 20 wt % of an amino acid , aminetetraacetic acid and mixtures thereof. amine polycarboxylic acid ( i. e . , aminopolycarboxylic acid ) , In one embodiment, ammonium ethylenediaminetet - 45 and /or carboxylic acid , polycarboxylic acid chelating agent, raacetic acids stabilize etch rate . In some embodiments , or a mixture thereof. In another embodiment, the removal ammonium ethylenediaminetetraacetic acids stabilize TiN composition includes 0 .001 wt % to 10 wt % of an amino etch rate . In some embodiments , ammonium ethylenedi - acid , amine polycarboxylic acid ( i. e ., aminopolycarboxylic aminetetraacetic acids stabilize TiN etch rate such that TiN acid ) , and / or carboxylic acid , polycarboxylic acid chelating etch rate at 50° C . does not drop more than 20 % or 45 Å /min 50 agent, or a mixture thereof. In another embodiment, the at 35 hours . The etch rate at 50° C . of a removal composition removal composition includes 0 .001 wt % to 5 wt % of an without the addition of an ammonium ethylenediaminetet - amino acid , amine polycarboxylic acid ( i. e . , aminopolycar raacetic acid , drops 60 % or 86 Å /min at 35 hours . boxylic acid ) , and / or carboxylic acid , polycarboxylic acid In some embodiments , the ammonium carboxylate is chelating agent, or a mixture thereof. In another embodi tetraammonium ethylenediaminetetraacetic acid . In some 55 ment, the removal composition includes 0 .001 wt % to 1 wt embodiments , tetraammonium ethylenediaminetetraacetic % of an amino acid , amine polycarboxylic acid ( i . e ., amin acid stabilizes the TiN etch rate such that TiN etch rate at 50° opolycarboxylic acid ) , and / or carboxylic acid , polycarbox C . which does not drop more than 20 % or 45 Å /min at 35 ylic acid chelating agent, or a mixture thereof. In another hours . For a removal composition without tetraammonium embodiment, the removal composition includes 0 . 001 wt % ethylenediaminetetraacetic acid , the TiN etch rate at 50° C . 60 to 0 .607 wt % of an amino acid , amine polycarboxylic acid drops 60 % or 86 Å /min at 35 hours. ( i. e ., aminopolycarboxylic acid ), and / or carboxylic acid , Oxidizing Agent polycarboxylic acid chelating agent, or a mixture thereof. Oxidizing agents useful according to the inventive con - Examples of such chelating agents include, but are not cept ( s ) are selected from any substance which has the limited to , 1 , 2 - cyclohexanediamine- N , N , N ', N '- tetraacetic capability to chemically react with the hard mask and effect 65 acid (CDTA ); ethylenediaminetetraacetic acid ; nitrilotri its removal. The removal composition oxidizing agent is acetic acid ; diethylene triamine pentaacetic acid ; 1 , 4 , 7 , 10 selected from the group consisting of hydrogen peroxide tetraazacyclododecane - 1 , 4 , 7 , 10 -tetraacetic acid ; ethylene US 10 , 155 , 921 B2 glycol tetraacetic acid ( EGTA ) ; 1 , 2 -bis ( 0 -aminophenoxy ) does not drop more than 23 Å /min at 24 hours . In some ethane - N , N , N ', NP -tetraacetic acid ; N - { 2 -[ bis ( carboxym embodiments , the addition of a chelating agent stabilizes the ethyl) aminoJethyl ) - N - ( 2 - hydroxyethyl) (HEDTA ) ; TiN etch rate such that TiN hard mask etch rate at 50° C . ethylenediamine - N , N - bis ( 2 - hydroxyphenylacetic acid ) does not drop more than 22 . 5 Å /min at 24 hours . In some ( EDDHA ) ; dioxaoctamethylene dinitrilo tetraacetic acid 5 embodiments , the addition of a chelating agent stabilizes the (DOCTA ) ; and triethylenetetraamine hexaacetic acid ( TTNA ) . TiN etch rate such that TiN etch rate at 50° C . does not drop The addition of 1, 2 - cyclohexanediamine - N , N ,N ', N '- tet more than 20 . 5 Å /min at 24 hours . In some embodiments , raacetic acid to a removal composition having an ammo the addition of a chelating agent stabilizes the TiN etch rate nium carboxylate stabilizes the TiN etch rate up to at least 10 such that TiN etch rate at 50° C . does not drop more than 11 35 hrs . The etch rate at 50° C . of a removal composition Å /min at 24 hours . having ammonium carboxylate without 1 , 2 -cyclohexanedi Metal Corrosion Inhibitor amine - N , N , N ' , N '- tetraacetic acid may decrease 48 % or even Although not required for carrying out the invention , at 54 % after 35 hours . Whereas if 0 . 2 to 0 . 8 wt % of 1 , 2 least one corrosion inhibitor may also be present in the cyclohexanediamine - N . N . N ' N ' -tetraacetic acid is added the 15 removal composition , for example , where the removal com the ammonium carboxylate removal composition TiN etch position is to be deployed in semiconductor processing at rate at 50° C . decreases 8 % or less and in one embodiment BEOL applications and other applications where corrosion decreases 0 . 4 % . When stability of a removal composition of copper or other metal components is a concern . The having an ammonium carboxylate is important, 1 , 2 -cyclo - presence of a corrosion inhibitor is needed to protect metal hexanediamine -N ,N , N ', N '- tetraacetic acid can be added to 20 surfaces from being etched or otherwise degraded . For other the removal composition . The amount of 1 , 2 - cyclohexane - applications , including FEOL applications, of the inventive diamine - N , N , N ', N '- tetraacetic acid can be tailored to composition and associated method, a corrosion inhibitor ( s ) achieve the desired stability . is not generally needed , i. e . , copper or cobalt, is not exposed In one embodiment, 1 , 2 - cyclohexanediamine - N , N , N , N - to the removal chemistry , copper or cobalt is absent from the tetraacetic acid is present in an amount from 0 . 0005 to 20 wt 25 wafer substrate , or slight etching/ degradation of copper or % based on the total weight percent of the removal compo - cobalt surfaces is not usually a concern . sition . In one embodiment, 1, 2 - cyclohexanediamine- N , N , The metal ( copper or cobalt ) corrosion inhibitor is an N ', N ' -tetraacetic acid is present in an amount from 0 .0005 to organic compound , such as an azole , thiol, and/ or indole 10 wt % based on the total weight percent of the removal preferably selected from the group consisting of a hetero composition . In one embodiment, 1 , 2 - cyclohexanediamine - 30 cyclic compound containing at least one atom , such N , N , N ', N '- tetraacetic acid is present in an amount from as , for example , a pyrrole and derivatives thereof, pyrazole 0 .001 to 10 wt % based on the total weight percent of the and derivatives thereof, imidazole and derivatives thereof, removal composition . In another embodiment, 1 , 2 - cyclo - triazole and derivatives thereof, indazole and derivatives hexanediamine - N , N , N , N '- tetraacetic acid is present in an thereof , and thiol- triazole and derivatives thereof, benzotri amount from 0 .001 to 5 wt % . In another embodiment , 35 azole (BTA ), tolyltriazole , 5 -phenyl - benzotriazole , 5 - nitro 1 , 2 -cyclohexanediamine - N , N , N , N - tetraacetic acid is pres - benzotriazole , 3 - amino - 5 -mercapto - 1 , 2 , 4 - triazole , 1 - amino ent in an amount from 0 .001 to 1 wt % . In another embodi- 1 , 2 , 4 -triazole , hydroxybenzotriazole , 2 - ( 5 - amino - pentyl) ment, 1 , 2 - cyclohexanediamine - N , N , N ', N ' - tetraacetic acid is benzotriazole , 1 - amino - 1 , 2 , 3 - triazole , l - amino - 5 -methyl - 1 , present in an amount from 0 .001 wt % to 0 .607 wt % . 2 , 3 - triazole , 3 - amino - 1 , 2 , 4 - triazole , 3 -mercapto - 1 , 2 , 4 In some embodiments , a removal composition comprising 40 triazole , 3 -isopropyl - 1 , 2 , 4 -triazole , 5 - phenylthiol ( a ) 0 . 1 wt % to 90 wt % at least one oxidizing agent, benzotriazole , halo -benzotriazoles (halo = F , C1, Br or I ) , (b ) 0 .0001 wt % to 50 wt % of an ammonium carboxylate ; naphthotriazole , 2 -mercaptobenzimidazole (MBI ) , 2 -mer ( c ) 0 .001 wt % to 20 wt % of an amino acid , an aminopo captobenzothiazole , 4 -methyl - 2 -phenylimidazole , 2 -mer lycarboxylic acid , a carboxylic acid , a polycarboxylic acid , captothiazoline , 5 -aminotetrazole , 5 -aminotetrazole mono or a mixture thereof selected from the group consisting of 45 hydrate , 5 - amino - 1 , 3 , 4 - thiadiazole - 2 - thiol, 2 , 4 - diamino - 6 1 , 2 - cyclohexanediamine - N , N , N ', N '- tetraacetic acid ; ethyl methyl- 1 , 3 , 5 - triazine , thiazole , triazine , methyltetrazole , enediaminetetraacetic acid ; nitrilotriacetic acid ; diethylene 1 , 3 -dimethyl - 2 - imidazolidinone, 1 , 5 -pentamethylenetetra triamine pentaacetic acid , 1 , 4 , 7 , 10 - tetraazacyclododecane - zole, 1 -phenyl - 5 -mercaptotetrazole , diaminomethyltriazine , 1 , 4 , 7 , 10 -tetraacetic acid ; ethylene glycol tetraacetic acid imidazoline thione , mercaptobenzimidazole , 4 -methyl -4H ( EGTA ) ; 1 , 2 - bis ( o - aminophenoxy ) ethane - N , N , N ', N '- tet - 50 1 , 2 , 4 -triazole - 3 - thiol , 5 -amino - 1 , 3 , 4 -thiadiazole - 2 - thiol, raacetic acid ; N - { 2 - [bis ( carboxymethyl) aminoJethyl) - N - ( 2 - benzothiazole , and mixtures thereof. Among the foregoing , hydroxyethyl glycine (HEDTA ); and ethylenediamine - N , benzotriazole , pyrazole , or a mixture of benzotriazole and NP-bis (2 -hydroxyphenylacetic acid ) (EDDHA ) ; pyrazole , or a mixture of benzotriazole and tolyltriazole dioxaoctamethylene dinitrilo tetraacetic acid ; and triethyl - (available commercially from Wincom , Inc . under the name enetetraamine hexaacetic acid ( TTNA ); and 55 “ Wintrol A - 90 ” ), are preferred copper corrosion inhibitors ( d ) the balance up to 100 wt % of the removal composition for better removal performance . comprising deionized water stabilize the etch rate up to at The copper or cobalt corrosion inhibitor or mixture least 35 hours . In some embodiments, stabilize TiN etch rate thereofmay be present in the composition at from 0 . 0001 wt up to at least 35 hours . And in some embodiments , stabilize % to 50 wt % . In another embodiments , the copper or cobalt TiN etch rateup to at least 35 hours at a selected operating 60 corrosion inhibitor or mixture thereof is present in an temperature . In some embodiments , the selected operating amount from 0 .0001 wt % to 10 wt % . In some embodi temperature is from 20 to 60° C . In another embodiment, the ments , the copper or cobalt corrosion inhibitor or mixture selected operating temperature is between and including any thereof is present in an amount from 0 . 5 to 0 . 9 wt % . I In two of the following temperature : 20 , 30 , 45 , 50 , 53 and 60° some embodiments , the copper or cobalt corrosion inhibitor 65 or mixture thereof is present in an amount from 0 . 18 to 0 . 8 In some embodiments , the addition of a chelating agent wt % . In another embodiment, the copper or cobalt corrosion stabilizes the TiN etch rate such that TiN etch rate at 50° C . inhibitor or mixture thereof is present in an amount from US 10 , 155 , 921 B2 10 0 .18 to 0 .65 wt % . Other suitable copper or cobalt corrosion ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; inhibitors include , but are not limited to aromatic hydrazides (b ) 0 .0001 wt % to 50 wt % of a carboxylate ; and Schiff base compounds. (c ) 0 .0005 wt % to 20 wt % of 1 , 2 -cyclohexanediamine - N , In some embodiments , the composition can contain one or N , N ', N ' - tetraacetic acid ; and more cosolvents that are miscible with water . Cosolvents 5 ( d ) the balance up to 100 wt % of the removal composition enhance residue removal. Suitable cosolvents include , but comprising deionized water. are not limited to , sulfolane , N -methylpyrrolidone , and In one embodiment, the removal composition for selec dimethylsulfoxide. tively removing an hard mask consisting essentially of TiN , pH Adjustment TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to The composition may also include a base or an acid , as 10 low - k dielectric material from a semiconductor substrate appropriate , to adjust the pH of the working composition . which comprises the low - k dielectric material having a TiN , The base can , for example , be selected from the group TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask consisting of quaternary ammonium salts , such as tetram - thereon , the removal composition comprising : ethylammonium hydroxide ( TMAH ), tetraethylammonium ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; hydroxide ( TEAH ) , benzyltrimethylammonium hydroxide 15 ( b ) 0 .0001 wt % to 50 wt % of a carboxylate ; (BTAH ) and mixtures thereof. The base can also be selected ( c ) a base and mixtures thereof; or an acid and mixtures from the group consisting of primary , secondary and tertiary thereof ; or a mixture of base and acid ; and amines , such as , for example , monoethanol amine (MEA ) , ( d ) the balance up to 100 wt % of the removal composition diglycol amine (DGA ), triethanolamine ( TEA ), tetrabuty - comprising deionized water. phosphonium hydroxide ( TBPH ), and mixtures thereof. In 20 In one embodiment, the removal composition for selec some embodiments, the base can be a combination of tively removing an hard mask consisting essentially of TiN , quaternary ammonium salts and amines. Suitable acids TAN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to include , for example , are selected from the group consisting low - k dielectric material from a semiconductor substrate of inorganic acids , such as sulfuric acid , nitric acid , phos - which comprises the low - k dielectric material having a TiN , phoric acid , hydrofluoric acid (HF ), or hydrobromic acid ; 25 TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask organic acids, such as a carboxylic acid , an amino acid , a thereon , the removal composition comprising : hydroxy carboxylic acid , a polycarboxylic acid , or a mixture (a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; of such acids. The pH of the working composition should be (b ) 0 .0001 wt % to 50 wt % of a carboxylate ; maintained at a value of from 2 to 14 , but preferably in the ( c ) 0 . 0001 to 50 wt % of a metal corrosion inhibitor or a range of from 3 to 12 . As noted above , when used in BEOL 30 mixture of metal corrosion inhibitors ; and Cu interconnect fabrication applications, the preferred pH of ( d ) the balance up to 100 wt % of the removal composition the working composition is in the range of from 5 to 11 when comprising deionized water . hydrogen peroxide is used as oxidizer in order to achieve In one embodiment , the removal composition for selec high etch rates . tively removing an hard mask consisting essentially of TiN , In one embodiment, the removal composition for selec - 35 TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to tively removing an hard mask consisting essentially of Tin , low - k dielectric material from a semiconductor substrate TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to which comprises the low - k dielectric material having a TiN , low - k dielectric material from a semiconductor substrate TAN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask which comprises the low - k dielectric material having a TiN , thereon , the removal composition comprising : TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask 40 ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; thereon , the removal composition comprising : (b ) 0 .0001 wt % to 50 wt % of a carboxylate ; ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; ( c ) 0 .0005 wt % to 20 wt % of an amino acid , amine ( b ) 0 . 0001 wt % to 50 wt % of a carboxylate ; polycarboxylic acid ( i. e . , aminopolycarboxylic acid ) , and /or ( c ) the balance up to 100 wt % of the removal composition carboxylic acid , polycarboxylic acid chelating agent, or a comprising deionized water. 45 mixture thereof ; In one embodiment, the removal composition for selec - ( d ) a base and mixtures thereof; or an acid and mixtures tively removing an hard mask consisting essentially of TiN , thereof; or a mixture of base and acid ; and TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to (e ) the balance up to 100 wt % of the removal composition low - k dielectric material from a semiconductor substrate comprising deionized water . which comprises the low - k dielectric material having a TiN , 50 In one embodiment, the removal composition for selec Tan , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask tively removing an hard mask consisting essentially of TiN , thereon , the removal composition comprising : TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; low - k dielectric material from a semiconductor substrate ( b ) 0 .0001 wt % to 50 wt % of a carboxylate ; which comprises the low - k dielectric material having a TiN , ( c ) 0 . 0005 wt % to 20 wt % of an amino acid , amine 55 TAN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask polycarboxylic acid ( i. e ., aminopolycarboxylic acid ) , and /or thereon , the removal composition comprising : carboxylic acid , polycarboxylic acid chelating agent, or a (a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; mixture thereof; and (b ) 0 .0001 wt % to 50 wt % of a carboxylate ; ( d ) the balance up to 100 wt % of the removal composition ( c ) 0 .0005 wt % to 20 wt % of an amino acid , amine comprising deionized water. 60 polycarboxylic acid ( i . e . , aminopolycarboxylic acid ) , and / or In one embodiment, the removal composition for selec - carboxylic acid , polycarboxylic acid chelating agent, or a tively removing an hard mask consisting essentially of Tin , mixture thereof ; TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to ( d ) 0 .0001 to 50 wt % of a metal corrosion inhibitor, low - k dielectric material from a semiconductor substrate ( e ) a base and mixtures thereof; or an acid and mixtures which comprises the low - k dielectric material having a TiN , 65 thereof ; or a mixture of base and acid ; and TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask (f ) the balance up to 100 wt % of the removal composition thereon , the removal composition comprising : comprising deionized water . US 10 , 155 ,921 B2 11 12 In one embodiment , the removal composition for selec TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask tively removing an hard mask consisting essentially of TiN , thereon , the removal composition comprising: TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; low -k dielectric material from a semiconductor substrate (b ) 0 .0001 wt % to 50 wt % of an ammonium tartrate ; which comprises the low - k dielectric material having a TiN , 5 ( c ) 0 . 0005 wt % to 20 wt % of 1 , 2 - cyclohexanediamine - N , TaN , TiNxOy , TiW , W , Ti or alloy of Ti or W hard mask N , N , N - tetraacetic acid (CDTA ) ; thereon , the removal composition comprising : ( d ) 0 .0001 to 50 wt % of a metal corrosion inhibitor or a ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; mixture of metal corrosion inhibitors ; ( b ) 0 .0001 wt % to 50 wt % of a carboxylate ; ( e ) a base and mixtures thereof ; or an acid and mixtures ( c ) 0 . 0005 wt % to 20 wt % of 1, 2 - cyclohexanediamine- N , 10 thereof; or a mixture of base and acid ; and N . N ', N '- tetraacetic acid ; ( f) the balance up to 100 wt % of the removal composition ( d ) 0 . 0001 to 50 wt % of a metal corrosion inhibitor or a comprising deionized water . mixture of metal corrosion inhibitors ; and In one embodiment, the removal composition for selec ( e ) the balance up to 100 wt % of the removal composition 15 tively removing an hard mask consisting essentially of TiN , comprising deionized water. TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to In one embodiment, the removal composition for selec - low -k dielectric material from a semiconductor substrate tively removing an hard mask consisting essentially of Tin , which comprises the low - k dielectric material having a TiN , TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask low - k dielectric material from a semiconductor substrate 20 thereon , the removal composition comprising: which comprises the low - k dielectric material having a TiN , ( a ) 0 . 1 wt % to 90 wt % of hydrogen peroxide ; Tan , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask ( b ) 0 .0001 wt % to 50 wt % of an ammonium carboxylate ; thereon , the removal composition comprising : and ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; ( c ) the balance up to 100 wt % of the removal composition ( b ) 0 .0001 wt % to 50 wt % of a carboxylate ; 25 comprising deionized water. ( c ) 0 . 0005 wt % to 20 wt % of 1 , 2 - cyclohexanediamine - N , In one embodiment , the removal composition for selec N , N ', N '- tetraacetic acid ; tively removing an hard mask consisting essentially of TiN , ( d ) 0 . 0001 to 50 wt % of a metal corrosion inhibitor or a TAN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to mixture of metal corrosion inhibitors ; low - k dielectric material from a semiconductor substrate ( e ) a base and mixtures thereof; or an acid and mixtures 30 which comprises the low - k dielectric material having a TiN , thereof; or a mixture of base and acid ; and TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask ( f) the balance up to 100 wt % of the removal composition thereon , the removal composition comprising : comprising deionized water. ( a ) 0 . 1 wt % to 90 wt % of hydrogen peroxide ; And further , the removal composition in accordance with (b ) 0 .0001 wt % to 50 wt % of an ammonium carboxylate ; any of the above embodiments , wherein the carboxylate is 35 ( c ) 0 .0005 wt % to 20 wt % of 1 , 2 - cyclohexanediamine - N , an ammonium carboxylate . The ammonium carboxylate is N , N ', N ' -tetraacetic acid ; and selected from the group consisting of ammonium oxalate , ( d ) the balance up to 100 wt % of the removal composition ammonium lactate , ammonium tartrate . ammonium citrate comprising deionized water . tribasic , ammonium acetate , ammonium carbamate , ammo- In one embodiment, the removal composition for selec nium carbonate , ammonium benzoate , ammonium ethylene - 40 tively removing an hard mask consisting essentially of TiN , diaminetetraacetic acid , diammonium ethylenediaminetet- TAN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to raacetic acid , triammonium ethylenediaminetetraacetic acid , low - k dielectric material from a semiconductor substrate tetraammonium ethylenediaminetetraacetic acid , ammo- which comprises the low - k dielectric material having a TiN , nium succinate , ammonium formate , ammonium 1 - H -pyra - Tan , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask zole - 3 - carboxylate and mixtures thereof 45 thereon , the removal composition comprising : In one embodiment, the removal composition for selec - ( a ) 0 . 1 wt % to 90 wt % of hydrogen peroxide ; tively removing an hard mask consisting essentially of TiN , (b ) 0 .0001 wt % to 50 wt % of an ammonium carboxylate ; TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to (c ) 0 .0005 wt % to 20 wt % of 1 , 2 - cyclohexanediamine- N , low -k dielectric material from a semiconductor substrate N , N , N - tetraacetic acid ; which comprises the low - k dielectric material having a TiN , 50 ( d ) from 0 . 0001 to 50 wt % of a metal corrosion inhibitor or TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask a mixture of metal corrosion inhibitors ; and thereon , the removal composition comprising : ( e ) the balance up to 100 wt % of the removal composition ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; comprising deionized water . (b ) 0 .0001 wt % to 50 wt % of an ammonium carboxylate ; In one embodiment, the removal composition for selec ( c ) 0 . 0005 wt % to 20 wt % of 1, 2 - cyclohexanediamine - N , 55 tively removing an hard mask consisting essentially of TiN , N , N ', N '- tetraacetic acid ; TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to ( d ) 0 .0001 to 50 wt % of a metal corrosion inhibitor or a low - k dielectric material from a semiconductor substrate mixture of metal corrosion inhibitors ; which comprises the low - k dielectric material having a TiN , ( e ) a base and mixtures thereof ; or an acid and mixtures Tan , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask thereof; or a mixture of base and acid ; and 60 thereon , the removal composition comprising : ( f ) the balance up to 100 wt % of the removal composition ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent ; comprising deionized water. ( b ) 0 .0001 wt % to 50 wt % of an ammonium ethylenedi In one embodiment, the removal composition for selec aminetetraacetic acid ; tively removing an hard mask consisting essentially of TiN , ( c ) 0 .0001 to 50 wt % of a metal corrosion inhibitor or a TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to 65 mixture of metal corrosion inhibitors; low - k dielectric material from a semiconductor substrate ( d ) a base and mixtures thereof; or an acid and mixtures which comprises the low - k dielectric material having a Tin , thereof ; or a mixture of base and acid ; and US 10 , 155 , 921 B2 13 14 ( e) the balance up to 100 wt % of the removal composition Method comprising deionized water . Removal composition is applied in any suitable manner to In one embodiment, the removal composition for selec - the semiconductor substrate . Contact or contacting the semi tively removing an hard mask consisting essentially of TiN , conductor substrate is intended to include spraying, dipping , TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to 5 using a pad or applicator that has the removal composition low - k dielectric material from a semiconductor substrate absorbed thereon or any other suitable manner of contacting which comprises the low - k dielectric material having a Tin , the semiconductor substrate with a removal composition . TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask In one embodiment, a method for selectively removing an thereon , the removal composition comprising : hard mask consisting essentially of Tin , Tan , TiNxOy , TiW , ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; 10 W , Ti, including alloys of Ti or W , relative to underlying ( b ) 0 .0001 wt % to 50 wt % of tetraammonium ethylenedi- Low - k , Cu , Co , SiON , SICN , and TEOS materials from a aminetetraacetic acid ; semiconductor substrate having a TiN , TAN , TiNxOy, TiW , ( c ) 0 .0001 to 50 wt % of a metal corrosion inhibitor or a W , or Ti hard mask thereon , including an hard mask com mixture of metal corrosion inhibitors; prising alloys of Ti or W , wherein the method comprises ( d ) a base and mixtures thereof; or an acid and mixtures 15 contacting the semiconductor substrate with a removal com thereof; or a mixture of base and acid ; and position comprising: ( e ) the balance up to 100 wt % of the removal composition ( a ) 0 . 1 wt % to 90 wt % at least one oxidizing agent ; comprising deionized water. ( b ) 0 . 0001 wt % up to 50 wt % of a carboxylate ; and In one embodiment, the removal composition for selec ( c ) the balance up to 100 wt % of the removal composition tively removing an hard mask consisting essentially of TiN , 20 comprising deionized water. TaN , TiNxOy, TiW , W , Ti and alloys of Ti and W relative to In some embodiments , the method for selectively remov low -k dielectric material from a semiconductor substrate ing an hard mask consisting essentially of TiN , TAN , which comprises the low - k dielectric material having a TiN , TiNxOy, TiW , W , Ti, including alloys of Ti or W , relative to TaN , TiNxOy, TiW , W , Ti or alloy of Ti or W hard mask underlying Low - k , Cu , Co , SiON , SICN , and TEOS mate thereon , the removal composition comprising : rials from a semiconductor substrate having a TiN , TAN , ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; TiNxOy, TiW , W , or Ti hard mask thereon , including an hard ( b ) 0 .0001 wt % to 50 wt % of tetraammonium ethylenedi- mask comprising alloys of Ti or W , wherein the method aminetetraacetic acid ; comprises contacting the semiconductor substrate with a ( c ) 0 .0005 wt % to 20 wt % of 1 , 2 -cyclohexanediamine - N , removal composition comprising : N , N ', N '- tetraacetic acid ; 30 ( a ) 0 . 1 wt % to 90 wt % at least one oxidizing agent ; ( d ) 0 . 0001 to 50 wt % of a metal corrosion inhibitor or a ( b ) 0 . 0001 wt % up to 50 wt % of an ammonium mixture of metal corrosion inhibitors; carboxylate ; and ( e ) a base and mixtures thereof; or an acid and mixtures ( c ) the balance up to 100 wt % of the removal composition thereof; or a mixture of base and acid ; and comprising deionized water . ( f ) the balance up to 100 wt % of the removal composition 35 In some embodiments , the method for selectively remov comprising deionized water . ing an hard mask consisting essentially of TiN , TAN , Kit TiNxOy, TiW , W , Ti, including alloys of Ti or W , relative to Another embodiment of the present disclosure is a kit underlying Low - k , Cu , Co , SiON , SICN , and TEOS mate including one or more containers comprising one or more rials from a semiconductor substrate having a TiN , TAN , components adapted to form the removal composition . In 40 TiNxOy, TiW , W , or Ti hard mask thereon , including an hard some embodiments the kit includes in one or more contain mask comprising alloys of Ti or W , wherein the method ers , comprising at least one carboxylate and deionized water comprises contacting the semiconductor substrate with a for combining with an oxidizing agent at the point or removal composition comprising : fabrication or the point of use . In another embodiment, the ( a ) from 0 . 1 wt % to 90 wt % at least one oxidizing agent; kit includes in one or more containers , comprising at least 45 ( b ) from 0 . 0001 wt % up to 50 wt % of an ammonium one carboxylate ; deionized water ; at least one copper cor - carboxylate selected from the group consisting of ammo rosion inhibitor; and optionally comprising a base , a acid or n ium oxalate , ammonium lactate , ammonium tartrate . mixture thereof for adjusting the pH ; and optionally com ammonium citrate tribasic , ammonium acetate, ammonium prising at least one cosolvent for combining with an oxidiz - carbamate , ammonium carbonate , ammonium benzoate , ing agent at the point or fabrication or the point of use . In 50 ammonium ethylenediaminetetraacetic acid , diammonium another embodiment, the kit includes in one or more con - ethylenediaminetetraacetic acid , triammonium ethylenedi tainers , comprising at least one carboxylate ; deionized aminetetraacetic acid , tetraammonium ethylenediaminetet water ; at least one amino acid , amine polycarboxylic acid raacetic acid , ammonium succinate , ammonium formate , ( i. e ., aminopolycarboxylic acid ) , and /or carboxylic acid , ammonium 1 - H -pyrazole - 3 -carboxylate and mixtures polycarboxylic acid chelating agent; and optionally com - 55 thereof ; and prising a base, a acid or mixture thereof for adjusting the pH ; ( c ) the balance up to 100 wt % of the removal composition and optionally comprising at least one cosolvent for com - comprising deionized water . bining with an oxidizing agent at the point or fabrication or In some embodiments in accordance with any of the the point of use . In another embodiment, the kit includes in above methods, the removal composition may additionally one or more containers, comprising at least one carboxylate ; 60 comprise at least one metal corrosion inhibitor . In some deionized water , at least one copper corrosion inhibitor, at embodiments in accordance with any of the above methods , least one amino acid , amine polycarboxylic acid ( i . e ., amin - the removal composition may additionally comprise from opolycarboxylic acid ), and /or carboxylic acid , polycarbox 0 .001 wt % to 20 wt % of an amino acid , an aminopoly ylic acid chelating agent; optionally comprising a base , a carboxylic acid , a carboxylic acid , a polycarboxylic acid , or acid or mixture thereof for adjusting the pH ; and optionally 65 a mixture thereof selected from the group consisting of comprising at least one cosolvent for combining with an 1 , 2 -cyclohexanediamine - N , N , N ', N '- tetraacetic acid ; ethyl oxidizing agent at the point or fabrication or the point of use . enediaminetetraacetic acid ; nitrilotriacetic acid ; diethylene US 10 , 155 , 921 B2 15 16 triamine pentaacetic acid ; 1 , 4 , 7 , 10 - tetraazacyclododecane single wafer tool applications at a temperature range of from 1 , 4 , 7 , 10 -tetraacetic acid ; ethylene glycol tetraacetic acid 20° C . to 60° C ., and the TiN , TAN , TiNxOy, TiW , W , Ti , ( EGTA ) ; 1 , 2 -bis ( o - aminophenoxy ) ethane - N , N , N ', N '- tet including alloys of Ti and W , hard mask can be fully raacetic acid ; N - { 2 - [bis (carboxymethyl ) amino )ethyl ) - N - ( 2 - removed with single wafer application process equipment if hydroxyethyl) glycine (HEDTA ) ; and ethylenediamine - N , 5 so desired . N '- bis ( 2 - hydroxyphenylacetic acid ) (EDDHA ) ; In some embodiments, the removal composition is at a dioxaoctamethylene dinitrilo tetraacetic acid (DOCTA ) ; and temperature from 20 to 45 , 50 , 53 or 60° C . and at a pH from triethylenetetraamine hexaacetic acid ( TTNA ) . In some 2 to 14 . In some embodiments , the removal composition is embodiments in accordance with any of the above methods, at a temperature from 20 to 45 , 50 , 53 or 60° C . and at a pH the removal composition may additionally comprise at least 10 from 5 to 12 . one base, at least one acid or mixture thereof; wherein the In some embodiments , the removal composition is at a base is selected from the group consisting of quaternary temperature from 20 , 30 or 45 to 50 , 53 or 60° C . and at a ammonium salts , primary amines , secondary amines, ter - pH from 2 to 14 . tiary amines; and wherein the acid is selected from the group In some embodiments , the removal composition is at a consisting of inorganic acids, organic acids or mixtures 15 temperature from 20 , 30 or 45 to 50 , 53 or 60° C . and at a thereof. pH from 5 to 12 . In some embodiments in accordance with any of the The removal composition has an etch rate that is stabi above methods , the removal composition may additionally lized up to at least 35 hours at a selected operating tem comprise at least one base at least one acid or mixture perature . In some embodiments , the selected operating tem thereof, wherein the base is selected from tetramethylam - 20 perature is from 20 to 45 , 50 , 53 or 60° C . monium hydroxide ( TMAH ) , tetraethylammonium hydrox - In a preferred embodiment, the concentration of ammo ide (TEAH ) , benzyltrimethylammonium hydroxide nium carboxylate is from 0 .001 wt % up to 50 wt % . The (BIAH ), monoethanolamine (MEA ) , diglycol amine compositions of the invention are effective in selectively ( DGA ) , triethanolamine (TEA ) , tetrabutyphosphonium removing an hard mask consisting essentially of TiN , TAN , hydroxide ( TBPH ) , and mixtures thereof and the acid is 25 TiNxOy, TiW , W , Ti, including alloys of Ti and /or W , selected from the group consisting of inorganic acids, relative to Low -k , Cu , Co , SiON , SICN , and TEOS materials organic acids or mixtures thereof. from a semiconductor substrate comprising said low - k In some embodiments , the method for removing a hard dielectric material and having a TiN , TAN , TiNxOy, TiW , W , mask consisting essentially of Tin , Tan , TiNxOy , TiW , W , Ti, including alloys of Ti and / or W , hard mask thereon . In Ti and alloys of Ti and W from a semiconductor substrate , 30 addition , the composition is also functional in simultane the method comprising contacting the semiconductor sub ously removing photoresist , polymeric materials , etching strate with a removal composition comprising : residues and copper oxide from the substrate . ( a ) 0 . 1 wt % to 90 wt % at least one oxidizing agent , The compositions and method according to the inventive ( b ) 0 . 0001 wt % to 50 wt % of a carboxylate ; concepts described herein are particularly applicable for ( c ) the balance up to 100 wt % of the removal composition 35 processing single wafers in single wafer equipment. When a comprising deionized water high TiN etch rate is required , a common approach is to In some embodiments , the method additionally comprises process wafers at high process temperatures . However, the heating the removal composition up to 60° C . Heating higher temperatures are known to contribute to degradation the removal composition can occur prior to contacting the of the oxidizing agent which shortens bath life and pot life . semiconductor substrate or after. In some embodiments , the 40 It has been observed according to the inventive concepts method comprises contacting the semiconductor substrate described herein that satisfactory results can be achieved at with a removal composition for at least 2 minutes at a substantially lower temperatures in the range of from 20° C . temperature from 20 to 45 , 50 , 53 or 60° C . In some to 60° C . to generate a pullback scheme or to completely embodiments , the method comprises contacting the semi- remove the hard mask when the hard mask comprises TiN . conductor substrate with a removal composition for at least 45 2 minutes at a temperature up to 60° C . EXAMPLES A composition formulated according to the present dis closure and exhibiting an inherently high etch rate for TiN , Removal compositions according to the invention are now TaN , TiNxOy , TiW , W , Ti , including alloys of Ti and W , explained in detail by reference to the inventive concepts enables processing at relatively low temperature , e . g . , tem - 50 and examples which follow , but the present invention is not peratures less than 65° C . A relatively low temperature limited by these examples and the results shown for each process exhibits a reduced oxidizer decomposition rate , test. Compositions of the invention may be embodied in a which , in turn , extends the useful composition bath life and wide variety of specific formulations, as hereinafter more pot life . Additionally, compositions according to the inven - fully described . In all such compositions, wherein specific tion which exhibit high and selective etch rates for TiN , TAN , 55 components of the composition are discussed in reference to TiNxOy, TiW , W , Ti, including alloys of Ti and W , are weight percentage ranges including a zero lower limit , it will desirable because they can reduce device processing time be understood that such components may be present or and thereby increase throughput. Typically, high etch rates absent in various specific embodiments of the composition , for TiN , TAN , TiNxOy, TiW , W , Ti , including alloys of Ti and and that in instances where such components are present, W , have been achieved by increasing process temperatures. 60 they may be present at concentrations as low as 0 . 0001 wt However , for single wafer process applications, the highest % , based on the total weight of the composition in which processing temperature is around 75° C ., which , in turn , can such components are employed . limit the upper end of etch rates for TiN , and thereby limit In the examples which follow , 100 g . samples of removal the ability for one to completely remove TiN hard mask from compositions were prepared according to the inventive a dual damascene structure . Compositions according to the 65 concept ( s ) described herein . Each sample composition com invention can effectively deliver high etch rates for Tin , prised each of the components listed in the various tables TaN , TiNxOy , TiW , W , Ti, including alloys of Ti and W , with which follow at the weights shown in the corresponding US 10 , 155 , 921 B2 17 18 formulation row . For example , a 100 g . quantity of sample Compositions 1 , 2 and 3 demonstrated a removal rate for composition designated “ 1 ” shown in Table 1 contained 2 g . TiN in the range of from 178 Å /min up to 340 Å /min at a of 10 % aqueous ammonium tartrate , 7. 21 g. of 10 % aqueous DGA , 12. 43 g . of 1. 5 % aqueous BTA , 60 g. H202 (30 % relatively low temperature in the range of from 50° C . to 53° aqueous) , and 18 . 36 g . deionized water ( DIW ) . 5 C . A copper etch rate of less than 3 Å /min is considered good The removal compositions can be formulated at the point of for commercial wafer processing . use , or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where Referring now to the Figs. , FIGS . 1A and 1B are SEM the oxidizer is added . There is also no particular sequence images of semiconductor wafer segments which show for mixing or blending the various ingredients . 10 trenches and vias, respectively , as received following a dual Blank wafers for determining etch rate were purchased as damascene fabrication step , but before treatment with a follows: Cu blank wafer — from Silicon Valley Microelectronics, Inc ., removal composition . FIGS. 2A and 2B are views of the Co blank wafer — from Silicon Valley Microelectronics , Inc. , wafer segments , similar to the wafer segments shown in TiN blank wafer — from Silyb wafer services , 15 FIGS. 1A and 1B , after contact with removal composition 1 W — from Silicon Valley Microelectronics, Inc . TEOS — from Silicon Valley Microelectronics , Inc for 90 sec . at a temperature of 50° C . Residue was removed , BDII and BDIII blank wafers — from DK Nanotechnology but some TiN hard mask remained as noted in FIG . 2A . TiN , Cu , Co , W and TEOS Etch Rate FIGS. 3A and 3B are views of wafer segments , similar to the Etch rate evaluations were carried out after 1 and 2 20 wafer segments shown in FIGS. 1A and 1B , after contact minutes of chemical treatment for TiN and 10 minutes for Cu , Co , W , and TEOS at the temperature noted in each with removal composition 2 for 90 sec . at a temperature of example . TiN , Cu , Co , and W thicknesses were measured 50° C . wherein TiN hard mask and residue have been using a Four Dimensions Four Point Probe Meter 333A , completely removed . FIGS . 4a and 4B are views of wafer whereby the resistivity of the film was correlated to the 25 segments , similar to the wafer segments shown in FIGS . 1A thickness of the film remaining after contact with the com - and 13 . after contact with removal composition 3 for 90 sec . position of the invention . The TEOS thickness was mea sured with Auto SE Spectroscopic Ellipsometer by at a temperature of 53° C . TiN hard mask and residue have HORIBA JOBIN YVON . The etch rate was calculated as the been completely removed . thickness change (before and after chemical treatment) 30 The compositions shown in Table 2 were prepared using divided by the chemical treatment time. Chemical solution pH was measured with a Beckman 260 pH / Temp/ mV meter . deionized water as the solvent, BTA as Cu corrosion inhibi The H , O , used in the experiments was sourced from J. T . tor, H2O2 as the oxidizing agent, and tetramethylammonium Baker. Residue removal efficiency and TiN hard mask etch hydroxide ( TMAH ) as the base to adjust pH . TiN and Cu wereThe evaluated compositions from shownSEM results in Table (Hitachi 1 were Sprepared -9300 ). using. 35 etch rate evaluations were carried out as described above at deionized water as the solvent, BTA or a mixture of BTA and a temperature of 60° C . and a pH of about 7. 8 . pyrazole as Cu corrosion inhibitor, H2O2 as the oxidizing Each of the removal compositions, which contain , respec agent, and diglycolamine ( DGA ) or benzyltrimethylammo nium hydroxide (BTAH ) as the base to adjust pH . TiN and 40 tively , the ammonium lactate, ammonium tartrate , ammo Cu etch rate evaluations were carried out as described above nium carbonate , and ammonium citrate tribasic at the at a temperature of 50° C . and a pH of about 8 . amounts indicated , demonstrated a higher TiN etch rate TABLE 1 Benzyltrimeth Ammonium ylammonium Tartrate DGA Hydroxide BTA H2O2 Test ( 10 % ) ( 10 % ) (40 % ) Pyrazole (1 . 5 % ) ( 30 % DIW pH TIN ( Å /mm ) Cu (Å /min ) 7 .210 0 0 12 .43 60 18 . 36 8 .4 178 (50° C .) 1. 3 (50° C .) 3 . 010 0 0 . 5 10 70 13 . 49 7 .6 209 ( 50° C . ) 1 . 9 (50° C .) 3 .5 0 .000 2 .564 0. 2 11. 25 60 V 22 .49 8 .4 340 (53° C .) 2 .5 (53° C .)

compared with the corresponding control, composition 4 , that did not contain an ammonium carboxylate . TABLE 2 Ammonium Ammonium Ammonium Ammonium Citrate Lactate Tartrate Carbonate Tribasic BTA TMAH H2O2 TIN ( Å /min ) Cu( Å /min ) Test ( 10 % ) ( 10 % ) ( 10 % ) ( 10 % ) ( 1 . 5 % ) (25 % ) DIW ( 30 % ) pH at 60° C . at 60° C . 4 0 0 0 0 12 0 .816 27. 18 60 7. 8 215 0 .98 1 .632 0 .409 25 . 96 60 7 . 8 404 1 . 61 6 0 2 . 807 0 0 12 0 . 818 24 .38 60 7 . 8 464 1 . 33 US 10 , 155 , 921 B2 19 20 TABLE 2 - continued Ammonium Ammonium Ammonium Ammonium Citrate Lactate Tartrate Carbonate Tribasic BTA TMAH HO , TIN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) ( 10 % ) (10 % ) (10 % ) ( 1 . 5 % ) ( 25 % ) DIW ( 30 % ) pH at 60° C . at 60° C . 1 .46 12 0 . 305 26 .24 60 7 . 8 432 1 .61 3 . 706 12 0 . 869 23 .43 60 7 . 8 436 1 .84

The formulations shown in Table 3 were prepared , and TiN 10 and Cu etch rate evaluations were carried out as described above at a temperature of 50° C . and pH of 8 . The removal compositions demonstrated a higher TiN etch rate and similar copper etch rate when compared to the control, 15 composition 9 , that did not contain an ammonium carboxy late . TABLE 3 Saturated Tetrabutyl Ammonium Ammonium Ammonium phosphonium Carbonate Acetate Oxalate BTA Hydroxide????xide H , 02 TiN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) ( 10 % ) ( 5 . 5 % ) ( 1 . 5 % ) (40 % ) DIW ( 30 % ) pH at 50° C . at 50° C . 9 0 1 . 592 26 .41 60 8 68 0 . 99 10 1 . 46 12 0 . 998 25 .54 60 8 170 0 .94 11 1 . 172 12 1 . 575 25 . 25 6018 150 1 . 28 12 1 . 887 12 1 . 530 24 . 58 154 1 . 17

The formulations shown in Table 4 were prepared using 30 DGA to adjust the pH , and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C . and pH of 8 . The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to 35 the control, composition 13 , that did not contain an ammo nium carboxylate . TABLE 4 Saturated Ammonium Ammonium Ammonium Carbonate Acetate Oxalate ??? DGA H , O , TiN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) ( 10 % ) ( 5 . 5 % ) ( 1 . 5 % ) (10 % ) DIW (30 % ) pH at 50° C . at 50° C . 13 0 0 12 2 .645 25 . 36 60 7 . 9 98 0 .33 14 1 . 46 U 1 . 790 24 . 75 60 8 .0 147 1 . 62 15 1 . 172 12 2 .601 24 . 23 60 8 . 0 146 0 . 2 16 0 1 . 887 12 2 .502 23 .61 60 7 . 9 140 0 . 83

The formulations shown in Table 5 were prepared using so the control, composition 17 , that did not contain an ammo TMAH to adjust the pH , and BTA was used as the copper nium carboxylate . TABLE 5 Saturated Ammonium Ammonium Ammonium Carbonate Acetate Oxalate BTA TMAH H2O2 TiN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) ( 10 % ) (5 . 5 % ) ( 1 . 5 % ) (25 % ) DIW ( 30 % ) pH at 50° C . at 50° C . 17 12 0 .975 27 .03 608 99 0 . 12 18 1 . 46 12 0 .611 25 . 93 608 200 1 . 85 0 1 . 172 12 0 . 866 25 . 96 608 191 1 . 08 20 0 1 . 887 12 0 .828 25 . 29 60 8 197 1 . 53 corrosion inhibitor. TiN and Cu etch rate evaluations were The formulations shown in Table 6 were prepared using carried out as described above at a temperature of 50° C . and 65 benzyltrimethylammonium hydroxide (BTAH ) to adjust the pH of 8 . The removal compositions demonstrated a higher pH , and BTA was used as the copper corrosion inhibitor. TiN TiN etch rate and a similar Cu etch rate when compared to and Cu etch rate evaluations were carried out as described US 10 , 155 , 921 B2 21 above at a temperature of 50° C . and pH of about 8 . The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21, that did not contain an ammonium carboxy late . TABLE 6 Saturated Benzyltrimeth Ammonium Ammonium Ammonium ylammonium Carbonate Acetate Oxalate ??? Hydroxide H , O , TiN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) ( 10 % ) (5 .5 % ) ( 1 . 5 % ) ( 40 % ) DIW ( 30 % ) pH at 50° C . at 50° C . 12 1 . 127 26 . 87 60 8 100 0 . 7 ??? 1 .46 12 0 . 744 25 . 80 60 8 178 0 .63 1 . 172 1 .078 25 .75 60 8 174 0 .54 24 1 . 887 1 . 036 25 . 08 608 164 0 .75

The formulations shown in Table 7 were prepared using tetraethylammonium hydroxide ( TEAH ) to adjust the pH , and BTA was used as the copper corrosion inhibitor. TiN and 20 Cu etch rate evaluations were carried out as described above at a temperature of 50° C . and pH of 8 . The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, compo sition 25 , that did not contain an ammonium carboxylate . TABLE 7 Saturated Ammonium Ammonium Ammonium Ammonium Citrate Ammonium Carbonate Acetate Oxalate Tribasic Tartrate BTA TEAH HO TiN ( Å /min ) Cu ( Å /min ) Test (10 % ) ( 10 % ) ( 5 . 5 % ) ( 10 % ) ( 10 % ) ( 1. 5 % ) ( 20 % ) DIW (30 % ) pH at 50° C . at 50° C . 25 2 . 46 25 . 54 94 0 . 39 26 1 . 46 1 . 64 24 .90 214 0 . 92 27 1 . 172 2 .66 24 . 17 218 0 . 01 28 1 .887 2 . 74 23 . 37 197 - 0 .72 29 3 . 706 2 .60 21 .69 60 235 - 0 . 46 30 2 . 807 12 2 .53 22 .66 60 209 0 . 03

The formulations shown in Table 8 were prepared using nium tartrate had significant effect on TEOS removal rate DGA to adjust the pH , but no copper corrosion inhibitor was when compared to the control, composition 31 . TABLE 8 Saturated Ammonium Ammonium Ammonium Ammonium Ammonium Carbonate Acetate Oxalate Lactate Tartrate DGA H2O2 TiN ( Å /min ) TEOS ( Å /min ) Test ( 10 % ) ( 10 % ) ( 5 . 5 % ) ( 10 % ) ( 10 % ) (10 % ) DIW (30 % ) pH at 50° C . at 50° C .

O 2 . 65 37 . 35 7 .93 87 0 . 01 1 . 46 0 O 1 . 52 37 .02 7 . 94 161 0 . 2 1 . 172 O 165 ???????? 2 . 37 36 .46 60 7 .90 - 0 . 1 1 . 887 O 2 . 38 35 .73 60 7 . 90 144 0 . 61 1 .632 0 . 94 37 .43 60 7 .91 181 0 . 48 QAWN 2 . 807 2 . 1935 . 00 60 7 . 91 179 0 .07 used . TiN and TEOS removal rate evaluations were carried 55 The formulations shown in Table 9 were prepared without out as described above at a temperature of 50° C . and pH of the use of a pH adjustment agent. The Cu corrosion inhibitor about 8 . The removal compositions demonstrated a higher TiN etch rate when compared to the control, composition 31 , used was Wintrol A - 90 , a commercial mixture of BTA and that did not contain an ammonium carboxylate . tolyltriazole . The desired TiN and Cu etch rates and pH were The presence of the ammonium carbonate , ammonium 60 obtained by varying hydrogen peroxide and ammonium acetate , ammonium oxalate , ammonium lactate and ammo nium tartrate at concentrations of from 1. 46 wt % to less than carboxylate concentrations. In these examples, several car 3 wt % operate to provide the removal compositions of the boxylates in various concentrations were used . Hydrogen invention with the capability to deliver very high TiN etch peroxide concentration was either 20 wt % or 80 wt % . The rates at relatively low temperature , e . g ., 50° C . It is note worthy according to the described and claimed inventive 65 formulation pH ' s ranged from a low of pH 4 . 3 up to pH 8 . 3 , concepts that none of the ammonium carbonate , ammonium and the TiN etch rate , i . e . , the removal rate , ranged from a acetate , ammonium oxalate , ammonium lactate or ammo - low of 11 X /min up to 228 Å /min . US 10 , 155 , 921 B2 23 24 TABLE 9 Tetra Ammonium Ammonium Ammonium ammonium Ammonium Ammonium Carbonate Acetate Tartrate EDTA Oxalate Lactate Wintrol HO TiN ( Å /min ) Cu ( Å /min ) Test ( 10 % ) (10 % ) (10 % ) ( 10 % ) ( 10 % ) ( 10 % ) A - 90 DIW ( 30 % ) pH at 50° C . at 50° C . 37 1 . 46 0 . 2 78 . 3 20 8 . 3 92 0 . 38 38 0 . 2 74 . 8 20 6 . 0 23 2 . 27 39 0 .2 59 . 8 8 . 3 116 2 . 19 40 1 . 172 OOOO 0 . 2 78 . 6 20 6 . 2 25 0 .89 41 0 . 35 0 . 2 79 . 5 20 5 . 1 11 0 . 13 42 1 .632 0. 2 78.2 2079 . 73 0 . 11 43 OOOOO 1 . 46 0 .2 18 . 3 80 7 . 1 228 1 . 00 44 1. 172 0 . 2 18 .6 80 5 . 5 82 0 . 41 45 0 . 1925 0 . 2 19 . 8 80 4 . 3 45 1 .63 46 0000000Ouro 1 .632 0 . 2 18 . 2 80 6 . 4 173 0 .60 The formulations shown in Table 10 were prepared with tartaric acid , or TMAH , or without any pH adjustment agent. Wintrol A - 90 was used as a Co corrosion inhibitor. In these examples , several carboxylates in various concentrations 20 were used . Hydrogen peroxide concentration ranged from 10 wt % to 80 wt % . The formulation pH ranged from a low of pH 5 up to pH 10 . The Co etch rate was insignificant in all cases ( i. e . , the highest Co etch rate was 1 .48 Å /min ) . TABLE 10 Ammonium Tartrate Ammonium Ammonium Tartaric dibasic Lactate Carbonate Wintrol acid TEAH H , O , ( 10 % ) ( 10 % ) ( 10 % ) A - 90 ( 10 % ) ( 20 % ) DIW ( 30 % ) pH Co ( ' / min )

47 0 1 .632 0 . 2 0 .585 0 37 . 6 UU 5 .00 1. 48 48 2 .807 0 0 .2 0 . 1 36 . 9 60 6 . 50 0 . 21 490 1 . 632 0 . 2 1 . 9 56 . 3 40 8 .04 - 0 . 48 2 . 807 0 . 2 6 . 25 80 . 7 10 10 .00 0 .51 1 . 46 0 .2 0 18 . 3 80 7 . 11 - 0 . 16 2 . 807 0 .2 0 2 . 842 74 . 2 9 .00 0 .49

The results shown in Table 11 demonstrate that a mixture corrosion inhibitor. Carboxylates used were potassium cit of ammonium lactate and ammonium tartrate in removal 40 rate tribasic monohydrate , potassium sodium tartrate tetra composition 54 exhibited a higher TiN etch rate when hydrate , and potassium L - lactate in compositions 56 , 57 and compared to the control, composition 53, which containedlea 58 , respectively. Each of these compositions demonstrated a no ammonium carboxylate . higher TiN etch rate and a similar Cu etch rate when TABLE 11 Ammonium Ammonium Lactate Tartrate BTA TMAH H2O2 TiN ( Å /min ) Cu ( Å /min ) Co ( Å /min ) Test (10 % ) ( 10 % ) ( 1 .5 % ) (25 % ) DIW ( 30 % ) pH at 50° C . at 50° C . at 50° C . 53 12 1 . 28 26 .72 608 135 - 0 . 1 0 . 3 54 0 .041 0 . 702 12 1 . 49 25 . 77 60 8 203 0 . 2 0 . 8

The formulations shown in Table 12 were prepared using compared to the control, composition 55 , that did not TMAH to adjust the pH , and BTA was used as copper contain a carboxylate . TABLE 12 Potassium Potassium Citrate Sodium Tribasic Tartrate Potassium Monohydrate Tetrahydrate L - Lactate BTA TMAH H2O2 TiN ( Å /min ) Cu ( Å /min ) Test ( 100 % ) ( 100 % ) (60 % ) ( 1 . 5 % ) ( 25 % ) DIW ( 30 % ) pH at 50° C . at 50° C . 55 12 1 . 266 26 .73 60 8 . 0 110 0 . 1 56 0 .4944 0 O 12 1 . 194 26 . 31 8 .0 192 1 . 5 0 . 432 12 1 . 266 26 . 30 60 8 . 0 175 - 0 . 1 58 0 0 . 3256 12 1 . 252 26 .42 60 8 . 0 167 0 . 1 US 10 , 155 , 921 B2 25 26 The results shown in Table 13 indicate that at ammonium carboxylate concentrations as low as 0 . 001 % , removal com positions 60 through 63 exhibited higher TiN etch rates and similar Cu and Co etch rates when compared to the control, composition 59. TABLE 13 Ammonium Ammonium Ammonium Ammonium Citrate Lactate Tartrate Carbonate Tribasic BTA TMAH H202 TiN ( Å /min ) Cu ( Å /min ) Co ( Å /min ) Test ( 100110 % ) ( 10 % ) ( 10 % ) ( 10 % ) ( 1 . 5 % ) (25 % ) DIW ( 30 % ) pH at 50° C . at 50° C . at 50° C . 12 1 . 30 26 . 70 60 86 0 . 3 0 . 7 0 . 01 O 1 .69 26 . 30 93 0 . 2 0 . 6 0 .01 Oooo 12 1 . 51 26 .48 60 107 0 . 0 0 . 6 0 .01 12 1 . 53 26 . 46 60 119 0 . 4 0 .6 0 . 01 12 1 . 37 26 .62 60 102 0 . 1 0 .4

The results shown in Table 14 demonstrate that at an ammonium acetate concentration of 50 wt % , removal 30 composition 65 exhibited a higher TiN etch rate and similar Cu and Co etch rates when compared to the control, com position 64 , which contained no ammonium carboxylate . TABLE 14 Ammonium Acetate BTA DGA H2O2 TiN (Å /min ) Cu ( Å /min ) Co ( Å /min ) Test ( 100 % ) ( 1. 5 % ) (10 % ) DIW (30 % ) pH at 30° C . at 30° C . at 30° C . 64 12 1 .060 56 . 94 30 7 . 8 8 0 . 3 0 . 1 65 50 12 4 . 450 3 .55 30 7 . 8 18 1 . 6 0 . 3

Tungsten ( W ) Etch Rate The formulations shown in Table 15 were prepared , and W ( tungsten ) etch rate evaluations were carried out at tempera - 35 tures of 45° C . and 55° C . as described above in connection with TiN removal. TABLE 15 Saturated Ammonium Ammonium Ammonium Ammonium Tartaric Carbonate Acetate Oxalate Tartrate DGA TMAH Acid H202 W ( Å /min ) Test ( 10 % ) ( 10 % ) ( 5 . 5 % ) ( 10 % ) (10 % ) ( 25 % ) ( 10 % ) DIW (30 % ) pH at 45° C . 66 0 2 .645 0 .00 37 . 4 60 7 .9 173 67 1 . 46 1 . 790 OOO 0 . 00 36 . 8 60 8 .0 401 1. 172 2 . 601 0 . 00 36 . 2 60 8 . 0 444 a 1 . 887 2 . 502 0 . 00 35 . 6 60 7 . 9 361 70 0 . 000 19 . 517 0 . 00 20 . 5 60 11 . 1 365 0 .000 20 .272 0 . 00 16 . 7 60 11 . 1 771 WOWOOOOO 0 . 000 0 . 42 40 . 0 60 3. 9 751 (55º C .) 0 . 000 0 .48 36 . 5 60 39 . 1046 (55° C . )

Table 15 demonstrates the presence of ammonium car - removal compositions of the invention remained constant) boxylate at a concentration of 1 . 172 wt % to 3 wt % and at as follows: 1200 gram stock solutions were prepared and a pH ranging from about 4 to slightly higher than 11 was 55 maintained at 50° C . 150 gram samples were removed from shown to significantly increase the W removal rate when the heated stock solution and used for TiN and Cu etch rate compared to the corresponding ammonium carboxylate - free and pH studies at specific times at 50° C . The samples were control compositions 66 , 70 and 72 at the same pH . discarded after each etch rate measurement. Removal Composition Stability Removal compositions were prepared according to the Pot life is a measure of the ability of the removal 60 described and claimed inventive concept( s ) wherein ammo composition formula to perform optimally over time and nium tartrate was selected as the ammonium carboxylate at without significant variation in functionality over time. Pot a concentration of 0 .3 wt % . 1 ,2 -cyclohexanediamine -N ,N , life is a strong function of temperature . After many hours of N ', N '- tetraacetic acid ( CDTA ) was selected as the aminopo treatment at high temperature , the chemicals in the mixture lycarboxylic acid chelating agent in formulation 74 , 75 , and can decompose and the formula will lose functionality . Pot 65 no chelating agent ( CDTA ) was included in the control life studies were conducted to confirm the period of time formulation 76 . The compositions are shown in Table 16 . during which , and the extent to which , the etch rates of the Results are shown in Table 17 . US 10 , 155 ,921 B2 27 28 TABLE 16 Ammonium Tartrate CDTA TEAH BTA H202 Test ( 10 % ) Pyrazole ( 100 % ) ( 20 % ) ( 1 . 5 % ) DIW ( 30 % ) pH 0 . 200 3 . 122 12 . 120 21 . 258 74 ??? 0 . 3 8 .07 75 0 . 3 0 . 607 5 . 482 12 . 120 18 . 491 8 . 05 76 ?? 0 . 3 0 . 000 2 .220 12 . 120 22 . 36 60 8 . 15

10 TABLE 17 TIN ( Å /min ) Cu ( Å /min ) Test Time ( hr) at 50° C . at 50° C . 14 168 . 1 0 . 12 15 75 157 . 0 0 .03 76 219 . 0 - 0 .02 166 . 0 0 . 53 143 . 7 0 . 46 211. 2 0 . 45 163. 7 1 .42 155 . 5 0 . 90 20 203. 6 0 .62 74 159 . 4 0 . 77 157 . 4 1 . 11 76 166 . 6 - 0 .02 14 156 . 6 0 . 53 75 156 . 4 1 .47 25 99 . 9 0 .52 The data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75 , the TiN etch rate remained stable , i. e ., substantially constant, over a period of 30 22 hours . The initial TiN etch rate was 157 Å /min , and it remained at 156 . 4 Å /min for composition 75 over a 22 hour period . For composition 74 the initial TiN etch rate was 168. 1 Å /min and remained at 156 .6 Å /min over a 22 hour period . In composition 76 , without CDTA , the TiN etch rate declined from an initial etch rate of 219 Å /min to an etch rate of 99 .9 Å /min at 22 hours. TABLE 18 Ammonium Tartrate CDTA TEAH BTA H2O2 Test (10 % ) Pyrazole (100 % ) (20 % ) ( 1 . 5 % ) DIW (30 % ) pH 2 . 5 0 . 300 0 . 500 5 . 108 12 . 0 19 .59 60 7 . 9 78 2 . 5 0 .300 0 .000 2 .210 12. 0 22 .99 60 7 . 9

TABLE 19 The data presented in Table 19 demonstrates that with CDTA in removal compositions 77 , the TiN etch rate TiN ( Å /min ) n ) 50 remained stable , i. e . , substantially constant, over a period of Test Time (hr ) at 50° C . at 50° C . 35 hour. The initial TiN etch rate was 174 X / min , and it is at 160 A /min for composition 77 at 35 hour period . In 174 2 . 20 composition 78 without CDTA , the TiN etch rate declined 212 1 . 59 from an initial etch rate of 212 Å /min to an etch rate of 110 178 1 . 49 55 A /min at 35 hours . 194 0 . 83 Removal compositions were prepared according to the 170 1 .70 described and claimed inventive concept( s ) wherein Tet 180 1 . 49 raammonium ethylenediaminetetraacetic acid , Triammo 171 1 . 77 nium ethylenediaminetetraacetic acid and Diammonium eth 150 1 . 24 ylenediaminetetraacetic acid was selected as the ammonium 163 1 . 25 o carboxylate at a concentration of specified in Table 20 . 118 0 . 59 1 , 2 - cyclohexanediamine - N , N , N ', N ' -tetraacetic acid (CDTA ) 159 0 .93 was selected as the aminopolycarboxylic acid chelating 109 0 .58 agent in formulation 79 , 80 and 81 . The compositions are 160 1 . 07 shown in Table 20 . 110 0 .88 65 Samples were taken from the removal compositions at intervals of 0 , 4 , 8 , 24 , 28 , 32 and 35 hours to measure TiN and Cu etch rates. Results are shown in Table 21 . US 10 ,155 , 921 B2 29 30 TABLE 20 Tetra Tri Di ammonium ammonium ammonium EDTA EDTA EDTA TEAH BTA CDTA H202 Test ( 10 % ) ( 10 % ) (10 % ) ( 20 % ) ( 1 . 5 % ) (100 % ) DIM ( 30 % ) pH 79 3 . 25 0 4 .781 12 0 . 5 19 .47 60 7 . 9 80 3 . 1928 5 . 470 12 0 . 5 18 . 84 60 7 . 9 21 0 3 .035 5 . 888 12 0 . 5 18 .58 60 7 . 9

TABLE 21 TABLE 23 TiN ( Å /min ) at Cu ( Å /min ) Time TIN ( Å /min ) Cu ( Å /min ) Test Time ( hr ) 50° C . Test at 50° C . at 50° C . at 50° C . IS 79 192 2 . 24 42 - 0 . 13 181 0 . 21 1 . 73 0 .04 167 1 . 27 0 . 13 184 2 .59 - 0 . 17 182 1 . 76 20 0 . 09 160 1 . 82 0 . 53 79 190 1 .85 0 . 88 182 2 . 26 37 1 . 20 166 1 . 36 0 . 39 169 - 0 . 38 1. 33 0 . 59 161 1 . 27 25 0 . 54 156 1 . 99 #7mmtm 0 . 43 174 0 . 66 ONWO 0 .81 164 0 . 22 0 . 44 157 1 .61 0 . 88 173 1 .68 1 . 08 167 1 . 59 30 36 0 . 23 154 1 .46 45 1 . 22 176 1 . 65 1 .21 171 1 . 23 87 160 1 . 60 The data presented in Table 23 demonstrates that with 35 0 . 001 % and 0 . 005 % of CDTA in removal compositions 82 and 83 , respectively, the TiN etch rate remained stable , i . e . , The data presented in Table 21 demonstrates that with substantially constant , over a period of 35 hours . The initial CDTA in removal compositions 79, 80 and 81, the TiN etch TiN etch rate was 42 Å /min , and it remained at 36 Å /min for rate remained stable , i. e . , substantially constant, over a composition 82 over a 35 hour period ( 16 .67 % reduced TiN period of 35 hours . The initial TiN etch rate was 192 A /min , 40 etch rate ) . For composition 83 . the initial TiN etch rate was and it is at 176 A /min for composition 79 over a 35 hour 48 Å /min and remained at 45 Å /min over a 35 hour period period . For composition 80 , the initial TiN etch rate was 181 (6 . 3 % reduced TiN etch rate ). For comparison , control Å /min and is at 171 A /min over a 35 hour period . For formulation 84 without CDTA , the initial TiN etch rate was composition 81 the initial TiN etch rate was 167 Å /min and 47 Å /min , and it is at 30 Å /min for over a 35 hour period is at 160 Å /min over a 35 hour period . 45 which shows a 36 % reduced TiN etch rate . CDTA stabilizes TiN etch rate . Removal compositions were prepared according to the The formulations shown in Table 24 were prepared using described and claimed inventive concept( s ) wherein ammo TEAH to adjust the pH , and BTA was used as copper nium tartrate was selected as the ammonium carboxylate at corrosion inhibitor. CDTA was used to stabilize TiN etch a concentration of 0 . 3 wt % . 1 , 2 - cyclohexanediamine - N , N , 50 rate . N ' , N ' - tetraacetic acid ( CDTA ) was selected as the aminopo A pot life study of Table 24 formulations were conducted lycarboxylic acid chelating agent in formulation 82 and 83 . according to the method described above . Samples were The compositions are shown in Table 22 . Samples were taken at intervals of 0 , 4 , 8, 24 , 28 , 32 and 35 hours to taken from the removal compositions at intervals of 0 , 4 , 8 , measure TiN and Cu etch rates and pH . Results are shown 24 , 28 , 32 and 35 hours to measure TiN and Cu etch rates. 55 in Table 25 . Results are shown in Table 23 . TABLE 24 TABLE 22 ( NH4 ) 2 ( NH4 ) 2 60 Tartrate Tartrate dibasic TEAH ??? CDTA H2O2 dibasic Pyr- TEAH BTA CDTA H2O2 Test (10 % ) ( 20 % ) ( 1 . 5 % ) ( 100 % ) DIW (30 % ) pH Test ( 10 % ) azole ( 20 % ) ( 1 . 5 % ) (100 % ) DIW ( 30 % ) pH 85 2 .5 18 .910 12 3 .59 60 7 . 84 3 0 . 3 0 .404 12 . 12 0 . 001 74 . 18 10 8 . 0 86 2 .5 13 . 189 12 2 10. 31 60 7. 84 83 ????? 0 .3 0 .632 12 . 12 0 . 005 73 . 94 10 8 . 2 2 . 5 7 .518 12 1 16 .98 60 7 . 84 84 3 0 . 3 0 . 387 12 . 12 0 74 . 19 10 8 . 0 65 88 2 . 5 1 . 974 OPNw0 23. 53 7 . 84 US 10 , 155 ,921 B2 31 32 TABLE 25 TABLE 26

Time TiN (Å /min ) Cu ( Å /min ) Tetra Test (hr ) at 50° C . at 50° C . ammonium 170 1 .79 EDTA DGA BTA H202 170 1 . 96 Test (10 % ) Pyrazole (10 % ) ( 1 . 5 % ) DIW (30 % ) pH 178 1 . 84 233 1 . 78 89 6 .0 0 . 300 1. 740 12 .12 19. 84 60 7 . 9 167 1 . 68 900 0 . 0 .300 3 . 360 12 . 12 24 . 22 60 7 . 9 170 0 . 33 173 0 . 57 10 196 1 . 21 165 1 . 75 167 1 . 81 TABLE 27 171 0 . 78 190 0 . 64 TiN ( Å /min ) Cu ( Å /min ) 156 1 . 70 Test Time ( hr ) at 50° C . at 50° C . 160 1 . 71 168 1 . 78 89 224 2 . 07 151 1 .01 90 143 0 . 90 153 2 . 51 223 1 . 15 156 2 . 39 127 0 .47 168 1 . 21 225 1 . 96 144 0 . 96 20 112 1 . 44 151 2 . 74 219 0 . 88 155 2 . 56 96 0 . 28 166 1 . 75 192 0 . 96 137 1 . 32 90 75 0 .78 159 2 . 90 89 190 1 . 36 158 2 .41 25 90 64 0 . 55 166 1 . 84 89 179 0 .73 136 0 .82 90 0 . 36 The data presented in Table 25 demonstrate that with 1 % , Table 27 demonstrates that with tetraammonium ethyl 2 % and 3 % CDTA in removal compositions 85 , 86 and 87 , 30 ene respectively , the TiN etch rate remained stable , i. e ., substan enediaminetetraacetate in removal composition 89, the TiN tially constant, over a period of 35 hours . The initial TiN etch rate remained stable , i .e ., remained substantially con etch rate was 170 Å /min , and it remained at 159 Å /min for stant, over a period of thirty five (35 ) hours . The initial TiN composition 85 at a 35 hour period . For composition 86 , the of etch rate was 224 Å /min , and it is at 179 Å /min at thirty five initial TiN etch rate was 170 Å /min and remained at 158 35 (35 ) hour (20 % TiN etch rate drop after 35 hours ) . In Å /min at 35 hour period . For composition 87 , the initial TiN composition 90 , without Tetraammonium ethylenedi etch rate was 178 Å /min and remained at 166 Å /min at 35 aminetetraacetate , the etch rate dropped from an initial rate hour period . For comparison , control formulation 88 without CDTA , the initial TiN etch rate was 233 Å /min , and it is at 40 of 143 Å /min to a rate of 57 Å /min after 35 hours ( 60 % TiN 136 Å /min for over a 35 hour period . CDTA stabilizes Tin etch rate drop after 35 hours) . Tetraammonium ethylenedi etch rate . aminetetraacetate stabilizes TiN etch rate . The formulations shown in Table 26 were prepared using The formulations in Table 28 were prepared using DGA DGA to adjust the pH , and BTA was used as copper to adjust pH . BTA was used as copper corrosion inhibitor. corrosion inhibitor. Tetraammonium ethylenediaminetet The ammonium carboxylate selected was tetraammonium raacetic acid was used to stabilize the TiN etch rate . A pot life study of Table 26 formulations were conducted EDTA . The results shown in Table 28 indicate that Tetraam according to the method described above. Samples were monium ethylenediaminetetraacetate in removal composi taken at intervals of 0 , 2 , 4 , 8 , 24 , 28 and 35 hours to measure 50 tion 81 exhibited a higher TiN etch rate when compared to TiN and Cu etch rates and pH . Results are shown in Table the control, composition 82 , which contained no ammonium 27. carboxylate . TABLE 28

Tetra ammonium DGA EDTA BTA H2O2 TiN ( Å /min ) Cu( Å /min ) Test Pyrazole (10 % ) (10 % ) (1 . 5 % ) DIW (30 % ) at 50°C . at 50° C . PH 91 0 . 3 1 . 740 6 . 0 12. 12 19 .84 60 233 1. 97 7. 9 ( 921 ) 0 . 3 3. 360 0. 0 12 .12 24 . 22 60 1 34 0. 18 7. 9 US 10 , 155 , 921 B2 33 34 TABLE 29 tetramethylammionium chlorate ( ( N ( CH3) 4 ) C103) , tetram ethylammonium iodate ( ( N (CH3 ) 4 ) IO3 ) , tetramethylammo Time TIN ( Å /min ) Cu ( Å /min ) nium perborate ( ( N (CH3 ) 4 )BO3 ), tetramethylammonium (hr ) at 50° C . at 50° C . perchlorate ( ( N (CH3 ) 4 ) C104 ) , tetramethylammonium 233 2 .07 5 periodate ( (N (CH3 ) 4 )104 ), tetramethylammonium persul 134 0 . 90 fate ( ( N (CH3 ) 4 ) S208 ) , ( (CO (NH2 ) 2 )H2O2 ) , peracetic acid 232 1 . 15 (CH3 (COOOH ) , and mixtures thereof; and 119 0 . 47 234 1 . 96 the carboxylate is selected from the group consisting of 105 1 . 44 potassium citrate tribasic monohydrate , potassium 228 0 .88 10 sodium tartrate tetrahydrate , potassium L - Lactate and 90 0 . 28 200 0 . 96 mixtures thereof. 71 0 .78 3 . The removal composition of claim 2 further compris 198 1 . 36 ing : 0 . 001 wt % to 20 wt % of an amino acid , an aminopo 0 . 55 lycarboxylic acid , a carboxylic acid , a polycarboxylic acid , 15 or a mixture thereof selected from the group consisting of The experimental results shown in Table 29 demonstrate 1 ,2 -cyclohexanediamine - N ,N ,N ', N '- tetraacetic acid ; ethyl that with tetraammonium ethylenediaminetetraacetate in enediaminetetraacetic acid ; nitrilotriacetic acid ; diethylene removal composition 81 , the initial TiN etch rate was 233 triamine pentaacetic acid ; 1 , 4 , 7 , 10 - tetraazacyclododecane Å /min , and it is 198 Å /min at twenty eight ( 28 ) hour period . 1 ,4 ,7 , 10 - tetraacetic acid ; ethylene glycol tetraacetic acid In composition 92 . without Tetraammonium ethylenedi- 20 (EGTA ) ; 1 , 2 - bis ( 0 -aminophenoxy ) ethane - N , N , N ', N '- tet aminetetraacetate , the TiN etch rate dropped from an initial raacetic acid ; N - { 2 - [bis ( carboxymethyl ) amino ) ethyl) - N - ( 2 rate of 134 A /min to a rate of 61 A /min at 28 hours . hydroxyethyl) glycine (HEDTA ) ; and ethylenediamine - N , The presence of ammonium carboxylate in the removal N -bis ( 2 - hydroxyphenylacetic acid ) (EDDHA ) ; dioxaoctam compositions of the invention not only increased TiN etch ethylene dinitrilo tetraacetic acid ; and triethylenetetraamine rate as shown in Tables 2 through 8 , 11 , 13 through 15 . and 25 hexaacetic acid ( ITNA ) . 26 and 27 , but the data support the conclusion that their 4 . The removal composition of claim 1 , wherein the metal presence also operates to stabilize the TiN etch rate over an corrosionco inhibitor further comprising benzotriazole or extended period of time, e . g. , up to at least 35 hours. tolyltriazole . Several embodiments of the inventive concepts have been 5 . The removal composition of claim 2 further compris described . However, those ordinarily skilled in the art will 30 ing : at least one base , at least one acid or mixture thereof ; recognize that the invention is not limited to the embodi wherein the base is selected from the group consisting of ments described . The inventive concepts can be practiced quaternary ammonium salts , primary amines , secondary with modifications and alteration within the spirit and scope amines , tertiary amines , tetramethylammonium hydroxide of the appended claims. ( TMAH ) , tetraethylammonium hydroxide ( TEAH ) , benzyl trimethylammonium hydroxide (BTAH ) , monoethanol What is claimed is : amine (MEA ), diglycol amine (DGA ) , triethanolamine 1 . A removal composition for selectively removing a hard ( TEA ), tetrabutyphosphonium hydroxide ( TBPH ), and mix mask consisting essentially of TiN , TAN , TiNxOy, TiW , W , tures thereof; and wherein the acid is selected from the group Ti and alloys of Ti and W relative to low - k dielectric consisting of inorganic acids , a carboxylic acid , an amino material from a semiconductor substrate which comprises 40 acid , a hydroxy carboxylic acid , a polycarboxylic acid , and the low - k dielectric material having a TiN , TAN , TiNxOy , a mixture thereof. TiW , W , Ti or alloy of Ti or W hard mask thereon , the 6 . The removal composition of claim 2 further compris removal composition comprising : ing: i ) 0 .001 wt % to 20 wt % of an amino acid , an ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; aminopolycarboxylic acid , a carboxylic acid , a polycarbox (b ) 0 .0001 wt % to 50 wt % of a carboxylate ; 45 ylic acid , or a mixture thereof selected from the group ( c ) 0 .0001 wt % up to 50 wt % of a metal corrosion consisting of 1 , 2 - cyclohexanediamine - N , N , N ', N ' - tetraacetic inhibitor ; and acid ; ethylenediaminetetraacetic acid ; nitrilotriacetic acid ; ( d ) the balance up to 100 wt % of the removal composi diethylene triamine pentaacetic acid ; 1 ,4 , 7 , 10 -tetraazacy tion comprising deionized water; clododecane - 1 ,4 , 7 , 10 -tetraacetic acid ; ethylene glycol tet wherein said metal corrosion inhibitor is one or more 50 raacetic acid ( EGTA ) ; 1 , 2 - bis ( o - aminophenoxy ) ethane - N , N , members selected from the group consisting of pyrrole N ', N ' -tetraacetic acid ; N - { 2 - [bis ( carboxymethyl) amino ] and derivatives thereof, pyrazole and derivatives ethyl ) - N - ( 2 -hydroxyethyl ) glycine (HEDTA ) ; and thereof, and indazole and derivatives thereof, and ethylenediamine - N , N '- bis ( 2 -hydroxyphenylacetic acid ) wherein said composition does not contain hydrofluoric (EDDHA ) ; dioxaoctamethylene dinitrilo tetraacetic acid ; acid . 55 and triethylenetetraamine hexaacetic acid (TTHA ) . 2 . The removal composition of claim 1 , wherein the 7 . The removal composition of claim 2 further compris oxidizing agent is selected from the group consisting of ing : i ) 0 .001 wt % to 20 wt % of an amino acid , an hydrogen peroxide (H202 ) , n -methylmorpholine oxide aminopolycarboxylic acid , a carboxylic acid , a polycarbox (NMMO or NMO ) , benzoyl peroxide , tetrabutylammonium ylic acid , or a mixture thereof selected from the group peroxymonosulfate , ozone, ferric chloride, permanganate 60 consisting of 1 , 2 -cyclohexanediamine - N , N , N , N -tetraacetic peroxoborate , perchlorate , persulfate , ammonium peroxy - acid ; ethylenediaminetetraacetic acid ; nitrilotriacetic acid ; disulfate , urea hydroperoxide , nitric acid (HNO3 ) , ammo- diethylene triamine pentaacetic acid ; 1 , 4 , 7 , 10 -tetraazacy nium chlorite ( NH4C102 ) , ammonium chlorate ( NH4C103) , clododecane - 1 , 4 , 7 , 10 - tetraacetic acid ; ethylene glycol tet ammonium iodate (NH4103 ) , ammonium perborate raacetic acid ( EGTA ) ; 1 , 2 -bis ( o - aminophenoxy Jethane - N , N , (NH4BO3 ), ammonium perchlorate (NH4C104 ), ammo - 65 N ', NP - tetraacetic acid ; N - { 2 - [bis (carboxymethyl ) amino ] nium periodate (NH4103 ) , ammonium persulfate ( (NH4 ) ethyl ) - N - ( 2 -hydroxyethyl ) glycine (HEDTA ) ; and 25208 ) , tetramethylammonium chlorite ( ( N (CH3 ) 4 ) C102 ) , ethylenediamine - N , N '- bis ( 2 -hydroxyphenylacetic acid ) US 10 , 155 , 921 B2 35 36 ( EDDHA ) ; dioxaoctamethylene dinitrilo tetraacetic acid ; amines, tertiary amines , tetramethylammonium hydroxide and triethylenetetraamine hexaacetic acid ( TTHA ) ; and ii ) at ( TMAH ), tetraethylammonium hydroxide ( TEAH ) , benzyl least one base , at least one acid or mixture thereof; wherein trimethylammonium hydroxide (BTAH ) , monoethanol the base is selected from the group consisting of quaternary amine (MEA ), diglycol amine (DGA ), triethanolamine ammonium salts , primary amines , secondary amines , ter - 5 ( TEA ) , tetrabutyphosphonium hydroxide ( TBPH ) , and mix tiary amines , tetramethylammonium hydroxide ( TMAH ) , tures thereof; and wherein the acid is selected from the group tetraethylammonium hydroxide ( TEAH ) , benzyltrimethyl- consisting of inorganic acids, a carboxylic acid , an amino ammonium hydroxide (BTAH ) ,monoethanol amine (MEA ), acid , a hydroxy carboxylic acid , a polycarboxylic acid , and diglycol amine (DGA ) , triethanolamine ( TEA ), tetrabuty - a mixture thereof. phosphonium hydroxide ( TBPH ) , and mixtures thereof; and 10 13 . The removal composition of claim 9 further compris wherein the acid is selected from the group consisting of ing: 0 . 001 wt % to 20 wt % of an amino acid , an aminopo inorganic acids , a carboxylic acid , an amino acid , a hydroxy l ycarboxylic acid , a carboxylic acid , a polycarboxylic acid , carboxylic acid , a polycarboxylic acid , and a mixture or a mixture thereof selected from the group consisting of thereof. 1 , 2 - cyclohexanediamine - N , N , N ', N '- tetraacetic acid ; ethyl 8 . The removal composition of claim 1 , wherein the 15 enediaminetetraacetic acid ; nitrilotriacetic acid ; diethylene carboxylate is an ammonium carboxylate . triamine pentaacetic acid ; 1 , 4 , 7 , 10 -tetraazacyclododecane 9 . The removal composition of claim 8 , wherein the 1 , 4 , 7 , 10 -tetraacetic acid ; ethylene glycol tetraacetic acid oxidizing agent is selected from the group consisting of ( EGTA ) ; 1 , 2 -bis ( o - aminophenoxy ) ethane- N , N , N ', N '- tet hydrogen peroxide (H202 ) , n -methylmorpholine oxide raacetic acid ; N - { 2 - [ bis ( carboxymethyl) amino?ethyl ) - N - ( 2 ( NMMO or NMO ), benzoyl peroxide , tetrabutylammonium 20 hydroxyethyl) glycine (HEDTA ); and ethylenediamine -N , peroxymonosulfate , ozone , ferric chloride, permanganate NP-bis ( 2 - hydroxyphenylacetic acid ) (EDDHA ) ; peroxoborate , perchlorate, persulfate , ammonium peroxy - dioxaoctamethylene dinitrilo tetraacetic acid ; and triethyl disulfate , per acetic acid , urea hydroperoxide , nitric acid e netetraamine hexaacetic acid (TTHA ) . (HNO3 ) , ammonium chlorite (NH4C102 ) , ammonium chlo 14 . The removal composition of claim 9 further compris rate (NH4C103 ) , ammonium iodate (NH4103 ) , ammonium 25 ing : i ) 0 . 001 wt % to 20 wt % of an amino acid , an perborate (NH4BO3 ) , ammonium perchlorate (NH4C104 ) , aminopolycarboxylic acid , a carboxylic acid , a polycarbox ammonium periodate (NH4103 ) , ammonium persulfate ylic acid , or a mixture thereof selected from the group ( (NH4 ) 2S208 ) , tetramethylammonium chlorite ( ( N (CH3 ) 4 ) consisting of 1 , 2 - cyclohexanediamine- N , N , N ', N '- tetraacetic C102 ) , tetramethylammionium chlorate ( ( N (CH3 ) 4 )C103 ) , acid ; ethylenediaminetetraacetic acid ; nitrilotriacetic acid ; tetramethylammonium iodate ( ( N (CH3 ) 4 ) 103 ) , tetramethyl- 30 diethylene triamine pentaacetic acid ; 1 , 4 , 7 , 10 -tetraazacy ammonium perborate ( ( N (CH3 ) 4 ) BO3) , tetramethylammo clododecane - 1 , 4 , 7 , 10 - tetraacetic acid ; ethylene glycol tet nium perchlorate ( ( N (CH3 ) 4 ) C104 ) , tetramethylammonium raacetic acid ( EGTA ) ; 1 , 2 -bis ( 0 - aminophenoxyethane - N , N , periodate ( ( N (CH3 ) 4 ) 104 ) , tetramethylammonium persul N ', N - tetraacetic acid ; N - { 2 - [bis (carboxymethyl )amino ] fate ( ( N (CH3 )4 ) S208 ) , (( CO (NH2 )2 )H202 ) , peracetic acid ethyl - N - (2 -hydroxyethyl glycine (HEDTA ) ; and (CH3 ( COOOH ) , and mixtures thereof ; and 35 ethylenediamine- N , N -bis ( 2 -hydroxyphenylacetic acid ) the ammonium carboxylate is selected from the group (EDDHA ) ; dioxaoctamethylene dinitrilo tetraacetic acid ; consisting of ammonium oxalate , ammonium lactate , and triethylenetetraamine hexaacetic acid ( TTHA ) ; and ii) at ammonium tartrate , ammonium citrate tribasic , ammo - least one base , at least one acid or mixture thereof; wherein nium acetate , ammonium carbamate , ammonium car the base is selected from the group consisting of quaternary bonate , ammonium benzoate , ammonium ethylenedi - 40 ammonium salts , primary amines , secondary amines , ter aminetetraacetic acid , diammonium tiary amines , tetramethylammonium hydroxide ( TMAH ) , ethylenediaminetetraacetic acid , triammonium ethyl- tetraethylammonium hydroxide (TEAH ) , benzyltrimethyl enediaminetetraacetic acid , tetraammonium ethylene - ammonium hydroxide (BTAH ) ,monoethanol amine (MEA ) , diaminetetraacetic acid , ammonium succinate , ammo diglycol amine (DGA ), triethanolamine ( TEA ), tetrabuty nium formate , ammonium 1 - H - pyrazole - 3 - carboxylate 45 phosphonium hydroxide ( TBPH ) , and mixtures thereof; and and mixtures thereof. wherein the acid is selected from the group consisting of 10 . The removal composition of claim 9 , further com inorganic acids, a carboxylic acid , an amino acid , a hydroxy prising from 0 .001 wt % to 20 wt % of an amino acid , an carboxylic acid , a polycarboxylic acid , and a mixture aminopolycarboxylic acid , a carboxylic acid , a polycarbox - thereof . ylic acid , or a mixture thereof selected from the group 50 15 . The removal composition of claim 9 further compris consisting of 1 , 2 - cyclohexanediamine - N , N , N ', N ' - tetraacetic ing : 0 .001 wt % to 20 wt % 1 , 2 - cyclohexanediamine - N , N , acid ; ethylenediaminetetraacetic acid ; nitrilotriacetic acid ; N ', N ' - tetraacetic acid . diethylene triamine pentaacetic acid ; 1 , 4 , 7 , 10 -tetraazacy - 16 . The removal composition of claim 15 , wherein the clododecane - 1 , 4 , 7 , 10 - tetraacetic acid ; ethylene glycol tet- ammonium carboxylate is selected from ammonium tartrate . raacetic acid (EGTA ) ; 1 , 2 - bis ( o - aminophenoxy ) ethane- N , N , 55 17 . The removal composition of claim 9 , wherein the N ', NP -tetraacetic acid ; N - { 2 - [bis (carboxymethyl ) amino ] ammonium carboxylate is selected from the group consist ethyl) - N - ( 2 -hydroxyethyl glycine (HEDTA ) ; and ing of ammonium ethylenediaminetetraacetic acid , diammo ethylenediamine - N , N '- bis ( 2 -hydroxyphenylacetic acid ) nium ethylenediaminetetraacetic acid , triammonium ethyl (EDDHA ) ; dioxaoctamethylene dinitrilo tetraacetic acid ; enediaminetetraacetic acid , tetraammonium and triethylenetetraamine hexaacetic acid ( TTNA ) . 60 ethylenediaminetetraacetic acid and mixtures thereof. 11 . The removal composition of claim 9 , wherein the 18 . The removal composition of claim 1 , wherein the pH metal corrosion inhibitor further comprising benzotriazole is from 5 to 11 . or tolyltriazole . 19 . The removal composition of claim 1 , wherein the pH 12 . The removal composition of claim 9 further compris - is from 7 . 6 to 11 . ing : at least one base , at least one acid or mixture thereof; 65 20 . A removal composition for selectively removing a wherein the base is selected from the group consisting of hard mask consisting essentially of TiN , TAN , TiNxOy , TiW , quaternary ammonium salts , primary amines , secondary W , Ti and alloys of Ti and W relative to low - k dielectric US 10 , 155 , 921 B2 37 38 material from a semiconductor substrate which comprises the low - k dielectric material having a TiN , TAN , TiNxOy , TiW , W , Ti or alloy of Ti or W hard mask thereon , the removal composition comprising : ( a ) 0 . 1 wt % to 90 wt % of an oxidizing agent; (b ) 0 . 0001 wt % to 50 wt % of a carboxylate ; ( C ) 0 .0001 wt % up to 50 wt % of a metal corrosion inhibitor; and ( d ) the balance up to 100 wt % of the removal composi tion comprising deionized water ; 10 wherein said metal corrosion inhibitor is one or more members selected from the group consisting of pyra zole and derivatives thereof, and indazole and deriva tives thereof. 15