<<

Precursor Chemistry of and for MOCVD and ALD Applications

Dissertation Arne Baunemann

Precursor Chemistry of Tantalum and for MOCVD and ALD Applications

Dissertation zur Erlangung der Doktorwürde der Fakultät für Chemie der Ruhr-Universität Bochum

vorgelegt von Diplom-Chemiker Arne Baunemann

Referenten Prof. Dr. Roland A. Fischer Prof. Dr. William S. Sheldrick

II

Die vorliegende Arbeit entstand in der Zeit von Oktober 2003 bis Oktober 2006 am Lehrstuhl für Anorganische Chemie II der Ruhr-Universität Bochum

Meinem Mentor und Betreuer, Professor Dr. Roland A. Fischer möchte ich an dieser Stelle meinen außerordentlichen Dank zuteil werden lassen. Ohne seine inspirierende Vorlesung im ersten Semester hätte ich nicht den Weg in die Chemie gefunden. Während meines gesamten Studiums hat er mir jederzeit mit Rat und Tat zur Seite gestanden und mich in allen Plänen - ohne Einschränkungen - unterstützt. Diese Erfahrungen haben mich zutiefst für mein weiteres Leben geprägt.

III

“Contradictions do not exist. Whenever you think that you are facing a contradiction, check your premises. You will find that one of them is wrong.”

(Ayn Rand in “Atlas Shrugged”, 1957)

Meinen Eltern und Carmen

IV Acknowledgements

Danksagung

Juniorprof. Dr. Anjana Devi Anjana und Harish danke ich außerordentlich für die & Dr. Harish Parala Unterstützung in Form von konstruktiver Kritik in vielen Bereichen und der Unterstützung bei XRD und TG/DTA

Messungen. Dr. Christian Gemel Christian danke ich für den wertvollen chemischen Input, den er mir in den letzten Jahren gegeben hat. Marie-Kathrin Schröter Nana danke ich für die Unterstützung und den gemeinsamen Spaß in den letzten acht Jahren, die wir fast tagein-tagaus miteinander verbracht haben. Awesome. Martin Lemberger Martin hat es mit nahezu unermüdlichem Eifer geschafft, mir einen gewissen Einblick in das Mysterium der Elektrotechnik zu geben. Vielen Dank für die Kooperation im Rahmen des DFG. Stephan Hermes & Andreas Stephan und Andreas danke ich für die kreative Kempter Unterstützung, wenn es darum ging, neue Wege zu gehen und ganz besonders für die Showvorlesung. Denise Zacher Danke für die Motivation, Hilfe bei Syntheseproblemen und ein immer offenes Ohr. Sabine Masukowitz Vielen Dank für die Unterstützung in allen organisatorischen Fragen und bei dem Umgang mit dem RUB’schen Büroapparat. Stephan Spöllmann Stephan danke ich für die vielen Experimente, die er mit Lucy alias Aixtron 200 FE durchgeführt hat. Manuela Winter Manuela danke ich für die Lösung von vielen, vielen Kristallstrukturen und die Berücksichtung meiner wirren Wünsche für die Bezeichnung der Atome. Kai Richter Kai danke ich für die tatkräftige Unterstützung bei der Synthese von Schwefel und anderen nützlichen Dingen. Dr. Rolf Neuser Herrn Neuser danke ich für die SEM- und EDX Messungen.

Prof. Dr. Martin Feigel und Ihnen danke ich für die Unterstützung bei dem Lösen von Priv. Doz. Dr. Iris Müller schwierigen NMR-Spektren und Kristallstrukturen.

Prof. Dr. Lauri Niinistö & Vielen Dank für die nette und herzliche Aufnahme an der Jaako Niinistö Helsinki University of Technology.

V Acknowledgements

Prof. Dr. Jin-Hio Boo & Vielen Dank für die sehr gastfreundliche Aufnahme an der Jin-Ho Park Sungkyunkwan University.

H.C. Starck GmbH Ich danke Herrn Dr. Stephan Kirchmeyer, Herrn Dr. Knud Reuter und Herrn Gerd Passing für die materielle und wissenschaftliche Unterstützung währende der Promotion.

Desweiteren möchte ich mich bei meinem gesamten Lehrstuhl für die schöne Zeit in der Gruppe bedanken. Dazu gehören Saeed Amirjalayer, Dr. Raghunandan Bhakta, Beatrice Buchin, Thomas Cadenbach, Mirza Cokoja, Rolf Deibert, Daniel Esken, Lina Freitag, Dr. Eliza Gemel, Malte Hellwig, Ursula Herrmann, Todor Hikov, Heike Kampschulte, Andreas Kempter, Dr. Jayaprakash Khanderi, Dr. Emmanuel Lamouroux, Dr. Eva Maile, Mikhail Meilikhov, Andrian Milanov, Maike Müller, Daniel Rische, Dr. Rochus Schmid, Felicitas Schröder, Dr. Jelena Sekulic, Stephan Spöllmann, Tobias Thiede, Dr. Maxim Tafipolsky, Tim Wilmsen, Manuela Winter, Dr. Wenhua Zhang und Xiaoning Zhang.

VI Table of contents

Table of contents

Chapter 1 Introduction and motivation 1 1.1 Motivation and goals 2 1.2 Phases of group(V) and their properties 4 1.3 Applications of tantalum and niobium nitrides 7 1.4 Techniques for deposition of thin films via gasphase 11 1.4.1 Physical Vapor Deposition (PVD) 11 1.4.2 Metal Organic Chemical Vapor Deposition (MOCVD) 12 1.4.3 Atomic Layer Deposition (ALD) 16

Chapter 2 State of the art in research and motivation 19 2.1 Previously reported MOCVD experiments for group(V) nitrides 19 2.1.1 MOCVD of 20 2.1.2 Conclusions of past reports about the MOCVD of tantalum nitride 24 2.1.3 MOCVD of niobium nitride 28 2.2 Previously reported ALD experiments for group(V) nitrides 29 2.2.1 ALD of tantalum nitride 29 2.2.2 ALD of niobium nitride 32

Chapter 3 Starting compounds and their synthesis 34 3.1 Synthesis of mixed amido / imido compounds of tantalum and niobium 34 3.1.1 Single crystal X-ray analysis of S8, S3 and the hydrolyzed form of S3 (S3’) 36 3.1.2 Thermal properties of the compounds S4-S9 39 3.2 Ligand synthesis 41 3.2.1 Synthesis of N-trimethylsilyl-N’,N’-bisdimethylhydrazine (Htdmh) 42

Chapter 4 Hydrazido based precursors of tantalum 44 4.1 Hydrazine derivatives as useful ligands for new MOCVD and ALD precursors 44 4.2 Synthesis of amido / imido / hydrazido complexes A1-A3 by transamination reactions 46 4.2.1 Synthetic route, purity and properties of the compounds A1-A3 46 4.2.2 Spectroscopic analysis of the compounds A1-A3 48 4.2.3 Single crystal X-ray analysis of A1 49 4.2.4 Thermal analysis of A1-A3 in respect to MOCVD / ALD 50 4.3 Destructive transamination reactions of A1-A3 with N,N-dimethyl-hydrazine (Hdmh) 52 4.3.1 Single crystal X-ray analysis of a hydrolyzed tantalum-dmh complex 54

4.4 Reactions of TaCl5 and [TaCl3(N-t-Bu)py2] with lithiated hydrazine derivatives 57

Chapter 5 Guanidinato based precursors of tantalum and niobium 60 5.1 Types of guanidinate ligands in complexes and their synthesis 60 5.2 Potential of guanidinate(-1) ligands for precursor chemistry 64 5.3 Synthesis of amido / imido / guanidinato compounds of tantalum B1-B8 65 5.3.1 Synthetic route, purity and properties of the compounds B1-B8 65 5.3.2 Spectroscopic analysis of the compounds B1-B8 68 5.3.3 Single crystal X-ray analysis of B1-B5 and B7 74

VII Table of contents

5.4 Synthesis of amido / imido / guanidinato compounds of niobium C1-C4 83 5.4.1 Synthetic route, purity and properties of the compounds C1-C4 83 5.4.2 Spectroscopic analysis of the compounds C1-C4 84 5.4.3 Single crystal X-ray analysis of C1 and C2 85 5.5 Thermal analysis of B1-B8 and C1-C4 in respect to MOCVD / ALD 87 5.6 Investigations of the thermal decomposition of B1-B3 91

Chapter 6 MOCVD of tantalum nitride 93 6.1 Presentation of the MOCVD reactors utilized in this work 94 6.1.1 Bubbler design – Enhancement of precursor evaporation 98 6.2 Deposition experiments with simple amido / imido tantalum precursors 99

6.2.1 Depositions with [Ta(NEtMe)3(N-t-Bu)] (S5) in the selfbuilt horizontal reactor 100

6.2.2 Depositions with [Ta(NEt2)3(N-t-Bu)] (S6) in the Aixtron 200 RF reactor 104

6.2.3 Depositions with [Ta(NEt2)3(N-t-Bu)] (S6) in the 150 mm wafer reactor 112

6.2.4 Depositions with [Ta(NMeEt)3(N-t-Bu)] (S5) in the 150 mm wafer reactor 113 6.2.5 Conclusions for the use of mixed amido / imido tantalum precursors 114 6.3 Deposition experiments with mixed amido / imido / hydrazido tantalum precursors 115 6.3.1 Depositions in the selfbuilt horizontal cold wall reactor 115

6.3.2 Depositions with [Ta(NMeEt)2(tdmh)(N-t-Bu)] (A2) in the 150 mm wafer reactor 118 6.3.3 Conclusions for the use of mixed amido / imido / hydrazido tantalum precursors 119 6.4 Deposition experiments with mixed amido / imido / guanidinato tantalum precursors 121 6.4.1 Depositions with B2 in the selfbuilt horizontal reactor 121 6.4.2 Depositions with B2 in the LI – MOCVD reactor Aixtron 200 FE 125 6.4.3 Conclusions for the use of mixed amido / imido / guanidinato tantalum precursors 127 6.5 Electrical characterization of TaN films for MOS-gate structures at the FIIS (Erlangen) 128

Chapter 7 MOCVD of niobium nitride 130

7.1 Depositions with [Ta(NMe2)3(N-t-Bu)] (S8) in the selfbuilt horizontal reactor 130 7.2 Depositions with C1 in the selfbuilt horizontal reactor 133

Chapter 8 ALD of metal (oxo) nitride materials 136 8.1 Presentation of the ASM F120 ALD reactor 136 8.2 ALD experiments in a well adjusted F-120 reactor (Finland) 138 8.3 Installation of the F120 reactor in Bochum and first results 140 8.3.1 Depositions with S5 in reactor setup I 140

8.3.2 Depositions of Al2O3, TaON and NbON in reactor setup II 142 8.4 Conclusions for the ALD of tantalum and niobium nitride materials 145

Chapter 9 Summary and outlook 147

Chapter 10 Experimental 154 10.1 Analytical characterization of the precursors 154 10.2 Thin films analysis and instruments 155 10.3 Details to MOCVD and ALD experiments 161 10.3.1 Wafer treatment 161

VIII Table of contents

10.3.2 Handling of the selfbuilt reactor 161 10.3.3 Handling of the ASM F-120 Reactor 162 10.4 General comments on synthesis and characterization 162 10.5 Synthesis of the starting compounds S1-S11 164 10.5.1 Synthesis of the chloro-containing intermediate compounds S1 - S3 164 10.5.2 Synthesis of the mixed amido / imido complexes S4 - S8 165 10.5.3 Synthesis of N-Trimethylsilyl-N’,N’-dimethylhydrazine 167 10.6 Synthesis involving hydrazines (A1-A5 and further reactions) 167 10.6.1 Synthesis of A1-A4 167 10.6.2 Synthesis of A5 169 10.6.3 Reactions with S6 (TBTDET) and dimethylhydrazine 169 10.6.4 Further reactions involving hydrazine derivatives 170 10.7 Synthesis of the guanidinato-containing complexes of tantalum (B1-B8) 171 10.8 Synthesis of the guanidinato-containing complexes of niobium (C1-C4) 175 10.9 Crystallographic data 178 10.9.1 Crystallographic data of S3’ and S8 179 10.9.2 Crystallographic data of A1, A4 and A5 180 10.9.3 Crystallographic data of B1-B5 and B7 181 10.9.4 Crystallographic data of C1 and C2 186

Appendix 187 A Content lists of figures and tables 188 A-1 List of figures 188 A-2 List of tables 194 B Own publications and contributions to conferences 196 B-1 Publications 196 B-2 Oral presentations 196 B-3 Poster presentations 196 B-4 Additional publications (not based on results from this PhD thesis) 197 C Curriculum vitae 198 D References 200

IX Abbreviations

Abbreviations

AFM Atomic Force Microscopy ALD Atomic Layer Deposition APCVD Atmospheric Pressure CVD t-Bu tert-Butyl btdmh N,N-Bis(trimethylsilyl)-N’,N’-dimethylhydrazine cdi Carbodiimide cy Cyclohexyl cy-cdi Dicylclohexylcarbodiimide Hdmh N,N-Dimethylhydrazine DTA Differential Thermal Analysis EA Elemental Analysis (C,H,N-analysis) EDX Electron Dispersive X-Ray spectroscopy EI-MS Electron Ionization Mass Spectroscopy Et Ethyl fcc Face-Centered Cubic FIIS Fraunhofer Institut für Integrierte Schaltungen, Erlangen LICVD Liquid Injection CVD LPCVD Low Pressure CVD MBE Molecular Beam Epitaxy Me Methyl MOCVD Metal Organic Chemical Vapor Deposition PDEAT Pentakisdiethylamidotantalum (V) PDMAN Pentakisdimethylamidoniobium (V) PDMAT Pentakisdimethylamidotantalum (V) i-Pr iso-Propyl i-Pr-cdi Di(iso-propyl)carbodiimide PVD Physical Vapor Deposition RBS Rutherford Back Scattering SEM Scanning Electron Microscopy SIMS Secondary Mass Spectroscopy SNMS Secondary Neutral Mass Spectroscopy TAIMATA Tertiary-amylimidotris(dimethylamido)tantalum TBTDEN Tert-butylimidotris(diethylamido)niobium TBTDET Tert-butylimidotris(diethylamido)tantalum TOF-ERDA Time-Of-Flight-Elastic Recoil Detection Analysis Htdmh N-Trimethylsilyl-N’,N’-dimethylhydrazine TGA Thermogravimetric Analysis XPS X-Ray Photoelectron Spectroscopy XRD X-Ray Diffraction

X Chapter 1 Introduction

Chapter 1 Introduction and motivation

The present work deals with the synthesis of precursors used for the MOCVD and ALD of tantalum and niobium nitride thin films and the application of the latter in deposition processes. This field of interest, especially in the case of TaN, has gained increasing attention in the material science research community over the last decade. Although investigations into this field already began as early as the 1930’s, the acceleration of research efforts started with the publication of Tsai et al. in 1995.[1] Since then, the research topic itself has begun to maturate from a more explorative level to an application-oriented state. This development was mainly driven by the great potential for utilizing these films as materials for microelectronic devices. An indicator for this surge is surely the raise in the number of patents that has been submitted for TaN (figure 1). Compared to TaN, NbN has received less attention, although the material itself displays some additional, very interesting properties, and high potential for various applications. Thus, we expect that the importance of NbN will also increase during the next years. The properties of these binary metal nitride materials depend heavily on the composition and chemical structure of the deposited phase. Due to the complexity of the binary phase diagrams, control of the deposition process is particularly difficult. Therefore, before going ahead with the state of the art in the deposition of these materials, the different phases and their corresponding features will be discussed in the following paragraph (1.2). The most relevant material properties for an application in microelectronic devices are conductivity and hardness which correlate with the diffusion inhibition of the thin films. Paragraph (1.3) gives an overview of the applications for the two binary materials that have been envisaged so far.

1 Chapter 1 Introduction

Figure 1. Trends in the number of new patents for NbN and TaN materials. Left: Number of new patents per year; Right: Number of patents accumulated.

1.1 Motivation and goals

This work was motivated by two aspects: The first is oriented towards the large variety of applications for metal nitride thin films, specifically for those involving tantalum and niobium nitride thin films (1.3). The most important application is considered to be the integration of these films in microelectronic devices. The International Technology Roadmap for Semiconductors 2005 makes the following statement:

“Barrier materials used for Cu wiring must prevent its diffusion into the adjacent dielectric, but in addition must form a suitable, high quality interface with Cu to limit vacancy diffusion and achieve acceptable electromigration lifetimes. TaN/Ta has become the predominant industry solution…” [2]

Optimal techniques for the fabrication of these films are still lacking, as all concepts have their own advantages and disadvantages (see 1.4). In order to deposit high quality films having the desired crystal phase with excellent electrical properties the deposition of TaN and NbN by means of MOCVD and ALD was selected. To obtain better results in these processes, our approach was to investigate the behavior of new classes of all- coordinated precursors (guanidinato and hydrazido containing precursors) in deposition techniques and to compare the results to common, structurally simpler precursor systems. The basic question was to determine what consequences are associated with an increase in the complexity of the precursor-molecules, thus changing the volatility, thermal stability and decomposition characteristics (mechanisms) thereof and at the same time gaining additional degrees of freedom for deposition control. Does the increase in complexity correspond to loosing the

2 Chapter 1 Introduction ability to function as a precursor to the formation of TaN thin films? The underlying reasons for choosing the discussed types of ligands are presented in the beginning of chapter 4 (hydrazido-containing precursors) and 5 (guanidinato-containing precursors).

Besides this superordinate motivation of contributing to the large area of “How to fulfill Moore’s law in the future?”[a] as a long term objective, there is also a second driving force. The chemistry of guanidinato and hydrazido ligands at early transition metal centers is interesting for its own merit with the development of molecular coordination chemistry. For example, guanidinato-groups can function as ambidentate and mono/dianionic type of ligands and show excellent properties in the promotion of reactions (e.g. catalyst for Ring Opening Metathesis Polymerization reactions). Investigating structural parameters and chemical / thermal properties of the new compounds can give a deeper insight into the chemistry of the group V metals tantalum and niobium.

[a] Moore’s law states that the number of transistors, placed on an (IC) will double every 18 to 24 month. The International Roadmap for Semiconductors describes the technical developments that have to be achieved in order to keep the high growth rate.

3 Chapter 1 Introduction

1.2 Phases of group(V) nitrides and their properties

Tantalum as well as niobium nitride materials exist in a large variety of stable and metastable phases. After the discovery of the metals Ta and Nb in the beginning of the 19th century, first experiments for the formation of tantalum nitrides were attempted around 1850. Ta2O5 and [3] TaCl5 were exposed to gaseous . In the 1920’s reputated scientists like A. E. van

Arkel worked further on reactions between solid TaCl5 and H2/N2 mixtures and discovered the [4,5] hexagonal phase Ta2N. Enabled by the progresses in vacuum technology, in the following decades, many phases of tantalum nitride have been identified. These phases were synthesized by exposure of tantalum wires, films and powder to N2 at temperatures well above 1000°C. Removing nitrogen by degassing the heated, nitrogen-rich phases, led to the formation of nitrogen deficient phases of tantalum. In the 1960’s, large quantities of physical data e.g. desorption energies, diffusion coefficients, phase diagrams were collected by calorimetric methods and kinetic studies.[6] Another interesting method that is worth mentioning is the method of “exploding wires” in which high voltage is applied to a tantalum wire in a nitrogen atmosphere in order to produce tantalum nitride material.[7] The following table (table 1) provides an overview of the known tantalum nitride phases. These phases can be roughly divided into four groups: The phases in which atomic nitrogen is only „dissolved“ in solid tantalum (1), the special phase Ta2N (2), phases in which the Ta:N ratio is almost 1:1 (3) and nitrogen-rich phases (4). These phases differ fundamentally in their physical properties.

Table 1. Phases of tantalum nitride and their structure types. The phases, that have been deposited via chemically based gasphase methods (not necessary the quoted references) are highlighted. Phase Stochiometry Structure type / Comments Ref.

α-TaN TaN0.04 Solid solution of N in (bcc)-Ta [8,9]

β-TaN TaN0.05 Superstructure to the α-TaN-lattice [9]

γ-Ta2N TaN0.5 Hexagonal close packing of Ta, with nitrogen atoms [9,10] occupying half of the octahedral interstetices (non statistical distribution)

ε-TaN TaN1.0 Hexagonal structure, CoSn-type (formed at T>1100°C, black) [11,12]

δ-TaN TaN0.92-0.97 Cubic face centered structure, NaCl-type (golden) [13]

θ/ν-TaN TaN1.0 Hexagonal structure, WC-type (formed from ε-TaN by [14] polymorphic transformation under high pressure)

Ta5N6 TaN1.2 Hexagonal [15]

Ta4N5 TaN1.25 Tetragonal [15]

Ta2N3 TaN1.5 Fluorite structure with ordered nitrogen vacancies [16]

Ta3N5 TaN1.66 Orthorhombic, Fe2TiO5-type (TaN6-octaehdrons, sharing [17] edges and corners. Nitrogen is three and four coordinated)

4 Chapter 1 Introduction

In a 2005 review, which contains first theoretical approaches to the complex phase system of tantalum nitride the following is stated:

”It can be noted from these experiments that TaN compounds have widely varying structural properties, which depend sensitively on the experimental procedures and conditions. While this flexibility and control thereof raises the possibility of new, diverse applications, it is first necessary to gain a deeper understanding into the energetics and physical properties of these materials.” [18]

This review comes to the conclusion that only three phases are thermodynamically stable, namely γ-Ta2N, Ta5N6 and Ta3N5. This outcome is based on the results from calculations of the free energy of formation of the certain phases. All other phases, including the frequently observed cubic δ-TaN (figure 2) phase are metastable. Nevertheless, the formation of the thermodynamically most stable phases is not heavily favored due to the remarkably small energetic advantage over the formation of the metastable phases. Even small kinetic hindrance during formation of nitride material can lead to metastable phases of tantalum nitride. Not only was the stability of the phases investigated, but also the electrical resistivity of the nitride materials depending on the phase. Unfortunately, no concrete values are given for the specific phases, but rather a qualitative description. This description is based on the theoretical density of states (DOS) at the Fermi-level of the different phases.[18,19] The conclusion of these calculations is, that coming from γ-Ta2N (with nitrogen deficiency) and proceeding to nitrogen- rich Ta3N5, the DOS at the fermi-level empties, resulting in a change of material’s property from metallic (γ-Ta2N, ε-TaN , δ-TaN, Ta5N6) to completely insulating (Ta3N5). However, the trend is not monotonically decreasing. The reason for this behavior that is quoted in these references is the increasing number of Ta vacancies in the materials.

Figure 2. Structures of a) the cubic δ-TaN and b) the hexagonal δ-TaN (view from the top).

5 Chapter 1 Introduction

The related (qualitative) chemical interpretation is that the oxidation state of tantalum is changing from zero to +V when moving from pure tantalum to nitrogen-rich Ta3N5. The 0 Ta3N5 system with tantalum as a d -element is comparable to the dielectric material Ta2O5.

The specific resistivity of the metallic phases is between 263 ± 22 (TaN0.45) and 128 ± 22 [20,21] (TaN1..01) μΩ·cm. It is very difficult (or even just not possible) to find more reliable values for the resistivity and density of the specific phases. The Ta:N ratio of the phases can vary within certain ranges, without changing the crystal lattice of the material.

The niobium nitride system shows a similar complexity, but will not be discussed at such a detailed level because the main emphasis of this work is directed to tantalum nitride materials. All clearly identified phases are displayed in figure 3.[22,23,24,25] The differences are that in the case of niobium nitrides, the existence of the dielectric Nb3N5 has not been reported thus it can be expected that all phases of niobium nitride are conductive and show metallic behavior. The reason for the absence of Nb in the oxidation state +5 (considering that nitrogen is in the state –3) is its lower stability when compared to tantalum.[26] Of course, the inhibition of oxidation plays a major role when it comes to the synthesis of this material. In addition, some of the nitride phases of niobium show high critical temperatures (Tc, onset of [27] ) in particular the cubic, silver δ-NbN with Tc=17.4 K.

Figure 3. Reported niobium nitride phases, arranged by increasing nitrogen content from left to right.

Besides the electrical properties of these materials, the high hardness and the extremely high of the materials can be mentioned as advantage. The cubic δ-TaN phase has an astonishing melting point of 3095°C and thus a very rigid lattice. Cubic NbN melts at a significant lower temperature of 2205°C.[26] An important issue which needs to be addressed at this point is the sensitivity of tantalum and niobium towards oxygen when the nitride

6 Chapter 1 Introduction materials thereof are handled at higher temperatures. Absolute exclusion of air is required; otherwise the films will be contaminated with oxygen. [b]

1.3 Applications of tantalum and niobium nitrides

The application of tantalum and niobium nitride thin films can be divided into two groups, the first group that is based on the unique mechanical properties of the materials, whereas the second group takes advantage of the electronic features of the materials. The group, based on the mechanical properties of the metal nitride phases, applies these materials in the formation of thin coatings on tools in order to improve their wear resistance. The steel substrates (e.g. for cutting or drilling tools) are coated with metal nitride films in the thickness range of a few microns. Sputtering techniques are used for these deposition processes, because they allow very high deposition rates on relatively large objects.[28] Multilayered films of different metal nitrides e.g. TaN/NbN, TiN/NbN have been found to increase the hardness of the films compared to single phase coatings. The hardness of these materials are in the order of 50 GPa (based on a Knoop hardness test for thin films).[29] For comparison, silicon carbide has a hardness of approximately 24 GPa and diamond of about 78 GPa. In the other group, the area of microelectronic device technology, four different fields of application can be identified that will be discussed in detail.

Application of TaN as liner material for diffusion barriers in Si/MN/Cu device structures During the past decade, the accelerating development of integrated circuits in the microelectronic industry provided new challenges and higher requirements of the materials, used for the fabrication of these circuits, e.g. MOSFET-devices (Metal Semiconductor Field Effect Transistors). Following the International Technology Roadmap for Semiconductors from 1999, the size of device nodes in sub-quarter-micron devices has to shrink from 180 nm in 1999 to 35 nm in 2014.[30] This presupposes the use of (1.67 μΩ·cm)[31] or silver[32] as the wiring material due to their lower resistivity compared to aluminum (2.65 μΩ·cm )[33] that has been used so far. From these two materials, copper is the main focus of the microelectronic industry as the future wiring material. The major problem

[b] Actually, this is the origin of the metals’ name, based on Tantalus and his daughter Niobe from greek mythology. The same way that Tantalus is suffering thirst in the Hades, the same way does Ta2O5 not have the ability to react with acids in order to form salts (it cannot satisfy its thirst).

7 Chapter 1 Introduction that is connected with the introduction of copper into the device structures is the highly favored formation of copper-silicides (Cu3Si) due to migration of copper into silicon at Cu/Si- interfaces. Therefore, an effective for copper is needed, that is placed between the Cu-wiring and the Si-substrate. Figure 4 displays the introduction of such a diffusion barrier in a MOSFET-device. Three different mechanisms are described that lead to a failure of the liner (diffusion barrier): ƒ Diffusion of copper through bulk defects in the liner ƒ Diffusion of copper or substrate atoms along grain boundaries ƒ Loss of liner integrity due to a metallurgical or chemical reaction with the copper and/or substrate Thus, the liner material has to meet several requirements. It should be highly conductive, thermally stable, and inert to the underlying Si-substrate as well as the Cu above the barrier. In an ideal case it should be nanocrystalline or even amorphous in order to prevent the diffusion of Cu along grain boundaries.[34] These boundaries typically exist in polycrystalline materials. All these issues have to be fulfilled as good as possible with a maximum barrier thickness of 13 (in 2002) to ideally almost zero nm in future application. One indication of a good material for a diffusion barrier is the melting point of the material because it was experimentally observed that lattice diffusion rates are proportional to the absolute melting temperature of the material.[35] Materials with high melting points that come into consideration are the refractory metals such as W, Mo, Nb and Ta, alloys and the conducting carbides (TiC, TaC, SiC), nitrides (TiN, W2N, HfN, TaNx, SiNx) and carbodnitrides (SiCxNy,

TaCxNy) of various metals and semiconductors. The Ti and TiN systems have already been widely employed, but they show rather poor properties as diffusion barriers. The low thermal stability of the TiN phases, the low inertness of Ti against Cu, and the high film thickness for adequate inhibition of Cu-diffusion (>50nm) make them less suitable for an effective use in future integrated circuit devices.[34] Tantalum as pure metal is thermodynamically stable with respect to Cu, but crystallization of amorphous Ta-films at higher temperatures leads to the formation of grain boundaries that serve as diffusion channels for Cu. Nevertheless, pure Ta is clearly more effective as a liner material than Ti or TiN. The best results have been obtained [36,37,38] [39,40,41] for nitride and tantalum nitride thin films. TaNx-films, are even more inert towards Si than tantalum films. Again, grain boundaries due to thermally induced recrystallization of thin, amorphous TaN-films make up the main problem in terms of barrier qualities.

8 Chapter 1 Introduction

Figure 4. Changes in the MOSFET-structure. Replacement of aluminium by copper and the introduction of a TaN-diffusion barrier. Furthermore, replacement of polycrystalline Si by TaN as gate electrode material.

In order to circumvent this problem of crystallization, the incorporation of Si into TaNx thin films was investigated and proved to be helpful for the prevention of crystallization and Cu- diffusion. [42,43,44,45] Niobium nitride thin films have not been tested on their suitability of inhibition of cupper diffusion so far. Nevertheless, the relative high melting point of NbN, good conductivity and chemical inertness against copper[46] makes it a candidate for future investigations of liner materials.

Application of TaN and NbN as gate electrode material for (metal oxide semiconductor field effect transistors) On the left side of figure 4 it can be seen that the gate electrode of a MOSFET has traditionally been fabricated of highly doped polycrystalline silicon. The high concentration of the dopant, boron, leads to problems when the sub-quarter micron regime of node sizes is reached. Diffusion of the dopant into the gate oxide material results in the malfunction of the device structure. Therefore replacement by alternative electrode materials is necessary and the search for such materials leads, again, to the refractory metals and binary compounds thereof (e.g. nitrides). According to simulations, the work function[c] of these materials should be around 4.2-4.3, or 3.8-3.9 eV in the case of n-type MOS-devices (Econducting ± 0.2 eV) and 4.8- 5.0 eV for an application in p-type-MOS devices. [47,53,d] For these values, the drive currents through the conducting channel are supposed to run through a maximum. Many studies underline the superior electrical properties of TaN[48,49,50,51,52] and TaSiN[45] thin films for the

[c] The work function represents the minimum energy needed to remove an electron from a solid (in this case TaN) to a point immediately outside the solid surface. The work function of a material equals approximately half of the materials ionization energy. [d] Two approaches can be taken into account. Choosing one material for both types of MOS-devices that has a work function in of 4.6-4.7 eV (midgap metal gate) or taking two different materials, one for n-MOS and one for p-MOS devices (dual metal gates). The second method is favored by industry, and in fact is more advanced.

9 Chapter 1 Introduction substitution of the polycrystalline silicon gate electrode. Again, not only an electrical fit of the materials plays a role, but also stability issues with respect to chemical inertness and resistance to thermal stress. Other materials e.g. WNx, TiNx and TaSix have values of work function that are out of range for a proper application as gate electrode materials.[53] Based on a recent literature search NbN was not mentioned so far and thus presumably not considered for an application as material for gate electrodes. However, considering its work function of approximately 4.7-4.8 eV[54] and its relative high thermal stability it is most probably only a question of time until gate electrodes composed of NbN are tested. An interesting, new approach is the use of tertiary materials consisting of two refractory metals and nitrogen.

These tertiary systems (e.g. TaTbxN or HfxTayN) exhibit work functions that can easily be tuned between 4.2-4.6 eV by varying the content of the incorporated second metal (in this case Tb or Hf).[55,56]

Application of NbN in the formation of Josephson Junctions Superconductivity at relative high temperatures (17.4 K)[57] makes NbN one of the most used material for Josephson Junctions.[58] Josephson Junctions consist of two thin films of a superconducting material (e.g. NbN) that enclose a thin film that is either metallic or insulating.[59,60,61] The phenomenon that occurs when this structure is cooled down under the critical temperature of the superconducting material is, that a current flows across these two superconductors. This effect is extremely sensitive towards changes in magnetic fields close to the device. These junctions are used for various applications, e.g. for the measurements of extremely small currents (currents of the brain and the heart) or as highly sensitive photon detectors. Due to the complexity of this effect and since this application for NbN is not in the main focus of this work, no further discussion of this effect is reasonable at this point.

Application of Ta3N5 as a photoelectrode material or gate dielectric

Although most of the applications of TaNx-materials involve the conductive phases of tantalum nitride, two applications of the insulator Ta3N5 have been tested or can be considered. First it can be used as visible-light driven photoelectrode material for the conversion of photon energy into chemical energy (e.g. the splitting of water). The band positions (conducting band, valence band) of Ta3N5, enable this material to act as a [62,63,64,65,66] photocatalyst, comparable to the materials Ta2O5 or TaOxNy. A second application which is already patented is the use as a gate dielectric in the fabrication of MOSFET

10 Chapter 1 Introduction

[67] [68] transistor-structures. Its dielectric constant is above 100 , thus it can replace SiO2 as the insulating layer between the gate electrode and the underlying silicon (figure 4).[e]

1.4 Techniques for deposition of thin films via gasphase

Thin metal nitride films can be either formed directly from the evaporated elements (Physical Vapor Deposition, 1.4.1) or by thermal/chemical decomposition processes of precursor molecules at the substrate surface (Chemical Vapor Deposition (1.4.2), Atomic Layer Deposition (1.4.3)). PVD-techniques are the most widely used techniques in IC-fabrication. Nonetheless, strong efforts have been undertaken to establish the more complex, but advantageous (MO)-CVD and ALD techniques. In the following the techniques are briefly described and their advantages and disadvantages are discussed.

1.4.1 Physical Vapor Deposition (PVD)

The term PVD includes a variety of techniques for depositing thin films. The most prominent in semiconductor industries is the process of sputtering. Besides this technique, evaporative deposition techniques (e.g. MBE) and pulsed laser deposition techniques belong to the group of PVD. Sputtering processes are based on the ion bombardment of source materials (so called “targets”) and the subsequent ejection of target material into the gas phase. This material (in atomic form) is deposited everywhere within the reaction chamber including substrates that are mounted within. Ionized atoms (mostly -atoms) are used for the bombardment of the target. These positively charged argon are created in an electron plasma that is built up by a magnetron, whereby the target material itself serves as the cathode of the magnetron. The bias voltage applied to the cathode of the magnetron typically lies in the range of -300 V or more. Due to the high voltage, the ions are accelerated towards the target and strike out neutral surface atoms. For the deposition of tantalum nitride thin films, tantalum metal targets are used and the sputtering process takes place in a nitrogen atmosphere. Nitrogen radicals, formed in the plasma, are incorporated into the tantalum that deposits on the surface of the substrate thus forming cubic TaN (figure 5). When additional reactive gases are used, the whole process is called reactive sputtering.

[e] Other materials that have been tested for this purpose are mainly of the early transition metals, Ti, Zr, Hf and Ta.

11 Chapter 1 Introduction

Figure 5. Reactive sputtering of TaN. Nitrogen (blue) is incorporated into the TaN-film (blue-yellow).

As an alternative to reactive sputtering, polycrystalline TaN-targets (no nitrogen required) can be used for depositing stochiometric TaN-films. The only problem that occurs in this case is a non-stochiometric deposition of TaNx in the beginning of the process due to preferential sputtering of the lighter element nitrogen. The advantage of the sputtering technique is good control over the stochiometry of the films without any impurities (e.g. ) and the high deposition rate of this process. Disadvantageous is the incapability of depositing uniform films on objects with high aspect ratios[f] (e.g. DRAM-devices and more complex device structures).[69] In addition, underlying device structures on the substrate can be damaged by this process due to the high energetic particles which are involved. Despite these disadvantages, sputtering is so far the dominating process for the fabrication of TaN thin films in device production. Not only TaN,[31,70,71] but also TaSiN[43,72] have been successfully deposited and integrated as diffusion barriers for copper and metal gate electrodes. In 2004, a new method was described for the deposition that is in between PVD and CVD. Powell et al. made experiments for the deposition of thin TaN films via Chemically Enhanced Physical Vapor Deposition (CE-PVD).[73] An I-PVD (Ionization-PVD) reactor was modified in such manner that besides nitrogen and argon, a metal organic precursor could be introduced during the sputter process (tantalum target). They found out that step coverage could be improved compared to classical PVD or plasma-enhanced CVD processes (PECVD, see 1.4.2).

1.4.2 Metal Organic Chemical Vapor Deposition (MOCVD)

From a historic point view, CVD is a rather old method. For detailed information, the historical preface by M. L. Hitchman and K. F. Jensen can be referred.[74] Nowadays, CVD is

[f] So called line-of-sight-growth

12 Chapter 1 Introduction a well established technique for the deposition of various kinds of materials, including group III/V semiconductors, oxides, nitrides, carbides and silicides of many metals as well as magnetic materials. Its main purpose is the fabrication of materials for the microelectronic industries. A definition of the process is cited thereby:

”Chemical vapor deposition is a process whereby a thin solid film is synthesized from the gaseous phase by a chemical reaction. It is this reactive process which distinguishes CVD from physical deposition processes such as evaporation, sputtering and sublimation.”[74]

This statement already implies the higher complexity of the process compared to PVD, because not only diffusion processes have to be considered, but a large variety of thermally induced chemical reactions in the gas phase and on the surface. Figure 6a shows the transport and reaction steps that are involved in a typical CVD process. At first, the precursor has to diffuse from the main flow to the substrate. Thereby it has to pass the diffusion zone above the substrate in which the precursor has to diffuse perpendicular to the surface. As long as the precursor is in the gas phase above the substrate, pre-reactions can occur (gas phase reactions) that may lead to partial decomposition of the precursor and the formation of reactive intermediates. The reactants and the precursor, after being absorbed on the surface, can either desorb from the surface, diffuse on the surface or react (decompose) in order to form a thin film. Temperature plays a crucial role in this system of high complexity. Three different regimes can be identified for the CVD process in which different effects play a dominating role in respect to film growth (figure 6b). At low temperatures (zone 1) the growth is controlled by surface kinetic effects (growth, controlled by surface reactions). Not all precursor molecules absorbed on the surface will be decomposed directly, but surface diffusion processes and desorption of undecomposed precursor molecules take place. It is obvious that in this zone, more precursor molecules would decompose at higher substrate temperatures, thus giving a higher growth rate. This behavior can be mathematically described by an Arrhenius expression (eq. 1).

⎛ E ⎞ growth rate = A⋅exp ⎜ A ⎟ eq.1 ⎝ R ⋅T ⎠

In the second zone, the surface provides enough energy for the decomposition of all the absorbed molecules. Therefore, the growth rate is mainly controlled by the amount of precursor that diffuses to the substrate (growth, controlled by diffusion). The growth rate shows only a low dependence on the substrate temperature (transport controlled). From a

13 Chapter 1 Introduction certain temperature, growth rate decreases, caused by a hydrodynamic depletion of precursor molecules in the diffusion zone above the substrate.

Figure 6. a) Scheme of the reactions involved in a CVD process; b) Arrhenius plot of the growth rate as function of the inverse substrate temperature (1/T). Three regimes can be identified. 1: growth, controlled by surface reactions; 2: growth, controlled by diffusion; 3: depletion of the precursor in the diffusion zone above the substrate.

The classical CVD-process for a binary material normally utilizes two reactive chemicals. First, a metal containing precursor and second a reactive gas, e.g. ammonia or . In the beginning of CVD-research, the precursors were mainly homoleptic halido-complexes of the certain metal (e.g. TaCl5). High temperatures for evaporation and decomposition of these precursors are required, thus setting high demands for the reactor equipment (valves, lines) and the thermal stability of the substrates. In addition, halide incorporation into the films is problematic due to its high negative impact on the electronic properties of the films. In order to circumvent this problem, more volatile, less thermally stable precursors and halide free compounds were used as precursors. These Metal Organic compounds (→ MOCVD) can also serve as single source precursors (SSP), without the need of a second reactive gas, to form a binary material thin film. A prominent example for such SSP is the compound BAZIGA (Bisazido(dimethylaminopropyl)gallium) for the deposition of GaN thin films.[75] The properties of a compound, making it a good candidate for a precursor in MOCVD-processes are described in 1.4.2.1. A variation of the second reactive compound is the use of plasma (e.g. hydrogen plasma) instead of simple gases. The big advantage of plasma is the higher reactivity at low temperatures compared to gases e.g. ammonia (Plasma assisted/enhanced CVD). However, the aggressiveness of the plasma can damage the underlying structures on the substrate.

14 Chapter 1 Introduction

Briefly, the advantage of MOCVD is the high control over uniformity, deposition rate and film composition by changing the parameters of the CVD-experiments (temperature, pressure, flow rates). When the temperature is selected in such a way that the growth behavior is under kinetic control (figure 6b, zone 1), diffusion of reactants on the surface of the substrate can minimize problems due to line-of-sight coverage. Therefore more complex structures can be covered with a uniform film. Main disadvantages of MOCVD are the incorporation of impurities into the film (carbon) and the air sensitivity of most of the precursors. More detailed descriptions of the principles of MOCVD can be found in the CVD textbooks, edited by M. L. Hitchman[74] and Arthur Sherman[76].

1.4.2.1 Properties of a “good” precursor

The suitability of a compound to be used in Low Pressure – MOCVD[g] is given with respect to several criteria that need to be fulfilled as good as possible.

Transport of the precursor to the substrate without decomposition The precursor should evaporate at temperatures below its decomposition temperature. Nevertheless, even less volatile precursors can be used, that cannot be evaporated under normal CVD conditions. The technique that can be applied is Liquid-Injection MOCVD where the precursor is solved e.g. in toluene and the solution is abruptly evaporated, carrying along the precursor molecules.

Clean decomposition of the precursor at the desired temperature regime In this work clean TaN and NbN thin films shall be deposited. The word “clean” is used in the sense of low incorporation of carbon or other undesired parts of the precursors. Therefore the precursor molecules should be either thermally labile with good leaving groups, or the cleavage of ligands should be easy when the precursor reacts with the reactive gas. These decomposition properties can be controlled by the well directed choice of ligands. Bidentate ligands for instance elevate the thermal stability, but can lead to the incorporation of large amounts of ligand fragments into the films. Normally, the carbon content (and the content of halides) in the films decreases when higher substrate temperatures are used during decomposition. The maximum temperature that can be

[g] Actually LPCVD is standard method and only very few examples for Atmospheric Pressure CVD (APCVD) exist.

15 Chapter 1 Introduction used during a process is normally given by the thermal stability of the substrate or the deposited material.

Formation of the right phase in the right degree of crystallinity The influence precursors have on the resulting film composition and crystal phase seems to be very high, especially in this work, which deals with the deposition of TaN and NbN thin films. It was claimed that pre-formed bonds (e.g. Ta=N-bonds) favor the formation of certain phases. So far, no clear relation has been found between the use of a certain ligand system and the resulting crystal phase of thin film. The grade of crystallinity however can be regulated mainly by the substrate temperature and the amount of reactive gas, but again little is known about which ligand system leads to which degree of crystallinity. It is still an open question whether the ligands can direct the growth of a particular crystal phase.

Simple and up-scalable synthesis, low toxicity Multi-gram batches of the certain precursor should be easily accessible. Nonetheless, costs of the starting compounds do not play such an important role, compared to the role of reaction time and the number of necessary reaction steps. In addition, it is preferable that the used ligands and the resulting complex exhibit rather low toxicity.

Chemical and long-term thermal stability The precursor should be as stable as possible at the evaporation temperature (in fact many precursors are not) and it should be less reactive towards air and moisture. The latter simplifies the handling of the precursor. Generally, metal organic precursors of early transition metals are very sensitive towards moisture.

1.4.3 Atomic Layer Deposition (ALD)

ALD is by far the youngest technique among all deposition techniques. First reports were published by T. Suntola et al. in the late 1970s.[77] T. Suntola is commonly known as the inventor of this technique.[h,78] In the beginning, the process was used for processing of thin film electroluminescent devices (TFEL) that had to be coated with a highly uniform film of zinc sulfide.[79] Although ALD belongs – by definition – to the group of CVD-techniques one crucial difference to CVD can be pointed out. In CVD processes the precursor is normally decomposed by thermal reactions with a coupling of homogeneous gas-phase and

[h] However, other reports exist by a Russian group that claims to be the original inventor of the technique.

16 Chapter 1 Introduction heterogeneous surface reactions. The substrate temperature is significantly higher than the onset temperature of decomposition of the precursor. In the case of ALD, at first the precursor is absorbed onto the surface of the substrate (by a chemical reaction or just by physical absorption) and – in an ideal case – inhibits further growth.[80,81] The process is self-limiting and forms a sub-monolayer. The compound is not thermally decomposed. In a second step a reactive compound, e.g. water, ammonia or hydrazine is passed over the substrate leading to a full decomposition of the adsorbed precursor. Thus, an active surface is created that can again react with the precursor (figure 7). Inert purge gas is used to remove an excess of reactants in the reaction chamber between each pulse of precursor and reactive compound. The process that comes close to an ideal ALD-process is the reaction between trimethylaluminium and water. Because of that, this process is used to test new ALD-reactors on their functionality.

Figure 7. Idealized ALD process showing one cycle of deposition. In reality, less than one monolayer is formed and normally undesired thermal decomposition of the precursor occurs.

ALD is a technique that polarizes research groups and industry in terms of applicability. On the one hand it offers great advantages, but on the other it suffers from serious concerns that have not been overcome yet. Advantages are: ƒ The growth of the film can be easily controlled by the number of cycles per deposition. ƒ High uniformity of the films is achieved, even on substrates having very high aspect ratios e.g. DRAM-trenches. No line-of-sight deposition occurs due to the saturation of the surface. ƒ Very precise control of pressure and flow rates is not required in an ideal ALD- process. ƒ No gas-phase pre-reactions between the precursor and the reactive gas can take place due to the separation of the two sources. The major disadvantage of ALD is that in most of the cases ideal ALD-growth like behavior is not possible.

17 Chapter 1 Introduction

ƒ Homogeneous and heterogeneous parasitic thermal decomposition occurs (CVD- growth). This is indicated by obtaining higher growth rates at longer pulse times of the precursors. In an ideal case the growth rate would be independent of pulse times of the precursor and the reactive gas (saturation, self-limiting growth). [82] ƒ The adhesion of the thin films on silicon surfaces is rather low (e.g.TaNx). ƒ The low substrate temperatures, below the thermal decomposition temperature of the precursor, lead to the formation of low density films that are completely amorphous. It turns out to be quite challenging to deposit nano crystalline films at these low temperatures ƒ When no ideal ALD growth can be assumed, parameters e.g. flow rates, temperature distribution in the reactor, pressure and pulse/purge times of the reactants play an important role on the uniformity of the films. ƒ In the case of film thicknesses in the 1-10 nm range, the first cycles of ALD raise problems in terms of island growth. ƒ Little is known about theoretical studies of the ALD-process. It is not a well established technique and still problematic in application. Contrary to all the disadvantages, ALD seems to be the only technique which shows the ability to fulfill certain criteria given by the International Technology Roadmap for Semiconductors in respect to film thicknesses, uniformity (covering substrates with very high aspect ratios) and growth control in the long term perspective. New approaches have been established for the use of plasma-enhanced ALD, with the aim to obtain denser, nano- crystalline films of e.g. TaN at moderate temperatures.

18 Chapter 2 State of the art in research and motivation

Chapter 2 State of the art in research and motivation

The aim of this chapter is to give an overview of the developments in precursor synthesis for the deposition of tantalum and niobium nitride thin films by means of CVD and ALD techniques. The majority of the precursors have been tested under CVD conditions, with strongly deviating results in terms of the film properties (e.g. crystallinity, phase and resistivity). Very little was reported on the ALD of TaN and NbN using metal organic compounds as precursors.

2.1 Previously reported MOCVD experiments for group(V) nitrides

Before starting to discuss the depositions that have been carried out in detail, it is advisable to have a look on figure 9 (page 27, fold-out) in which the experiments are summarized (with letters referring to the experiments, discussed in the text). Homoleptic halido-compounds of tantalum and niobium have been used in the beginning of

MOCVD experiments. These precursors, mainly TaCl5 and NbCl5, feature a relatively low volatility and require high temperatures for volatilization.[24,83,84,85,86] In addition, it is necessary to use reactive, nitrogen containing co-reactants e.g. ammonia or nitrogen in order to grow nitride thin films. This necessity on the other hand also bears the opportunity to tune the nitrogen content within the films. Obviously one advantage of these precursors is the absence of carbon atoms in the whole process. Therefore no undesired carbon incorporation occurs during deposition. The major disadvantages of these precursors are the high process temperature of about 900 °C and the incorporation of halides into the film (A). Halides tend to have a deteriorating effect on the whole structure of the devices. Therefore they are highly undesired, even in small amounts. In order to lower the temperature of the processes drastically, the less stable compound TaBr5 was tested in CVD processes with the co-reactants [87,88] NH3/H2 (B) or even with a H2/N2-plasma (C). Using plasma-assisted CVD of TaBr5

(H2/N2-plasma), films were deposited that consisted of highly conductive TaN in the face centered cubic (fcc) rocksalt-type phase.[i] However, as it was mentioned before, PA-CVD has

[i] The resistivity of the films, deposited in these experiments were 150 μΩ·cm, which is the lowest value that has been reported so far for TaN thin films, made by CVD-techniques. It is close to the literature value for bulk TaN (128 μΩ·cm).

19 Chapter 2 State of the art in research and motivation some drawbacks in terms of damaging the underlying substrates. In addition, approximately 3 % of bromine was incorporated into the films.

2.1.1 MOCVD of tantalum nitride

Compared to other fields of MOCVD, e.g. the deposition of dioxide or hafnium dioxide, the number of precursors that have been tested is rather low. Amido-substituted complexes (Ta(V) and Ta(IV)) were used as precursors, followed by mixed amido/imido compounds. Quite recently, more complex, halide containing complexes have been investigated.

Homoleptic Ta(V)-amido-complexes A first attempt to overcome the drawbacks, related to metal halides, was the replacement of the chloro ligands by dialkylamido groups. Thus, PDMAT (Pentakisdimethylamidotantalum) [89] synthesized from TaCl5 and five equivalents of LiNMe2 were used in MOCVD processes, together with ammonia as a reactive gas (D).[90,91] Although depositions at temperatures between 200 and 450 °C were carried out successfully, no conducting films could be obtained. Instead of cubic TaN, insulating Ta3N5 material was deposited. The amount of carbon in these films was below the detection limit. Again, like in the case of tantalum halides, PA-MOCVD experiments were carried out using methane/hydrogen-,[92] ammonia-[93] or hydrogen-plasma[93] in order to reduce tantalum from the oxidation state +V to +III (cubic TaN) (E). Conducting films were obtained that consisted of tantalum nitride and . The authors state that cubic TaN was deposited, using the ammonia-plasma at temperatures of about 300 °C.[93] This statement is questionable, because TaC has almost the same positions for reflections in XRD-spectra. If the films consist of a mixture of cubic

TaN1.0 and TaC, the ratio of Ta:(N+C) should be 1:1. Instead it is 1:(1.1+0.51) (based on XPS-studies). Therefore it could also be that the film consists of a mixture of amorphous

Ta3N5 and nanocrystalline TaC, while the tantalum carbide phase is responsible for the low resistivity and the observed peaks in the XRD-spectra. Films, deposited using a methane/hydrogen plasma at substrate temperatures of 225 °C resulted in the formation of amorphous films that consisted mainly of tantalum carbide (carbon:nitrogen ratio varied from 3:1 to 10:1).[92] As expected, when methane was absent in these experiments (only hydrogen was flown into the reactor), the deposited films contained less carbon and more nitrogen (carbon:nitrogen ratio = 1:1.2).

20 Chapter 2 State of the art in research and motivation

The precursor PDEAT (Pentakisdiethylamidotantalum) is a topic on its own. This compound was synthesized by Bradley and his co-workers in 1959, together with PDMAT.[94,95] In contrast to PDMAT, PDEAT cannot be distilled (and therefore not evaporated) without decomposition. At higher temperatures it decomposes to a mixture of an amido/imido 2 compound and the [Ta(N(Et)(CHCH3))(NEt2)3]-complex containing a η imido-ligand (figure [96] 8). The mechanism is supposed to involve an activation of a β-CH bond of an NEt2 ligand and the subsequent cleavage of diethylamine. This compound in turn decomposes at higher temperatures to [Ta(NEt)(NEt2)3] and ethylene.

Figure 8. Thermal decomposition of PDEAT during distillation.

Despite these undesired gas phase reactions, this system was investigated via MOCVD by various research groups. Astonishingly, recent publications about the MOCVD of PDEAT do not even mention the fact that the precursor cannot be evaporated without decomposition. The use of PDEAT as a single source precursor is not suitable for depositing carbon free films. All films show carbon contents of above 30%; therefore it is misleading to talk about the deposition of tantalum nitride thin films at all (F).[97,98] As soon as ammonia participated in the MOCVD-processes, the carbon contamination decreased below 5% and the cubic TaN- phase could be observed in XRD-spectra of the films (G). The participation of ammonia [98] involves transamination reactions during growth and the release of HNEt2. However, the resistivity of these films was rather high (~12000 μΩ·cm). Plasma assisted MOCVD depositions (H2-plasma) or the bombardment with argon/nitrogen ions during deposition did not help to reduce the carbon content of the films significantly (G).

Mixed amido/imido compounds Two trisamidoimido complexes of tantalum have been investigated so far. The best known [99] complex is TBTDET (tert-Butylimidotrisdiethylamidotantalum, [Ta(NEt2)3(N-t-Bu)]). It has been used as a single source precursor for MOCVD and also together with ammonia as co-reactant (H).[1,100] Using TBTDET as SSP gave low resistivity films at temperatures of

21 Chapter 2 State of the art in research and motivation about 600-650 °C that contained carbon below 10% and showed reflections for cubic TaN in the XRD-spectra. So far these experiments (performed by Tsai et al. in 1995) are the only examples of good quality TaN films deposited by a plasma free deposition process that show a resistivity below 1000 μΩ·cm. However, reducing the carbon content in the films is highly desirable. Attempts were made by using ammonia as co-reactant at low temperatures (~400 °C). Unfortunately no details on composition were given in the publication. The use of the precursor TAIMATA (tert-Amylimidotrisdimetyhlamidotantalum, [Ta(NEt2)3(NCMe2Et)]) as SSP again led to the formation of films that contained large amounts of carbon (Ta:N:C = 1:1:1, 450 °C substrate temp) (I). XRD-analysis revealed reflections that probably belong to tantalum carbide.[51] Adding ammonia during deposition led to a decrease of the carbon content in the films on the one hand, but on the other hand increased the amount of nitrogen

(Ta:N:C = 1:1.2:0.3) in the films (I). Most probably, the insulating phase Ta3N5 was deposited. Another work revealed contradicting results. Again, TAIMATA was used as SSP (500 °C substrate temperature), but this time nearly stochiometric TaN films were obtained that showed a significantly smaller fraction of carbon in the films (J).[48]

Ta(IV)-amido complexes The syntheses of only a few tantalum(IV)-complexes have been reported so far. Two of these complexes have been tested for MOCVD of TaN: the homoleptic complex [Ta(NEt2)4] and the mixed amido complex [Ta(NEt2)2(Ncy2)2]. The complex [Ta(NEt2)2(Ncy2)2] is thermally less stable and non-volatile. The tantalum center is coordinated in the form of a distorted tetrahedron with a slight distortion towards square planar geometry. MOCVD experiments in presence of ammonia were carried out by aerosol assisted MOCVD in which a precursor/mesitylene solution was nebulized by an ultrasonic nebulizer (K).[101] Thin, amorphous films with the composition TaN1.5H0.3 were deposited at a temperature of 340 °C. An oxidation state of tantalum in the order of +IV was determined.[j] The resistivity of the films was rather high with values of about 250,000 μΩ·cm (which is nearly insulating). If the oxidation state was really +IV, higher conductivity should be expected.[102, 103] The synthesis of this complex in sub-gram batches and the use of Na/Hg-alloy make the precursor less suitable for MOCVD of TaN in mass fabrication. In addition, the precursor was not completely pure, but still contained some chlorine, which was incorporated into the film.

[j] The authors make the questionable assumption that tantalum (in TaN-films) favors the oxidation state that it had in the precursor complex.

22 Chapter 2 State of the art in research and motivation

While the analysis of the cyclohexyl-containing complex is valid, the existence of the other complex [Ta(NEt2)4] has still not been proven in a proper way. In contrast to the involatile complex [Ta(NEt2)2(Ncy2)2] the homoleptic complex is described as a very volatile, liquid compound.[k] Nevertheless MOCVD-experiments were carried out, but the results should not be taken for granted. Depositions using [Ta(NEt2)4] in the presence of hydrogen (carrier gas), led to the formation of conducting films that contained only small amounts of nitrogen and mainly consisted of elemental tantalum and tantalum carbide (L). Thus it cannot be considered as a suitable precursor for TaN in the presence of ammonia.

Halide-containing metal organic precursors The three following precursors showed interesting behavior in MOCVD processes. However, they all contain halides which inevitably leads to chlorine incorporation into the films - at least to certain extend. The incorporated halides can react with moisture to form corrosive acids. Charles Winter and his group synthesized two dichloro/amine/amido/imido complexes of tantalum. The complex [TaCl2(N-t-Bu)(HN-t-Bu)(H2N-t-Bu)]2, synthesized from the reaction of TaCl5 and tert-butyl-amine, is dimeric and can be sublimed at 120 °C without decomposition. Used as a SSP (substrate temperature: 600 °C) it produces films, consisting of [104,105] insulating, nanocrystalline Ta3N5 (M). The oligomeric, but sublimable dimethylhydrazine congener [TaCl2(NNMe2)(HNNMe2)(H2NNMe2)]n seems to be more interesting. MOCVD experiments using this orange, solid precursor gave thin silver colored films, showing fcc phase TaN. Nonetheless, the values, found for the resistivities were too high, compared to bulk cubic TaN (N).[106] The groups around C. J Carmalt and D. J. Williams recently published data about the complex

[TaCl3(NSiMe3)(NC5H3Me2-3,5)2]. The complex is stabilized by two neutral donor-ligands. This „precursor“ (volatilization without decomposition was not proven) led to the formation of conducting films[l] (substrate temperature: 600 °C) that consist of tantalum and nitrogen.[107] The formation of stochiometric TaN is indicated, but no convincing evidences were provided (e.g. quantitative XPS or SIMS-analysis) (O).

Other precursors, not tested for CVD Some compounds have been reported with respect to their potential as precursor for MOCVD or ALD techniques. The synthesis of these “precursors” is described in the publications,

[k] This description of the physical properties fits more to the behavior of a mixed amido/imido Ta(V)-complex. [l] The resistivities of the films were reported to be very low, but the results have to be taken with care.

23 Chapter 2 State of the art in research and motivation normally including a comment on their volatility. However, most of the compounds were not tested on their thermal behavior e.g. decomposition temperature or vapor pressure. Basically all mixed amido/imido-complexes belong into this group, the simple alkyl substituted ones [108] and the more complex silicon complexes e.g. [Ta(NSiHMe2)(N(SiHMe2)2)3]. In addition, [109] the almost non-volatile tantalum IV-complex [Ta(NEt2)2(NPh2)2] and the low volatile [110] complex [{4,5-Me2-C6H2(NSiMe3)2)2}TaCl] were considered to serve as precursors for MOCVD.

2.1.2 Conclusions of past reports about the MOCVD of tantalum nitride

At this point it is necessary to make a comment about the results regarding the MOCVD of TaN thin films. According to the results of this dissertation, it is very difficult to make a general statement whether a precursor is suitable for the MOCVD of TaN thin films or not. It is obvious that compounds should fulfill the basic criteria of a precursor (e.g. volatility, purity). As a conclusion one can only say, that a precursor is not suitable to deposit conducting TaN thin films with a certain set of parameters (e.g. type of reactor, temperatures, reactive gases, type of precursors). It is hardly possible to say that a precursor is not suitable in general. Still, some basic conclusions can be drawn from the experiments. These conclusions will be discussed in the following.

Crystalline vs. amorphous films (high vs. low substrate temperatures) Although low substrate temperatures are desired [m] for many processes, amorphous films (lower temperatures) often show higher resistivities than nanocrystalline films (higher temperatures). Many of the experiments, carried out at temperatures of about 400°C (B, F, G, H, K) would probably lead to better conductive films when the substrate temperature is set to 600 °C. Generally it was observed that the best conductivities of the films were achieved at the highest deposition temperature of an experimental row. The incorporation of other elements (hydrogen, carbon, silicon) can lead to the inhibition of crystallization of the films.

Incorporation of carbon The incorporation of carbon into the films often has a positive effect on their conductivity due to the formation of highly conductive tantalum carbide. It is difficult to distinguish between

[m] This is a critical issue. In some papers it is stated that the device structures cannot endure deposition temperatures above 400°C. However, based on the type of application of TaN and the type of fabrication process deposition temperatures from 300 °C up to 700/800 °C can be tolerated (in some cases even above 900°C).

24 Chapter 2 State of the art in research and motivation crystalline cubic TaC and TaN based on XRD-spectra due to their similar lattice constants of d = 2.503 Å for TaN and 2.572 Å for TaC, respectively. Although tantalum carbide is also an interesting material for a diffusion barrier,[111,112] pure TaN films are desired. Carbon containing TaN films are normally less stable and more susceptible for post oxidation and diffusion. However, this point is not clearly discussed in literature.

Ambiguous impact of ammonia Ammonia plays an ambiguous role. When used in a deposition process it definitely reduces the amount of carbon (originating from the precursor) that is incorporated into the films. On the other hand resistivity of the films can either decrease or increase when ammonia is used. The removal of carbon leads to the absence of tantalum carbide in the films. Now, if TaC was the real cause of conductivity, resistivity of the films will decrease with increasing ammonia flow rate (I). In addition, ammonia serves as an additional nitrogen source, which should not be required when all-nitrogen substituted tantalum complexes are applied in MOCVD. The excess of nitrogen could lead to preferred, but highly undesired formation of nitrogen rich

Ta3N5 (D). In some experiments ammonia seems to support the formation of nanocrystalline, cubic TaN and thus lowers the resistivity of the films, compared to films deposited without additional ammonia. Nevertheless, step coverage of the films decreases drastically (G). The effect of ammonia in combination with homoleptic amido complexes was studied in detail by means of mass spectroscopic analysis by S. Motojima et al. in 1975 and D. M. Hoffman.[90,113] Transamination reactions seem to be favored at temperatures below 600 °C (cleavage of

HNR2), while complete thermal decomposition of the precursors occur at higher temperatures. However, it is difficult to draw conclusions on the effect of ammonia on depositions of TaN due to the absence of a nitrogen rich Ti3N4-phase.

Oxidation of the films In many works, the incorporation of oxygen into the films is mentioned. This can happen either during deposition, caused by minor leaks in the reactor, or due to post oxidation after removal of the films from the reactor. The high oxophilicity of tantalum leads to the formation of insulating Ta2O5, when oxygen is present in the reactor chamber. The Ta2O5 has a strong influence on the resistivity of the whole film and can falsify the results of a whole experimental row.

25 Chapter 2 State of the art in research and motivation

Use of plasma assisted MOCVD In figure 9 it can be seen that all experiments that used ammonia-, hydrogen- or nitrogen- plasmas as reactants in depositions led to the formation of films with low resistivity that contained crystalline tantalum nitride and/or tantalum carbide. The substrate temperatures were below 350°C. However, the use of plasma bears several problems, including a less- conformal growth over the whole substrate and the derogation of the underlying substrate.

26 Chapter 2 State of the art in research and motivation

the using

boxes show

Grey boxes show experiments

each experimental row, the data set of

ng deposition. the industry that have to be met. Yellow

iments that have been reported so far. From

ue boxes indicate the use of ammonia duri

yed. The green box marks the expectations of

. Diagram including the majority of the exper

plasma assisted CVD or certain reactive gases. film with the lowest resistivity is displa experiments using the precursors as SSP. Bl 9 Figure

27 Chapter 2 State of the art in research and motivation

2.1.3 MOCVD of niobium nitride

The number of precursors that have been tested to deposit niobium nitride thin films is very small compared to TaN (figure 10). In the first instance, NbCl5 was used as a precursor in MOCVD processes. Using nitrogen and hydrogen as co-reactants, high substrate temperatures are required in order to deposit the metal rich phases Nb2N and Nb4N3 as well as the stochiometric NbN (as mixture of phases).[24,25,86] Together with ammonia and hydrogen, [27] cubic NbN is obtained (TSubstrate = 900 °C). An alternative reactant is hexamethyldislazane that gives cubic, rock salt phase NbN. This atmospheric pressure MOCVD process can be carried out at much lower substrate temperatures of about 550°C.[85] The only metal-organic precursors so far that have been tested in pure MOCVD-processes were [Nb(NEt2)4] and [91] [Nb(NMe2)5] by Hoffman et. al. , [NbCl2(N-t-Bu)(HN-t-Bu)(H2N-t-Bu)]2 and [104] [NbCl2(NNMe2)(HNNMe2)(H2NNMe2)]n by Winter et al. and [NbCl3(NSiMe2Ph)- [107] (NH2SiMe2Ph]2 by Williams and his group. The homoleptic amido complexes gave, in accordance with the results of Hoffmann et al. in the case of tantalum, the nitrogen richest phase of niobium, Nb3N4, which is a conducting phase. This assumption is based on studies of the composition of the films. XRD-measurements were not possible due to the amorphous character of the films. The high dosage of ammonia during deposition reduced the amount of incorporated carbon below 3%. The two dichloro-complexes, synthesized by the reactions of

NbCl5 with Hdmh and tert-butylamine respectively, were used to grow nano-crystalline, cubic NbN thin films at substrate temperatures of 500°C. The same result was observed for the silicon containing precursor [NbCl3(NSiMe2Ph)-(NH2SiMe2Ph]2, although it is unlikely that it can be volatilized without decomposition. Two precursors, namely [Nb(NEt2)4] and the 3 pentavalent complex [{η -N(CH2CH3N-i-Pr)}Nb(N-t-Bu)] that contains a triamidoamide- group were tested together with hydzaine plasma to produce cubic NbN.[114]

28 Chapter 2 State of the art in research and motivation

Figure 10. Selected MO-precursors that have been tested for the MOCVD of NbNx thin films.

2.2 Previously reported ALD experiments for group(V) nitrides

The main emphasis in the ALD of group V nitride materials was directed to tantalum nitride (2.2.1). Experiments for the ALD of NbN are discussed in 2.2.2.

2.2.1 ALD of tantalum nitride

The first experiment for the deposition of TaN via ALD was already performed in 1988 by Hiltunen et al. together with other metal nitrides.[115] However, little analytical data was given and full studies were not presented until 1999.[116] The development of the ALD of TaN is similar to the progression in MOCVD experiments. In the beginning metal chlorides were used for depositing thin TaN-films. The big advantage of metal chlorides is their high thermal stability. Therefore, very high surface temperatures (500 °C) can be applied in ALD- experiments when e.g. TaCl5 is used as a precursor. Deposition experiments with metal organic precursors have to be carried out at much lower temperatures. Otherwise, parasitic MOCVD-growth can be observed and the deposition is not self-limiting.

ALD using TaCl5 and TaBr5 as precursors Table 2 gives an overview of the experiments that have been performed so far, including structural and physical properties of the films.

29 Chapter 2 State of the art in research and motivation

Table 2. Experiments for the ALD of TaN thin films using metal halides. Precursor Reactive Substrate Phase/crystallinity Resistivity Comments on Ref. Component temperature contamination in °C

TaCl5 NH3 200-500 °C Orthorombic Insulating Below 300 °C high 116 Ta3N5 chlorine contamination (above 400 °C) of the films

TaCl5 NH3 and zinc 400-500 °C Cubic TaN Conducting Low level of 116 900 μΩ·cm contamination

TaCl5 NH3 and 250-400 °C Cubic TaN, or 1,300- High contamination 117 AlMe3 cubic TaC of low 7,500 with C, Cl, Al crystallinity μΩ·cm (total: 50-60 %)

TaBr5 NH3 and Zinc 400-500 °C Comparable results to TaCl5 (ref. 106) 117

TaBr5 NH3 and 250-400 °C Low crystalline 6,600- High contamination 117 AlMe3 TaN-films 64,000 with Al, C, Cl μΩ·cm

TaCl5 NH3 and 300-500 °C Cubic TaN and 36,000- Low contamination 118

SiH(NMe2)3 insulating Si3N4 220,000 with chlorine or carbon (based on XPS) μΩ·cm

TaCl5 1,1-dimethyl- 300-400°C Amorphous Insulating Most probably Ta3N5 119 hydrazine was formed

TaCl5 N2/H2-plasma 100-400 °C Cubic TaN 350 μΩ·cm Film contains chlorine 120, (300 °C) 121

The first approach that has been attempted is the alternating pulsing of the metal halide and ammonia at substrate temperatures of 200-500 °C. It seems that ammonia is not suitable to reduce the Ta(V)-center of TaCl5 to Ta(III) at these temperatures. Ritala et al suggested that [116] only liberation of HCl occurs, thus giving insulating, nanocrystalline Ta3N5 (figure 11a).

Figure 11. Proposed reactions for the ALD of TaNx using a) TaCl5 and ammonia or b) ammonia and gaseous zinc.

Hence, various metal based and organic reducing agents were tested. Metallic zinc together with ammonia was successfully applied and cubic, conductive TaN was obtained (figure 11b). The use of gaseous zinc requires very high temperatures (min 400 °C) for the whole transfer line system, including the valves. Therefore industrial use does not seem to be promising. The use of trimethylaluminum (TMA) as the reactive compound led to the formation of conductive, nanocrystalline films. It could not be found whether cubic TaN or cubic TaC was the conductive species. These films were heavily contaminated with aluminum, carbon and chlorine and consisted of less than 40-50% of tantalum and nitrogen. Replacement of TaCl5

30 Chapter 2 State of the art in research and motivation

by TaBr5 showed no improvement in the deposition behavior. The use of organic compounds as reducing reagents was not successful. Pulsing TDMAS (Tris(dimethylamino)silane,

HSi(NMe2)3) during the ALD-cycles led to the formation of cubic TaN, but concurrently, insulating, amorphous Si3N4 was deposited. Thus, the films were less conductive with resistivities above 3.6 x 105 μΩ·cm. Even higher resistivities were obtained in depositions employing TaCl5 and unsymmetrical dimethylhydrazine. Insulating, amorphous Ta3N5 was deposited in the temperature range from 100 to 400 °C. Most probably only transamination reactions took place and the reductive capability of hydrazine as such was too low at these temperatures.

Plasma-enhanced ALD (PEALD) of TaCl5 and a mixed hydrogen/nitrogen plasma showed the best results. Highly conductive, cubic TaN was deposited even at 300 °C. Nonetheless, applying aggressive plasmas in deposition experiments always bears the risk of surface degradation. In all experiments, ALD-growth (self-limitation) was observed and the films were highly conformal. Generally, halide incorporation is a drawback (corrosion, electrical properties) that was already discussed for the MOCVD experiments of TaN using TaCl5. The oxygen content of the films plays an important role for the electrical properties of the films. All films (ref. 106-111) contained 5-8 % of oxygen. The main reason, given by the authors is post oxidation of the film, once it was removed from the reactor. ALD films are generally not as dense as bulk TaN or MOCVD deposited TaN thus displaying a certain porosity, susceptible for the diffusion of oxygen into the films. However, small leaks in the reactor setup could be an alternative source of oxygen.

ALD using metal organic precursors (TBTDET and TAIMATA)

The two mixed amido/imido compounds TBTDET ([Ta(NEt2)3(N-t-Bu)]) and TAIMATA

([Ta(NMe2)3(NCMe2Et)]) were tested for ALD purposes together with the co-reactants ammonia as well as hydrogen plasma (table 3). The results regarding the use of ammonia are contradicting. Two groups (TAIMATA[122], TBTDET[126]) reported that amorphous, almost 3 insulating TaNx films were deposited. These films had very low densities (~3.6 g/cm ; for comparison: bulk TaN: 13.7 g/cm3) and were highly susceptible towards post oxidation in air. These results agree with the general assumption that ammonia is not suitable to act as a reducing agent at low temperatures, but only as a proton donator for transamination reactions or the protonation of metal chlorides (see figure 11a). O. van der Straten and his co-workers on the other hand reported the formation of completely amorphous, but very conductive TaN

31 Chapter 2 State of the art in research and motivation films using TBTDET and ammonia at substrate temperatures of 250 °C. So far no other reports exist in which completely amorphous TaNx films are conductive. Therefore these results have to be considered with care. Unfortunately, no colors of the films are given. Metallic, stochiometric TaN would show a golden/brown mirror-like appearance, while thicker films of insulating TaNx (x > 1) result in green, blue and violet surface colors.

Table 3. Experiments for the ALD of TaN thin films using metal organic compounds. Precursor Reactive Substrate Phase/crystallinity Resistivity Comments on Ref. Component temperature contamination in °C

TAIMATA NH3 250 °C Amorphous Ta3N5 insulating Heavily oxidized 122 (20 % oxygen)

TAIMATA H2-plasma 250 °C Cubic TaN 366 μΩ·cm 17 % carbon 122

TBTDET NH3 250 °C Amorphous TaN 500-1,000 7 % carbon, 7 % 123, μΩ·cm oxygen 124 6 TBTDET NH3 260 °C Amorphous TaNx 1.4 x 10 Aging of the film is 126 μΩ·cm observed

TBTDET H2-plasma 260 °C Cubic TaN 400 μΩ·cm 20 % carbon 125, 126

The results for films, deposited from TBTDET or TAIMATA, using hydrogen plasma are consistent. Cubic TaN (XRD) films having high conductivity and a relative high density (~7.9 g/cm3) were deposited. It seems as though hydrogen-plasma does not have the ability to inhibit the incorporation of carbon into the films (17-20 atomic %). It can be concluded that no significant protonation of the amido groups and consequent cleavage of amines occur. Due to the higher density of the films, no aging effects in air (post oxidation) were observed.

2.2.2 ALD of niobium nitride

So far only NbCl5 has been used as precursor for the deposition of NbNx thin films. Due to the fact that no insulating NbN phases are known, all depositions led to conducting films.

Experiments using ammonia as the co-reactant resulted in NbNx films that contained significant amounts of chlorine (depending on the substrate temperature). The crystalline phase could not be explicitly assigned (δ-NbN or Nb4N5). Time Of Flight – Elastic Recoil Detection Analysis (TOF-ERDA) clearly showed that the films were nitrogen rich. This indicates the formation of Nb4N5. Oxidation of the films does not play an important role. The oxygen content always remained below 1 %. The use of zinc as an additional reducing agent did not show fundamental improvement of the quality of the films. Likewise, no positive

32 Chapter 2 State of the art in research and motivation effect was achieved when dimethylhydrazine was used as the source of nitrogen in the ALD process.

Table 4. Experiments for the ALD of TaN thin films using metal organic compounds. Precursor Reactive Substrate Phase/ Resistivity Comments on Ref. Component temperatu crystallinity contamination re in °C

NbCl5 NH3 and zinc 500 °C Crystalline δ-NbN 200 μΩ·cm Oxygen < 1%, but 127 or Nb4N5 Nb:N ratio = 2:3

NbCl5 NH3 500 °C Crystalline δ-NbN 500 μΩ·cm Oxygen < 1%, but 127 or Nb4N5 Nb:N ratio = 2:3

NbCl5 NH3 250 - Most probably 16,000 μΩ·cm Oxygen < 1%, 128 500 °C Nb4N5 (250 °C) chlorine: 600 μΩ·cm 8 % at 250°; (500 °C) < 1% at 500 °C

NbCl5 1,1- 400 °C Low crystallinity 2,900 μΩ·cm 5 % of chlorine 119 dimethyl- hydrazine

33 Chapter 3 Starting compounds and their synthesis

Chapter 3 Starting compounds and their synthesis

This chapter discusses the synthesis of all starting compounds that were not commercially available. The existence of the majority of the compounds has already been reported, including their synthesis. However, the scales of the batches were rather low, so were the yields in some cases. The aim was to upscale the amount per badge and increase the yield.

3.1 Synthesis of mixed amido / imido compounds of tantalum and niobium

A large variety of tantalum and niobium amido and imido complexes of the type 3 1 2 [M(NR3)(NR1R2)3] (M = Ta, Nb; R = t-Bu, n-Pr; R ,R = Me, Et) was synthesized within this work. The synthesis always started from the metal(V)-chloride. Basically two synthetic routes have been reported, a one- and a two-step synthesis in which a pyridine stabilized intermediate product is isolated. In order to synthesize the amido/imido complex in one step, four equivalents of the lithiated amide [LiNR1R2] and one equivalent of 3 [LiNHR ] are added to [TaCl5] at room temperature. The reactions take place very rapidly and the addition of both ligands at the same time seems to lead to mixture of different species. Therefore the yields of these reactions are rather low (table 5, page 36) and the compounds are not as pure as the products obtained from the two step synthesis.[129] Thus we decided to use the two-step synthesis which guarantees higher yields, but on the other hand requires higher efforts and takes longer time.[130,131,132,133,134] The first step consists of the reaction of a secondary amine (tert-butyl amine) with a metal chloride (figure 12). Chlortrimethylsilane is used as a catalyst and forms with the amine an intermediate silyl-amine complex (Me3Si- 3 NHR ). After the reaction of this complex with the metal chloride, free SiMe3Cl is re-formed.

Figure 12. Synthetic routes for S1-S3, which are the intermediate compounds for the synthesis of S4-S9.

34 Chapter 3 Starting compounds and their synthesis

Due to the lower π-donating effect of the chloro ligands in comparison to the amido-ligands in the amido/imido-complex, the metal-chloro/imido complex is electronically not saturated and requires stabilization by two pyridine molecules. Instead of pyridine, it is also possible to use tetrahydrofurane as the stabilizing donor.[135] The synthesis of S1-S3 in this work was carried out using toluene as the solvent. The hydrochloric acid that was liberated during the reaction precipitated in the form of pyridinium and ammonium salts. These salts could be removed by simple filtration, while the products remained solved in toluene. The solubility of the products (S1-S3) in toluene was increased by adding further amounts of pyridine to the reaction mixture. After recrystallization from hot toluene (ca. 2 ml toluene per gram S1-S3) the yellow-orange products were obtained in pure form. The yields in all reactions were about 80 % in respect to the amount of TaCl5 that is employed. Attempts to synthesize a trichloro/imido complex using Hdmh instead of the amine were not successful. An orange, insoluble solid was formed during the reaction. In the second step of the reaction, the chloro-imido-compounds were brought to reaction with three equivalents of lithiated amines in order to synthesize S4-S9 (figure 13).

Figure 13. Synthetic route for S4-S9. The second step of the two-step synthesis is shown.

The lithiated amines were added to the metal complexes as suspensions in hexane. LiNMeEt and LiNEt2 had to be prepared from the corresponding amine and, butyllithium, prior to use. Higher yields of the products (S4-S9) were obtained when the freshly prepared suspensions of the lithiated amines were stirred at room temperature for 24 hours before they were brought to reaction with the metal complex. It seems that the reactivity of the amides was reduced, probably due to agglomeration of the particles in the suspension. This reduced reactivity led

35 Chapter 3 Starting compounds and their synthesis to a lower amount of side products that were formed during the reactions. Our yields as well as the batch sizes were well above the values, reported in previous publications. The range in yields was about 50-70 % based on TaCl5 and 63-87 % based on the pyridine stabilized educts (table 5).

Table 5. Yields of the reactions for the formation of S4-S9 from our experiments, compared to the experiments from previous works. [129-132] One-step Two-step This work (two- Color/ Comp M R1 R2 R3 synthesis synthesis step synthesis) phase TaCl yield TaCl Yield TaCl Yield 5 5 5 in g in % in g in % in g in % S4 Ta Me Me t-Bu 14.7 37 -- -- 18 59 yellow solid yellow- S5 Ta Me Et t-Bu -- -- 10 <40 39 68 colorless liquid S6 Ta Et Et t-Bu -- -- 50.0 60 60 64 yellow liquid S7 Ta Et Et n-Pr -- -- 2.4 55 9 73 orange liquid yellow-green S8 Nb Me Me t-Bu 11.1 18 -- -- 13.5 57 solid S9 Nb Et Et t-Bu -- -- 3.0 18 -- -- yellow liquid

All amido/imido compounds are highly volatile and can be distilled/sublimed at temperatures of about 60-80 °C in vacuum. The dimethylamido substituted complexes S4 and S8 are solids at room temperature (with low melting points), while the other compounds are liquids, having a slightly higher viscosity than water. The colors of S4-S9 varied, depending on the rapidness of addition of the lithiated amide to the metal compounds S1-S3. The faster the addition, the darker was the color of the crude products after removal of solvents (orange to almost black). The same holds for the color of the products after distillation (colorless to orange). Elemental analysis of the compounds revealed no significant amounts of impurities after a single distillation. All NMR-data were in accordance with the data that has been published before. The of complex S8 has not been reported so far and will be discussed in the following. Unintentionally, during one experiment of crystallization of S3, water diffused into the Schlenk tube, giving crystals of the hydrolyzed form of S3 (referred to as S3’). This complex will also be discussed in brevity.

3.1.1 Single crystal X-ray analysis of S8, S3 and the hydrolyzed form of S3 (S3’)

Crystals of S8, suitable for a structural characterization via single crystal X-Ray diffraction were collected from the sublimation finger of the purification of the raw, as-synthesized

36 Chapter 3 Starting compounds and their synthesis material of S8. The green-orange colored crystals were handled in inert perfluorated oil and mounted on a glass tip. The crystals were of good quality and resulted in relatively low R- values of the refined data (molecular structure: figure 14). The atom C(4) of the complex is disordered. The molecule itself is highly symmetric, bearing a C3-axis along the imido- niobium axis (figure 13). The coordination of the four nitrogen atoms around the niobium center equals a slightly distorted tetrahedron (N(1)-Nb(1)-N(2) = 107.73 (8)°; N(1)-Nb(1)- N(1)’ = 111.16(8)°). The imido group seems to be ideally sp-hybridized, thus donating altogether six electrons to the niobium center. The Nb(1)-N(2)-C(3) angle is 180° and the Nb(1)-N(2) bond length is 1.757 Å. This is slightly longer than in the case of the halide [136] containing complex [Nb(NCMe3)Cl3(dme)] (1.722(7) Å). The difference can be explained by the higher donor strength of the amido groups compared to the chloride ligands. More electron density is pushed into the LUMO-orbitals of the Nb-imido bond, which lengthens the bond. The amido groups seem to be almost ideally sp2-hybridized. The sum of the bond angles around N(1) is exactly 360° and the out of plane angle of the amido group is about 177°. Therefore the complex can be described as an 18 valence electron d0-complex of niobium.

Figure 14. Molecular structure of S8 in the solid state. The C3-rotation axis goes along the C(3)-N(2)-Nb(1) axis.

Crystals of S3 were obtained from a concentrated solution of toluene at -30 °C. However, the structure has been already reported with similar R-values.[130] Thus, the parameters of this structure are only discussed in relation to the hydrolyzed product S3’. The hydrolysis of S3 led to the formation of the colorless, yellow complex [O{Nb(N-t-Bu)Cl2(py)2}2]. Unfortunately it was not possible to reproduce the synthesis of S3’, therefore further

37 Chapter 3 Starting compounds and their synthesis analytical data, e.g. NMR spectra and CHN-analysis cannot be provided. S3’ crystallizes in the monoclinic crystal system with the space group P2(1)/c. The quality of the crystals was sufficient, for solving the molecular structure of S3’ in the solid state (figure 15). Structural analysis revealed that two toluene molecules per complex are incorporated in the crystal lattice. These solvent molecules show no interaction with the Nb-complex and are therefore not discussed furthermore. Both niobium atoms of the dimeric complex are coordinated in an octahedral fashion with one oxygen atom as the bridging unit. The other five ligands are one tert-butyl-imido group, two pyridine and two chloro-atoms. This clearly shows that one chloro-ligand per Nb-center from the starting complex S3 has been removed by proton transfer from a water molecule. The Nb(1)-O(1)-Nb(2) is almost linear with a bond angle of 172.6 (3)°. This feature and the Nb-O bond length are in good accordance to the comparable [137] structure of the complex [O{NbCl3(mecp)(OH2)}2] (mecp = methylcyclopentadienyl). 2- 2- The bond strength of the three ligands can be classified in the descending order NR > O 1/2 > Cl- > pyridine. The strongest, π-donating ligand [N-t-Bu2-] is arranged opposite to the relative weak donor pyridine (which is not covalently bonded). The Nb-Cl bond length is elongated in the case of the chloro-ligand opposite to the oxygen (Nb(1)-Cl(2): 2.468(3) Å; Nb(2)-Cl(3): 2.462(2) Å), in comparison to the chloro ligand, opposite to the neutral pyridine ligand (Nb(1)-Cl(1): 2.426(3) Å; Nb(2)-Cl(4): 2.419 Å). All other structural issues regarding bond length and bond angles are almost identical in the cases of S3 and S3’.

Figure 15. Molecular structure of S3 and S3’ in the solid state. The structure of S3 has already been reported. The carbon atoms of the pyridine ligands of S3’ are omitted for reasons of clarity.

38 Chapter 3 Starting compounds and their synthesis

3.1.2 Thermal properties of the compounds S4-S9

All niobium as well as tantalum amido/imido complexes exhibit high volatility. The dimethyl- amido substituted complexes are low melting solids (S4, S8) while the other complexes are already liquid at room temperature (S5, S6, S7, S9). The compounds could be distilled at temperatures between 90 and 115 °C for purpose of purification. Diethylamido-substituted complexes (S7, S8) required the highest oil bath temperatures. The long-term stability of the mixed amido/imido complexes at temperatures of about 60-70 °C is limited. After a heating period of several hours, the colorless/yellow compounds turned to orange/red/brown. The decomposition products were non-volatile. Repeated sublimation of these aged compounds yielded pure, colorless to yellow liquids and solids. TG/DTA analysis of all compounds was performed in aluminum crucibles with a heating rate of 5 °C/min and in inert nitrogen gas flow (purity: 6.0) (table 6). The melting points of the two solids were determined to be 70.4 °C (S4) and 72.8 °C (S8).

Table 6. Thermal parameters of the compounds S4-S9 (n.d. = not determined; n.v. not clearly visible) Complex Mass in M.p. 5 % weight Onset of Residual Theoretical, g/mol in °C loss reached decomposition mass in residual mass for at T = in ° C % pure MN in %

S4 [Ta(NMe2)3(N-t-Bu)] 384.30 70.4 103 °C n.v. 12.8 50.7

S5 [Ta(NEtMe)3(N-t-Bu)] 426.38 n.d. 101 °C 189 11.1 45.7

S6 [Ta(NEt2)3(N-t-Bu)] 468.46 n.d. 107 °C (appr. 192) 13.0 41.6

S7 [Ta(NEt2)3(N-n-Pr)] 454.43 n.d. 114 °C 189 15.4 42.9

S8 [Nb(NMe2)3(N-t-Bu)] 296.25 72.8 95 °C n.v. 13.6 36.1

S9 [Nb(NEt2)3(N-t-Bu)] 380.41 n.d. 117 °C 162 16.9 28.1

Although thermal decomposition of the compounds already occured at temperatures below 100 °C (e.g. in reservoirs for MOCVD-reactors), it is possible to identify a clear onset of substantial decomposition for the compounds S5, S7 and S9 in the TG-spectra. The onset of this considerable decomposition should result in a sudden mass loss of the compound in addition to the mass loss, caused by evaporation of the undecomposed compound. Figure 16 displays this sudden increase in weight loss for S5, S7 and S9. According to these data, small exothermic peaks can be observed in the DTA of these complexes. Figure 16 also shows the absence of a sudden weight loss in the TG of S4, S6 and S8. The lack of clear signals for decomposition in the DTA-spectra indicates a floating decomposition process. Recording DTA-spectra at higher heating rates (10 °C/min) would be helpful to distinguish the onset of thermal decomposition.

39 Chapter 3 Starting compounds and their synthesis

Figure 16. Left: Weight loss of compounds S5, S7 and S9 in µg per 5 seconds as a function of temperature (heating rate: 5 °C/min). The sudden increase in weight loss marks the beginning of thermal decomposition. In the case of S4, S6 and S8, this step cannot be observed (right side).

An indicator for the order of the compounds with respect to their volatility is the temperature that has to be reached until 5 % weight loss of the compounds occur (table 6). In accordance to the experiences during distillation of S4-S9 it can be concluded that the diethyl substituted congeners are less volatile than the dimethyl and methyl-ethyl substituted compounds. It would be too simple to take the difference in the molecular masses in account for the different volatility. Comparing the pairs S4/S8 and S6/S9, the identical niobium and tantalum complexes, the niobium containing complex S8 is more volatile than S4 (tantalum-complex), while S9 (niobium) seems to be less volatile than S6 (figure 17). Naturally intermolecular interactions in the solid state/liquid are the cause for the differences in volatility. In order to get reliable data for the sequence of volatility S4-S9, isothermal studies at temperatures of about 60-80 °C would be required. The last two columns of table 6 summarize the experimental residual masses in TG/DTA analysis (in %) versus the theoretical residual masses if the compound is fully decomposed to pure TaN or NbN. In all cases it is clearly visible that most of the precursor evaporates and only partly decompose. Reducing the heating rate from 5 °C/min to 2.5 °C/min will most presumably lead to a further reduction of the residual masses.

40 Chapter 3 Starting compounds and their synthesis

Figure 17. Complete TG/DTA analysis of the two congeners S4 (a) and S8 (b). Comparison of the TG-curves of the pairs S4 / S8 (c) and S6 / S9 (d).

3.2 Ligand synthesis

According to the claim of developing precursors that can be easily synthesized in larger quantities it makes sense to avoid complex ligands that are not easily accessible. This was one reason for taking the trimethylsilyl-substituted ligand Htdmh instead of the silicon-free ligand trimethylhydrazine. The synthesis of HNMeNMe2 as such is rather complicated, gives only low yields and involves the use of noble metals as catalysts. In the following the synthesis of Htdmh and of a lithiated guanidinate-ligand is described.

41 Chapter 3 Starting compounds and their synthesis

3.2.1 Synthesis of N-trimethylsilyl-N’,N’-bisdimethylhydrazine (Htdmh)

Two ways have been described in literature to synthesize Htdmh (figure 18). W. L. Gladfelter et al. (2003) synthesized Htdmh by a salt metathesis reaction between freshly prepared [145] LiHNNMe2 (MeLi + Hdmh) and trimethylchlorsilane (I). The reaction involves large amounts of MeLi-solution (> 100ml, highly pyrophoric) and gives yields of 54 % (14 g per batch).

Figure 18. The two ways for the synthesis of Htdmh. I: Involvement of MeLi is required (W. L. Gladfelter); II: Straightforward synthesis via liberation of HCl and consequent formation of a hydrazonium salt as an insoluble byproduct.

The other synthetic route (II) already reported by U. Wannagat in 1966 is based on a simple Brønstedt acid/base reaction of Hdmh and chlorotrimethylsilane. HCl is liberated in this reaction and forms a hydrazonium salt with a second equivalent of Hdmh.[138, 139] The addition of the silane to Hdmh is carried out at room temperature under inert gas. The yield of the reaction after distillation is about 88 %. It is possible to synthesize 58 grams of pure Htdmh in one batch. Certainly, the second route is advantageous for obtaining large amounts of Htdmh by a simple one step reaction. Probably, Gladfelter et al. did not have access to the synthetic route due to the fact that Wannagat described the synthetic route in a journal, published in german. Htdmh is a colorless liquid that can be distilled at temperatures of about 100-115 °C and atmospheric pressure. Proton-NMR spectroscopy of Htdmh shows only two signals (singuletts): one signal for the two methyl groups, bonded directly to the nitrogen atom (δ = 2.21 ppm) and one for the protons of the trimethylsilyl-group (δ = 0.13 ppm). It is important to mention that Hdmh as well as Htdmh are highly toxic, and are suspected to cause cancer. It

42 Chapter 3 Starting compounds and their synthesis should be strictly avoided to bring these compounds in contact with oxidizing agents, e.g. sulfuric acid since explosive decomposition of the hydrazines can occur.

43 Chapter 4 Hydrazido based precursors of tantalum

Chapter 4 Hydrazido based precursors of tantalum

In this chapter, all results of the reactions of tantalum compounds and hydrazine derivatives are presented. After a short introduction regarding the interrelation of hydrazines and the MOCVD and ALD-processes, the successful reactions of mixed amido/imido compounds of tantalum with 1-trimethylsilyl-2,2-dimethylhydrazine (Htdmh) are described and the results are presented. Thereafter, reactions of 1,1-dimethylhydrazine (Hdmh) and lithiated hydrazine derivatives with tantalum compounds are described. Unfortunately, no isolable compounds were obtained, but decomposition was observed instead.

4.1 Hydrazine derivatives as useful ligands for new MOCVD and ALD precursors

Hydrazine derivatives possess the potential of playing an important role in the formation of metal nitride thin films for applications as diffusion barriers or metal gate electrodes. Two options can be considered for using hydrazine based molecules in MOCVD or ALD processes.

First of all they may be used as a reactive gas during the formation of the thin films in the aforementioned processes. Hydrazine derivatives are used due to their strong reducing abilities and their relatively labile nitrogen-nitrogen single bond. This bond can be easily broken even at lower temperatures, thus providing reactive, unsaturated nitrogen fragments. Hydrazines also serve as nitrogen sources comparable in their chemical behavior to ammonia, although they display higher reactivity.[140] The use of hydrazines in MOCVD processes is well known for the formation of epitaxial gallium and thin films.[141] Gaseous hydrazine was also successfully applied in the direct nitridation of thin foils of early as well as late transition metals (Co, Cr, Fe, Mo, Ta, Ti, V and W).[142] ALD-experiments have been [119] carried out using TaCl5 and Hdmh for the formation of TaN. However, no conducting films were obtained (formation of amorphous Ta3N5), and the deposited films contained up to

14 % of chlorine. Recently, our group tested the deposition behavior of [Hf(NEt2)4] with dimethylhydrazine as the reactive co-reactant and it was found out that cubic, conducting hafnium nitride could be obtained only when hydrazine was used as a reducing agent, instead of ammonia. Problems in these experiments occur due to the dangerous properties of hydrazines as such. When hydrazines come in contact with strong oxidizing agents e.g.

44 Chapter 4 Hydrazido based precursors of tantalum concentrated sulfuric or nitric acid (in the case of exhaust scrubbing), they tend to get oxidized in an explosion-like manner.[n] Even substitution of protons at the hydrazine by hydrocarbon groups does not significantly lower this reactivity towards oxidizing agents.[143] In addition, hydrazine derivatives appear to be highly poisonous and they are suspected to cause cancer. Unfortunately, even an excess of hydrazine has to be used in the deposition of thin films, which corrodes the fittings of the reactor lines and sets high demands for cleaning the exhaust gases.[144] In order to avoid dealing with high amounts of free hydrazine derivatives as reactive gas, the second option is to insert these derivatives in form of ligands into the ligand sphere of the metal complexes that are then used as precursors in MOCVD and ALD processes (figure 19).

Figure 19. Ligands used for the synthesis of precursors mentioned either in this work or in closely related publications.

1 1 First reports exist in which the homoleptic complexes [M (tdmh)3] (M =Ga, In) were synthesized to obtain precursors for the MOCVD of gallium and indium nitride.[145] In these cases, the ligand is supposed to serve only as a nitrogen source at low temperatures, because gallium and indium already reside in the +III oxidation state. No MOCVD experiments were carried out within these studies. Only two systems have been investigated for the use of hydrazine derivatives in the precursor synthesis of early transition metals: 1. The synthesis of homoleptic, trimethylhydrazido complexes of Zr(IV) and Hf(IV) as well as mixed chloro/trimethylhydrazido complexes thereof. The complexes are volatile (sublimation occurs at 90 °C, 0.06 mmHg), but were not used in MOCVD- processes.[146,147]

2. Reactions of TaCl5 and Hdmh led to the formation of a mixed

amine/amido/chloro/imido complex [TaCl2(NNMe2)(NHNMe2)(NH2NMe2)] with low

[n] Therefore, hydrazines were used as fuel for rockets from the 1940’s on, until today. One system that has the advantage of igniting itself (so called hypergolic fuel) is the system 1,1-dimethylhydrazine / dinitrogentetroxide.

45 Chapter 4 Hydrazido based precursors of tantalum

volatility. Surprisingly, conductive, cubic TaN films could be produced using this compound as a MOCVD precursor.[106] In this work, we investigate reactions between Htdmh and tantalum compounds. One reason for using the tdmh ligand in particular is that this ligand has already been successfully tested in the case of the aforementioned precursor synthesis for the MOCVD of GaN and InN. Besides the transfer of this system to tantalum, containing silicon is also interesting for a precursor in terms of silicon-incorporation into the films during MOCVD. TaSiN thin films have already been tested on their behavior as liner materials (diffusion barriers). It has been shown that the incorporation of silicon into TaN films drastically increases the thermal stability of the films in comparison to silicon-free TaN-films.[148,149] The thermal stability of the material is crucial for its performance as a diffusion barrier for copper. Additionally, it can be expected that the reduction of Ta(V) to Ta(III) during MOCVD (the formation of conductive TaN) is favored due to the hydrazines’ ability to act as reducing agents. The reactions can be categorized in two different ways: Transamination-reactions (Htdmh (4.2) or Hdmh (4.3) with mixed Ta-amido/imido compounds) and salt metathesis-type of reactions (Li-tdmh and halide containing Ta-compounds (4.4)).

4.2 Synthesis of amido / imido / hydrazido complexes A1-A3 by transamination reactions

Transamination (ligand exchange) reactions of the amido/imido compounds of tantalum and the protonated form of tdmh will only take place, when the bond between the tdmh anion and the Ta-center is favored over the Ta-NR2 (amido) bond. The two parameters that determine the likeliness of ligand exchange are mainly the pKA-values of the two competing ligands and the abilitity of the ligands to serve as chelating ligands. The pKA-values of dialkylamines are typically in the range of 10.5-11.5 [150], while the value for hydrazine derivatives are about 7.0-8.0.[151] Therefore, it can be concluded that deprotonation of the hydrazine takes place, and the formerly bonded amido group is protonated. In addition, the hydrazido ligand can act as a chelating ligand, which lowers the probability of cleavage of the hydrazido ligand, once it is attached to the tantalum center.

4.2.1 Synthetic route, purity and properties of the compounds A1-A3

The starting materials [Ta(NMe2)3(N-t-Bu)], [Ta(NMeEt)3(N-t-Bu)] and [Ta(NEt2)3(N-t-Bu)] were treated with the ligand Htdmh under various conditions. The steric demand of the

46 Chapter 4 Hydrazido based precursors of tantalum hydrazido ligand typically prefers side-on coordination which significantly limits the number of amido-groups that could be exchanged.

Figure 20. Reaction equations for the formation of A1-A3 by ligand exchange reactions between S1-S3 and Htdmh.

In all reactions of tantalum amido/imido complexes, even with a large excess of Htdmh and long periods of refluxing, only a single amido group was replaced (figure 20). This already leads to a five-coordinated Ta-complex, which is an odd (and thus not favored) coordination number for tantalum, but gives an 18 valence electron complex. One four-electron donor NR2 is replaced by the side-on coordinated two + two electron donor tdmh. The assumption that steric hindrance is a limiting factor in the substitution reactions is supported by the increasing reaction time for alkylamido substitution in the order NMe2, NMeEt, NEt2. The reaction rates as well as the purity and sensitivity of these compounds towards moisture will be discussed below.

Reaction rates The reactions were carried out by simply adding a small excess of Htdmh to the slightly yellow solutions of the starting compounds in hexane. In the synthesis of A3, a large excess of Htdmh and a refluxing period of 5 days was necessary for quantitative formation of the product. After two days at room temperature, only 10% of [Ta(NEt2)3(N-t-Bu)] reacted to form A3 (based on 1H-NMR). NMR-scale experiments with 1.5 eq. of Htdmh and 5 eq. of

Htdmh, together with [Ta(NEt2)3(N-t-Bu)] showed that the effect of the concentration of Htdmh on the reaction rate is significant. After a reaction time of 48 hours at 80 °C, 45 % (1.5 eq. of Htdmh) and 65 % (5 eq. of Htdmh) of A3 were formed, respectively. From these facts it can be assumed that the rate determining step is most likely an associative step (Htdmh to the Ta-complex), and not a dissociative one (cleavage of one amido group). Otherwise, the reaction rate should be independent of the concentration of Htdmh. This is in good

47 Chapter 4 Hydrazido based precursors of tantalum accordance with the fact that unsaturated complexes usually undergo associative ligand substitutions.

Purity and sensitivity towards moisture Elemental analysis revealed that the products were synthesized in sufficient purity, with batch sizes up to 50 g. The crude products showed only small amounts of impurities. Nevertheless, all compounds were purified by short path distillation at temperatures between 120°C and 143°C under vacuum conditions (0.01 mbar) prior to further analysis. All three compounds are liquid, but have a higher viscosity and higher boiling points than the starting compounds (70-90°C). In comparison to the starting compounds, the air sensitivity of A1-A3 decrease drastically. NMR-samples sealed with normal caps showed only small signs of decomposition of A3 (free diethylamine) after 48 hours, while samples of [Ta(NEt2)3(N-t-Bu)] were completely decomposed after 24 hours (formation of white solid).

4.2.2 Spectroscopic analysis of the compounds A1-A3

1H- and 13C-NMR spectra were recorded in order to characterize the compounds. Integration of the peaks clearly shows that one amido group was replaced. In figure 21, the 1H-NMR- spectra of A1 and A2 are displayed. As a representative example, the spectroscopic features of compound A2 are discussed here. Due to the chirality of A2, the two diastereotopic protons of the Ta-N-CH2-CH3 groups are split into two doublets of quartets. Nevertheless, the two amido-groups are chemically equivalent in solution, resulting in one set of peaks. The methyl groups, bound to one of the hydrazido nitrogen atoms (δ = 2.42 ppm) appear as one peak in the NMR-spectrum, indicating that rotation along the N-N axis is possible in solution. EI- Mass spectra at 30 eV or 70 eV provided no real valuable information about structural details or decomposition pathways. The molecule peak can be observed in all three cases with intensities of 3 and 2 % in the case of A1 and A2 and 40 % in the case of A3. Thus it can be concluded that higher amounts of undecomposed A3 are volatilized during the measurement or that A3 is chemically more stable than A1 and A2. In addition, the cleavage of a methyl group can be observed in all spectra. This supports the aforementioned assumption because the intensities are rather low for A1 and A2 (8 % and 5 %), but they are the highest (100 %) for A3.

48 Chapter 4 Hydrazido based precursors of tantalum

1 Figure 21. H-NMR spectra of A1 and A2 (25°C, C6D6, 250 MHz).

4.2.3 Single crystal X-ray analysis of A1

Although A1-A3 are viscous liquids at room temperature, it was possible to obtain the molecular structure of A1 by careful crystallization at -20 °C from diethylether and further preparation and manipulation of the crystals under continuous cooling to -10 °C. The crystals were mounted on a capillary using inert oil and were transferred immediately to the cold gas stream (105 °K) of the diffractometer. The compound crystallizes in the form of colorless prisms. Crystallographic data are presented in table 28, while selected bond lengths and angles are summarized in table 7. The molecular structure of A1 in the solid state contains two crystallographically independent molecules in the asymmetric unit. This complex is one of the few examples of all-nitrogen five-coordinated tantalum complexes (e.g. the homoleptic complex [Ta(NMe2)5]). An imaginary mirror plane can be put through the atoms Ta(1)-N(11)-

N(12)-N(13), although no exact Cs symmetry exists (figure 22). The hydrazido ligand is bound in the expected chelating fashion with a (N11)-Ta(1)-N(12) biting angle of 37.6 °. The Ta-N bond lengths to the hydrazido ligand lie in the expected range similar to the alkylamido starting complex and related systems. The covalent bond (Ta(1)-N(11)) is 0.379Å shorter than the donating bond Ta(1)-N(12) of the η2-hydrazido ligand. This is comparable to the case for [146] [Zr(N(Me)NMe2)4] in which the difference in bond lengths is about 0.392 Å. The Ta(1)- N(13)-C(131) angle is almost 180° thus indicating that the imido group acts as a six electron donor (sp-hybridization). In addition, the two remaining amido groups at the tantalum center are almost planar with torsion angles of about 170° supporting the assumption of a strong sp2- double bond character of the Ta(1)-N(14) and Ta(1)-N(15) bonds. Their bond lengths to tantalum are in the order of 2.004 Å, almost exactly the same as in the case of [Ta(NMe2)3(N- t-Bu)] (1.99(1)Å).[132]

49 Chapter 4 Hydrazido based precursors of tantalum

Figure 22. Molecular structure of A1 in the solid state. Hydrogen atoms are omitted for reasons of clarity.

Table 7. Selected bond lengths and angles of the crystal structure of A1. Bond lengths (Å) Bond angles in (°) Ta(1)-N(11) 2.021(7) N(11)-Ta(1)-N(12) 37.6(3) Ta(1)-N(12) 2.350(7) Ta(1)-N(13)-C(131) 179.6(6) Ta(1)-N(13) 1.786(7) Ta(1)-N(14) 2.013(7) Torsion angles (°) Ta(1)-N(15) 1.995(7) Ta(1)-N(15)-C(151)-C(152) 170.3/171.2 N(11)-Si(1) 1.738(7) Ta(1)-N(14)-C(141)-C(142) 172.5/171.3 N(11)-N(12) 1.443(10) Ta(1)-N(11)-N(12)-N(13) 179.6(4)

4.2.4 Thermal analysis of A1-A3 in respect to MOCVD / ALD

Compounds A1-A3 can be quantitatively distilled under reduced pressure. Solid precursors (e.g. the homoleptic trimethylhydrazine complexes of Ti, Zr and Hf) often result in problems to ensure a constant mass transport of the precursor into the gas phase. Therefore, being liquid at ambient temperature simplifies the handling of the precursor. The two tantalum compounds A1 and A2 are significantly more volatile than the diethyl-substituted complex A3, with the consequence of relatively low remaining masses of 4 % and 6 % in TG/DTA analyses, respectively (figure 23). Unlike TBTDET the compounds show a higher temperature onset of volatilization, but they have the advantage of a higher thermal stability. This is revealed by possessing a higher decomposition temperature of about 250 °C versus 190 °C for TBTDET.

50 Chapter 4 Hydrazido based precursors of tantalum

It is to be noted that both, A1 and A2 showed monotonic weight loss with almost negligible residue left behind above 250 °C compared to TBTDET. The DTA spectrum of A3 showed a peak, related to decomposition at a temperature of 280 °C. The high thermal stabilities of the precursors make them promising candidates for ALD processes that can be carried out at comparably high temperatures. As expected, the higher thermal stability is going along with a lower chemical reactivity of the complexes. Testing these precursors under ALD-conditions would be very interesting, not only in the ALD of metal nitrides, but also in the deposition of thin oxide films, together with water as the oxygen source.

Figure 23. TG/DTA spectra of A1-A3, recorded at a heat rate of 5 °C/min and 300 sccm nitrogen flow. TG spectrum of A1-A3 and TBTDET for comparison (bottom right).

51 Chapter 4 Hydrazido based precursors of tantalum

4.3 Destructive transamination reactions of A1-A3 with N,N-dimethyl- hydrazine (Hdmh)

Based on these results with Htdmh and the amido/imido compounds we were encouraged to investigate substitution reactions of the tantalum amido/imido complex [Ta(NEt2)3(N-t-Bu)] with the sterically less demanding 1,1-dimethylhydrazine. In 1H-NMR studies it could be observed that two different reactions took place after adding the dimethylhydrazine to the tantalum compound [Ta(NEt2)3(N-t-Bu)] (TBTDET). The first reaction was the formation of an unstable intermediate analogous to compound A3, while the second reaction led to the formation of an orange, hardly soluble precipitate in the NMR-tube (figure 24). The intermediate compound, formed by the transamination reaction (presumably [Ta(NEt2)2(N-t- Bu)(dmh)]), was apparently consumed by the second reaction.

Figure 24. Reaction pathway of the decomposition reaction of TBTDET, induced by Hdmh.

The rate of formation of the orange precipitate depended on the concentration of Hdmh in solution. In time-dependent NMR-studies of TBTDET and Hdmh (one and two equivalents) a decrease in the concentration of [Ta(NEt2)3(N-t-Bu)] and an increase in the concentration of

[Ta(NEt2)2(dmh)(N-t-Bu)] could be observed in the first stage. The concentration of the intermediate compound passed through a maximum and decreased almost to zero after a certain period (figure 25). Figure 26 shows the NMR spectra of the reaction of TBTDET with one equivalent of Hdmh after certain periods of time. At higher concentrations of Hdmh (three and four equivalents) in the beginning of the reaction, the ligand exchange reaction as well as the decomposition reaction was faster, which led to a complete decomposition of TBTDET already after 300 min The oligomerized product appeared in the form of two very broad peaks (most probably oligomerized tantalum species) that can be observed in the areas of 0.8-1.5 and 2.3-4.0 ppm. It was not possible to isolate the pure intermediate compound. Instead it seemed that decomposition took place once the hydrazine coordinated to the tantalum center. Even reactions in which less than one equivalent of Hdmh was used led to the full decomposition of the amido/imido-compound. Another indication for the very high reactivity of Hdmh as compared to Htdmh was the formation of free tert-butylamine besides

52 Chapter 4 Hydrazido based precursors of tantalum the expected liberation of diethylamine in the investigated reactions. The higher the amount of

Hdmh in the beginning of the reaction, the more free H2N-t-Bu could be observed.

Figure 25. Decomposition reaction of TBTDET with Hdmh. Ratio of TBTDET (left), the intermediate compound

(middle) and HNEt2 (right) to C6D6 (=1) as a function of reaction time. The ratios were determined by comparing [o] the integrals of the C6D6 peaks with the triplets of the NEt2-groups of the three compounds.

Figure 26. Proton NMR-spectra of the reaction of TBTDET and one equivalent of Hdmh after 20 min, 70 min,

700 min and 30 hours. The peaks for TBTDET, the intermediate compound, free Hdmh and HNEt2 are marked.

This clearly shows the possibility of a total cleavage of all ligands by proton donating agents. Of course, steric requirements have to be considered, e.g. the coordination of only one Htdmh

[o] Lines were drawn for a better overview of the trends in the change of the product-to-C6D6 ratios. Certainly, the real curve progression could be different in terms of the position of the maximium in the spectrum (middle). In addition the rise and fall of the values would practically have the shape of an exponential function.

53 Chapter 4 Hydrazido based precursors of tantalum ligand seems to effectively block further protonation of amido groups. One would expect that a reaction between ammonia and e.g. TBTDET will also lead to full protonation and cleavage of the amido groups. This assumption is strengthened in the MOCVD of TBTDET in presence of ammonia. The carbon content decreased drastically once ammonia was used in the experiments (>15 % without ammonia, <2 % with ammonia). However, for a more detailed analysis of the reaction kinetics the concentrations of TBTDET and Hdmh at the beginning have to be determined. In addition, the use of chemically inert substances for a more accurate calibration of the peaks is suggested.

4.3.1 Single crystal X-ray analysis of a hydrolyzed tantalum-dmh complex

Reactions of TBTDET with a high excess of Hdmh were carried out, leading to the fast formation of an orange solid. This solid, assumed to be oligomerized Ta-hydrazido species, was hardly soluble in C6D6, diethylether and CH2Cl2. Small amounts could be dissolved by extraction with pure Hdmh. The orange solution was stored at -30°C. Water diffusion into the solution occurred after a storage time of approximately 12 months and an orange compound crystallized in the Schlenk tube (A5). The crystals were highly reactive towards air and turned white in inert oil after approximately ten minutes. Nevertheless, one crystal could be measured by means of single X-ray diffraction and the structure could be determined. Unfortunately, no further analysis could be performed due to the low amount of compound that was formed (3-4 crystals). Although the compound was not fully analyzed, and the synthesis could not be reproduced so far, the structure will be discussed due to its interesting structural features (figure 27 (labeling), figure 28 (bond length/angles)).

A5 crystallizes in the triclinic crystal system having the space group P-1. The quality of the crystal was high enough to determine the structure. The cluster molecule contains altogether four tantalum atoms that are interconnected by bridging unsymmetrical dimethylhydrazido ligands and most probably OH-groups. Two of the tantalum atoms are identical due to the centrosymmetric geometry of the complex. Tantalum(1) is coordinated by four dimethylhydrazido ligands, three of them as bidentate ligands, resulting in a coordination number of 7.

54 Chapter 4 Hydrazido based precursors of tantalum

Figure 27. Molecular structure of A5 in the solid state (atoms are labeled).

2.240 Å

Figure 28. Bond distances and bond angles of A5 in the solid state (deviations are omitted for reasons of clarity).

55 Chapter 4 Hydrazido based precursors of tantalum

Two of the dimethylhydrazido ligands are only bonded to Ta(1), having bond lengths to Ta (Ta(1)-N(31): 2.073(7) Å; Ta(1)-N(41): 2.019(7) Å) in the range of tantalum-nitrogen single bonds with a strong π-donating character (4 electron donor). The other two dimethylhydrazido ligands are coordinated in a μ2- fashion to Ta(1) and Ta(2) as well as a η2-fashion to Ta(1). The eight-membered ring Ta(1)-N(11)-Ta(2)-N(21A)-Ta(1A)-N(11A)-Ta(2A)-N(21) seems to consist of a highly delocalized π-electron system. It is difficult to give a well founded statement on the status of the two bridging nitrogen atoms N(11) and N(21). In order to have Ta(1) in the oxidation state +V, N(21) and N(11) must be fully deprotonated. Their two negative charges could be distributed on Ta(1) and Ta(2) in the relation 1.5 to 0.5 (figure 29, bond orders).

Figure 29. ChemDraw-scheme of A5, including the bond orders of the Ta-O and Ta-N bonds.

This would be in agreement with the bond lengths that are observed in the complex. On the one hand, the bond lengths of the Ta(1)-N(21) and Ta(1)-N(11) are between the bond distances of a tantalum-nitrogen single and double bond. On the other hand, the bond lengths of Ta(2)-N(21) and Ta(2)-N(11) are longer than a tantalum-nitrogen single bond, but shorter than a simple donor-bond. If Ta(1) is in the oxidation state +IV, one would expect a deep purple to deep blue color of the complex as was observed for all Ta(IV) complexes that have been reported so far.[109,101] The Ta(2)-atom is surrounded by two hydrazido-ligands that are bonded in a terminal fashion (N(51), N(61)), the two μ2-hydrazido ligands (N(11), N(21)) and

56 Chapter 4 Hydrazido based precursors of tantalum two bridging OH-groups. This results in a six-coordinated complex, having the coordination geometry of a distorted octahedron. The Ta(2)-N(61) bond is the only truly imido-type bond with a very short bond distance (Ta(2)-N(61) = 1.808(6) Å) and an almost linear geometry (Ta(2)-N(61)-N(62) = 168.6(8) °). The oxygen-tantalum bonds are too long to belong to fully deprotonated O2- ions (Ta(2)-O(99) = 2.216(6) Å). The typical Ta-O-R-bond length is approximately 2.00Å.[152] Therefore it can be concluded that one hydrogen is still bonded to one oxygen ligand and that each oxygen ligand shares its charge between the two tantalum atoms Ta(2) and Ta(2A). However, steric effects play a relevant role in such a large complex, therefore all conclusions drawn from bond-lengths and angles on the electronic structure of the complex, have to be considered as indications and not to be taken for granted.

4.4 Reactions of TaCl5 and [TaCl3(N-t-Bu)py2] with lithiated hydrazine derivatives

Salt metathesis reactions applying the lithiated hydrazine ligand seem to be the straightforward way of introducing hydrazido groups into transition metal complexes. These reactions are adequate for the synthesis of most of the early transition metal amido complexes

[Ta(NR2)3(N-t-Bu)] (R = Me, Et) from the metal chlorides and the lithiated amines.

Homoleptic complexes like [Ta(tdmh)5] do not seem to be reasonable target molecules, when aiming at all-nitrogen coordinated compounds due to the steric demand of the hydrazido ligand. Nevertheless, experiments with TaCl5 and Li-tdmh were performed in order to obtain mixed chloro/hydrazido complexes of Ta. For the synthesis of all nitrogen coordinated tantalum complexes we used the well known tantalum complex [TaCl3(N-t-Bu)(py)2] and studied the substitution of the chloro ligands by treatment with different equivalents of the lithiated tdmh ligand.

Reactions of Li-tdmh with [TaCl3(N-t-Bu)py2]

The reaction of [TaCl3(N-t-Bu)py2] with Li-tdmh did not lead to the fully substituted product 1 [Ta(tdmh)3(N-t-Bu)]. Instead, H-NMR suggests the exchange of two chlorides ligands of the tantalum compound by two hydrazido ligands. It was not possible to isolate [TaCl(N-t-

Bu)(tdmh)2] in significant amounts or sufficient purity (15% impurities) and the reaction occurred rather slowly. An excess of lithiated hydrazine (5 equivalents) and a reaction time of five days at high temperatures (70°C) was required to obtain the product. We observed the formation of a pyridine stabilized complex of the type [Li(py)(tdmh)]2 (A4) as a by-product in these reactions. This complex could not be separated to a fully satisfying extend from the

57 Chapter 4 Hydrazido based precursors of tantalum doubly substituted complex, even not by distillation under reduced pressure or fractionated crystallization. The dimeric complex is described in the following paragraph.

Characterization of the complex A4

The complex [Li(tdmh)(py)]2 (A4) was synthesized independently and fully characterized, after being identified in reactions of [TaCl3(py)2(N-t-Bu)] with Li-tdmh. Obviously, A4 exhibits only low reactivity towards salt metathesis reactions. Even refluxing three equivalents of A4 with [TaCl3(N-t-Bu)(py)2] for 72 hours did not lead to the desired tantalum complex. Using higher amounts of A4 in these reactions led to the previously mentioned problems of product isolation and purification. Still, compound A4 is interesting for its own merit and will be discussed at this point. Depending on the rapidness of addition of the butyllithium to the ligand and the dilution of the compound, the color of the resulting solution could vary from yellow to red. Nevertheless, no noteworthy impurities were found in the NMR-spectra of A4. It seems though, that an equilibrium between the monomeric and dimeric species of A4 exists in solution so that two peaks appear for the SiMe3-groups in the 1H-NMR spectra. These two peaks varied in their intensities, depending on the concentration of the NMR-solution. At higher temperatures (60°C) only one coalesced signal could be observed. The pure isolated complex is very air sensitive and decomposes immediately when exposed to air.

Single crystals of A4 suitable for X-ray structure analysis were obtained by crystallization 2 2 from hexane at -30 °C (figure 30). The complex displays Ci-symmetry with two µ -η coordinated hydrazido ligands. The structure of A4 is very similar to the recently published [153] dimeric complex [Mg(tdmh)2]2. Numerous examples of lithium-hydrazido complexes are known and compound A4 matches the typical structural properties.[154,155,156]

58 Chapter 4 Hydrazido based precursors of tantalum

Figure 30. Molecular structure of A4 in the solid state. Hydrogen atoms are omitted for reasons of clarity.

Reactions of Li-tdmh and [Li(tdmh)(py)]2 with TaCl5. All reactions that were carried out using the lithiated hydrazines (freshly prepared, or pyridine stabilized) and TaCl5 in toluene led to the formation of colorful solutions, and a white precipitate (LiCl). Various equivalents of the lithiated hydrazines were brought to reaction with the metal chloride (2 and 6 equivalents). However, filtration with subsequent reduction of the amount of solvent did not lead to any crystalline material. The products of the reactions were highly viscous, orange to dark-red oils that could be distilled by short path distillation. NMR-analysis of these oil-like products indicated a large variety of trimethylsilyl-containing compounds. Most likely, oligomerization reactions took place. No further investigations of these reactions were performed due to the low prospect of obtaining isolable, pure compounds of tantalum.

59 Chapter 5 Guanidinato based precursors of tantalum and niobium

Chapter 5 Guanidinato based precursors of tantalum and niobium

The second type of ligands that was investigated within this work is the monoanionic guanidinate ligand. Guanidinate ions are very versatile ligands and a large variety of complexes has been synthesized. In 2001 P.J. Bailey wrote in a review: [157]

“The steric and electronic flexibility of guanidinates, the variety of possible coordination modes, …, coupled with the ease with which guanidines bearing virtually any combination of substituents may be synthesized, …, means that guanidinates have the potential to develop into valuable ancillary ligands in coordination and organometallic chemistry”

Investigations into this ligand system are largely motivated by applications in homogeneous catalysis, e.g. in Ring Opening Metathesis Reactions and styrene polymerization.[158,159] The features of this ligand, its historical development in metal organic synthesis and possible synthetic routes will be explained in 5.1. In paragraph 5.2, the use of this type of ligand for the synthesis of precursors for MOCVD and ALD will be discussed. The results of the experiments are presented in 5.3 (tantalum) and 5.4 (niobium). Altogether, twelve new compounds were synthesized. All compounds were analyzed by standard methods including NMR, EI-MS, CHN and TG/DTA-analysis. The molecular structures of eight of these twelve complexes were elucidated by means of single crystal X-ray analysis.

5.1 Types of guanidinate ligands in complexes and their synthesis

The monoanionic guaninate ligand can be allocated to the main group of guanidine ligands. Figure 31 shows the different types of guanidines, all containing the characteristic Y-shaped

CN3-unit.

Properties The guanidinium ligand does not have the ability to act as a Lewis-base and thus cannot be used as a ligand for early transition metal complexes (Lewis acids). The neutral guanidine ligand will probably not lead to complexes that can be volatilized without decomposition and is therefore not suitable for the synthesis of precursors. Instead, it is necessary to use charged ligands, e.g. guanidinate (-1/-2) ligands to guarantee sufficient thermal stability of a complex.

60 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 31. Ionic and neutral representatives of guanidines. A large variety of derivatives is known (R = H, Me, i-Pr, cyclohexyl, t-Bu, phenyl). In this work, the monoanionic guanidinate ligand was used.

The following discussions will focus in particular on the monoanionic guaninate ligand and complexes containing this ligand. This monoanionic ligand has the ability to bond to a metal either in a monodentate or bidentate, chelating fashion. As a chelating ligand, it forms highly stable metal-guanidinate complexes. This stability can be to some extend explained by the presence of so-called Y-aromaticity of the CN3-system in which the π-electrons of the system are highly delocalized. Two resonance forms are possible that are shown in figure 32 A and B. Resonance form A exhibits a π-electron system that is delocalized within the endocyclic

CN2-group. Structure type B features participation of the exocyclic amido-group in the π- electron system of the guanidinate ligand. Theoretically, high acidity of the metal center should lead to the preferred contribution of structure type B. One characteristic feature to differentiate between the contributions of structure type A or B is the length of the C-N-bonds within the CN3-system.

Figure 32. The two resonance forms of a monoanionic guanidinate ligand.

Certainly, in order to draw these conclusions the crystal structures of the complexes have to be determined. If only resonance form A is contributing to the structure of the complex, both endocyclic C-N-bonds shall have a partial double bond character, therefore being significantly shorter than the exocyclic C-N single bond. The shorter the exocyclic C-N bond, the stronger

61 Chapter 5 Guanidinato based precursors of tantalum and niobium is the participation of resonance form B in the overall structure of such a guanidinate complex. Complete delocalization in the CN3-system can be observed for example in the case 2 [160] of the titanium complex [Ti{η -(NPh)2CNEt2}2Cl2]. All C-N-bonds of the guanidinate ligand have exactly the same bond length of 1.342 ± 0.001 Å. In order to interact fully with the delocalized π-electron system, the exocyclic amido group (plane 1) must be in plane with the endocyclic CN2-group (plane 2). A deviation indicates a low inclusion of the amido-group into the π-electron system, even if the nitrogen atom of the amido group is sp2-hybridized. Sp2 hybridization of the exocyclic nitrogen atom is necessary for a participation in the guanidinate electron system. It can easily be identified by analyzing the sum of the bond angles around the nitrogen atom (360° = sp2-hybridization; 328.4° = sp3-hybridization). In addition, the amido- group should form one plane, together with the central carbon atom of the guanidinate-ligand (in contrast to resonance form A, which shows an sp3-hybridized nitrogen).

Historical development First complexes, containing monodentate, guanidinate (-1) ligands were reported in the late 1960’s by Wade et al.[161] These metal complexes were relatively unstable and the metal center was only coordinated by a single nitrogen atom of the ligand comparable to a metal- amide bond. In 1996, the first complex was reported that contained a chelating guanidinate * 2 [162] (-1) ligand ([Cp Rh{η -(PhN)2CNHPh}Cl]). In the following decade, a large number of compounds, enabling the chelating guanidinate (-1) ligand, was identified. Some of the few examples for guanidinate complexes of niobium and tantalum have been provided by D. S. Richeson et al. and L. G. Hubert-Pfalzgraf et al. in 1999. D. S. Richeson was interested in the coordination behavior of this ligand and the conversion of the guanidinate(-1)-ligand to a dianionic ligand and vice versa. The most interesting compounds for this work are the all 2 nitrogen coordinated complexes [M(NMe2)4{η -(NR)2CNMe2}] (M = Nb, Ta; R = i-Pr, cy). [163,164,165] L. G. Hubert-Pfalzgraf and her co-workers reported the synthesis of halide containing tantalum and niobium complexes, including the first Nb(IV)-guanidinate complex 2 [166] [NbCl2{η -(Ncy)2CN(SiMe3)2}]. Two different types of synthetic routes were employed for the formation of these complexes.

62 Chapter 5 Guanidinato based precursors of tantalum and niobium

Route 1: Synthesis by salt metathesis reactions The salt metathesis reactions are two-step reactions. First an alkalimetal-amide complex (e.g.

LiNMe2) is combined with a carbodiimide by insertion (nucleophilic attack) in order to form a guanidinate complex (figure 33). This complex usually is oligomeric, but it is possible to stabilize the monomeric complex by addition of a Lewis base e.g. TMEDA (N,N,N’,N’- Tetramethylethylendiamine)[167]. In a second step, the preformed lithium-guanidinato complex is added to a metal chloride. The formation of the guanidinate complex of the metal is going along with the formation of lithium chloride. This synthesis procedure has been copied from the more deeply investigated amidinate system.[168] Many guanidinate complexes of early transition metals have been successfully synthesized using this method, e.g. W,[167,169] Ta and Nb-complexes.[166]

Figure 33. Synthetic route for guanidinate complexes by salt metathesis reactions.

Route 2: Synthesis by insertion reactions The synthesis by insertion of carbodiimide into transition metal amide bonds relates to the first reaction step of route 1. The carbodiimide is added to a metal-amido complex, already containing the target metal. Insertion reactions of this type were first reported by K. Lee et al. in 1997.[170] He and his group synthesized a THF-stabilized bis(guanidinato)magnesium complex. Quite recently, S. T. Barry et al. investigated the insertion of carbodiimides into Al- and Ga-amido groups, including theoretical considerations regarding the mechanism of guanidinato-formation.[171,172] The mechanism they proposed is displayed in figure 34.

Figure 34. Mechanism for the insertion of a carbodiimide derivative into a metal amido-bond (R1,R2,R3 = alkyl- groups).

63 Chapter 5 Guanidinato based precursors of tantalum and niobium

The reaction starts with a nucleophilic attack of one nitrogen atom of the carbodiimide to the Lewis acidic tantalum center. After the migration of one amido group of the tantalum complex to the partially positive charged carbon atom of the carbodiimide, the guanidinato complex is formed. Mixed amido/guanidinato complexes of zinc[158] and of zirconium[173] were synthesized by this method. The previously mentioned compounds of the type 2 [M(NMe2)4{η -(NR)2CNMe2}] (M = Nb, Ta; R = i-Pr, cy) have also been prepared by insertion reactions.

Within this work, the plan was to use mixed amido/imido complexes of tantalum and investigate insertion reactions of carbodiimides into the metal amido-bonds of the compound (route 2). The big advantage of insertion reactions (route 2) over reactions, involving lithiated guanidinate complexes (route 1) is the total absence of side products (e.g. LiCl). In addition, the presence of impurities in the form of halogenide-containing tantalum species is lower. It can be assumed, that up to three carbodiimide complexes can be inserted into the three metal amido bonds of the starting compounds of the type [M(NR2)3(NR’)] (M = Nb, Ta; R,R’ = alkyl).

5.2 Potential of guanidinate(-1) ligands for precursor chemistry

Classically, complexes of tantalum and niobium having low coordination numbers were used as precursors for the MOCVD and ALD of thin metal nitride films. Mainly mixed amido/imido complexes and homoleptic amido-complexes of the type [M(NR2)3(NR’)] and

[M(NR2)5] were tested (M = Nb, Ta; R, R’ = alkyl). These four and five coordinated complexes display high volatility, but in addition a very high chemical reactivity as well as low thermal stability. The use of mixed ligand precursors involving monoanionic guanidinate- ligands seems to be very promising in terms of tuning the thermal and chemical properties of precursors. Introducing this chelating ligand into the ligand sphere of the metal by replacing one amido group by one bidentate ligand will consequently lead to a higher coordination number of the central metal. Thus, free coordination sites at the metal center will be occupied and it can be assumed that the chemical reactivity, e.g. sensitivity towards moisture, will decrease. In addition, the thermal stability of the complexes should be increased by this modification. The questions that logically arise are: ƒ How does the increase of the complexity of the ligand sphere (coordination number, chelating ligands, heterolepticity) effect the deposition behavior of the resulting precursor systems?

64 Chapter 5 Guanidinato based precursors of tantalum and niobium

ƒ Will it be possible to deposit conducting TaN thin films? ƒ Does the increase of the carbon content of the precursor lead to an increase of carbon incorporation into the film during deposition?

Similar questions were already asked in precursor development for MO2 thin films (M = Ti, Zr, Hf). This research area has been largely influenced by a similar move-away from simple homoleptic [M(OR)4] complexes to heteroleptic derivatives [M(OR)2L2] (M = Ti, Zr, Hf; R = alkyl, L = chelating ligands e.g. β-diketonate and β-ketoester derivatives). For example, the complex [Hf(O-i-Pr)2(tbaoac)2] (tbaoac = tert-butylacetoacetate) was successfully used in MOCVD and LI-MOCVD processes to yield carbon free, stochiometric hafnium dioxide thin films with excellent properties as a gate oxide material.[174] Compared to the homoleptic alkoxy complex [Hf(O-i-Pr)4], the six-fold coordinated hafnium complex shows a higher stability towards moisture and displays a higher shelf life in solution. Recently, guanidinato complexes of hafnium were also considered as precursors for the deposition of HfO2 and showed the potential to yield carbon free, nanocrystalline films.[175]

5.3 Synthesis of amido / imido / guanidinato compounds of tantalum B1-B8

This chapter includes all synthesis experiments and results related to reactions of mixed amido/imido complexes with carbodiimides. The synthetic routes are described, followed by spectroscopic analyses of the newly synthesized compounds. Altogether, six crystal structures were obtained and are discussed in detail. Thermal analyses by means of TG/DTA analysis were carried out and conclusions are drawn for the potential of the complexes as precursors for the MOCVD/ALD of TaN.

5.3.1 Synthetic route, purity and properties of the compounds B1-B8

A number of reactions with various equivalents of carbodiimide derivatives and different tantalum complexes were carried out. The tantalum containing amido/imido complexes, used in the reactions were [Ta(NMe2)3(N-t-Bu)] (S4), [Ta(NMeEt)3(N-t-Bu)] (S5), [Ta(NEt2)(N-t-

Bu)] (S6) and [Ta(NEt2)(N-n-Pr)] (S7). The derivatives of carbodiimides tested for insertion reactions were tert-butyl-, trimethylsiliyl-, cyclohexyl- and iso-propyl- substituted compounds, as well as unsubstituted carbodiimide (figure 35).

65 Chapter 5 Guanidinato based precursors of tantalum and niobium

A major outcome of the investigations is that tert-butyl- and trimethyl-silylcarbodiimide molecules do not insert into the metal-amido bonds of the amido/imido compounds of tantalum. Even higher concentrations of the carbodiimide and higher reaction temperatures (5 equivalents; toluene at 110 °C) did not lead to any reactions after a refluxing period of 24 hours. On the other hand, cy-cdi, as well as i-Pr-cdi, readily reacted with the tantalum starting compounds S4 to S7 at moderate conditions and under the release of heat (exothermic reaction). It can thus be assumed, that the difference in the steric features of the carbodiimide derivatives plays a decisive role in this type of reactions.

R3

R3 R3 R3

Figure 35. Reactions of carbodiimides with S4-S7 (S1: R1,R2 = Me, R4 = t-Bu; S2: R1 = Me, R2 = Et, R4 = t-Bu; S3: R1,R2 = Et, R4 = t-Bu; S4: R1,R2 = Et, R4 = n-Pr).

The first step of the reaction is most probably a nucleophilic attack of the nitrogen of the carbodiimide on the lewis acidic tantalum center. In the case where R3 possesses an α- hydrogen (i-Pr, cy), it is possible that R3 rotates in such manner, that the attack of the nitrogen on the tantalum center is sterically less hindered (figure 36). Theoretically it is not necessary that the first step of the insertion reaction is the differentiating step, regarding the type of carbodiimide. However, supported by the fact that the insertion reactions seem to be very exothermic, cleavage of the carbodiimide is rather unlikely, once it is bound to the metal center. In addition, no strong change in the electronic structure should occur when the i-Pr substituent is exchanged by a t-Bu group. At first - in contrast to the prior explanation - it seems remarkable that i-Pr as well as t-Bu substituted carbodiimides insert into Al-amido bonds.[171] The explanation for this different behavior is most probably the low steric shielding of the aluminium-center (H2Al-NMe2) compared to the fully amido/imido substituted Ta-complexes S4-S7.

66 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 36. The two carbodiimides of type a feature an α-hydrogen, thus enabling the nitrogen to coordinate to the

Ta-center. In the structure type b (t-Bu-, SiMe3-cdi) steric hindrance, evoked by the methyl groups at the substituents of the carbodiimide cannot be avoided by rotation of the substituent.

Reactions with the unsubstituted carbodiimide (which exists in the form of H2-N-C≡N) did not lead to an isolable product. Adding the solid, hardly soluble H2-N-C≡N to the solution of the amido/imido-tantalum compound in hexane led to a colorless suspension, which slowly turned orange. After all the carbodiimide had reacted, an orange solid could be isolated which was almost insoluble in toluene and THF. Most probably, transamination reactions took place in this experiment, leading to the formation of insoluble, oligomerized tantalum complexes. The solid was not further investigated.

Reactions of two equivalents of i-Pr-cdi and cy-cdi with S4-S7, led to the formation of eight hexa-coordinated compounds B1-B8. Table 8 summarizes the substitution pattern of the compounds and their melting points as well as yields.

Table 8. Overview of the synthesized guanidinato complexes of tantalum. Name Amido Cdi-type Imido Yield M.p. in °C R1 R2 R3 R4 B1 Me Me i-Pr t-Bu 90 232 B2 Me Et i-Pr t-Bu 87 217 B3 Et Et i-Pr t-Bu 91 210 B4 Et Et i-Pr n-Pr 84 195 B5 Me Me cy t-Bu 85 210 B6 Me Et cy t-Bu 82 218 B7 Et Et cy t-Bu 87 204 B8 Et Et cy n-Pr 65 205

67 Chapter 5 Guanidinato based precursors of tantalum and niobium

All reactions were carried out in hexane. The light yellow, clear solution of the tantalum starting compound turned colorless during the addition of the carbodiimide-ligand. i-Pr-cdi based compounds (B1-B4) could be synthesized the same way, by simply adding the liquid i- Pr-cdi directly to a solution of the tantalum amido/imido compound in hexane. The solid cy- cdi reactand had to be added in form of a solution of cy-cdi in hexane. Due to the exothermic insertion reaction, the mixtures immediately warmed up to appr. 50 °C and slowly cooled down to room temperature again. Removing the hexane in vacuum yielded B1-B8 in the form of white powders. Crystalline, colorless samples of B1-B8 were obtained by crystallization from hexane, diethylether or toluene at -30°C. The yields of the reactions were relatively high (>80%). The compounds are white solids, having high melting points of 195-232°C (the melting point of B7 was determined after drying the crystals at 50°C in vacuo for 24 hours in order to remove toluene incorporated into the crystals). Elemental analysis revealed that all compounds (besides B8) are chemically pure as prepared, even without further recrystallization from toluene. B8 showed small amounts of excess cy-cdi in the NMR- spectra. The compounds were highly soluble in most of the organic solvents e.g. benzene, hexane, toluene, diethylether. Increasing the excess of the ligands (e.g. 4−5 equivalents) did not lead to the formation of higher coordinated tantalum complexes. Experiments in which only one equivalent of the carbodiimide was brought to reaction with the tantalum compounds S4-S6 also led to the formation of the twofold inserted product and unreacted S4-S6. These reactions are explained in the NMR-section (5.3.2.1). Obviously, there is a certain preference for the bisguanidinato complexes.

5.3.2 Spectroscopic analysis of the compounds B1-B8

The compounds B1-B8 of the type [Ta(amido)(guanidinato)2(imido)] were spectroscopically characterized by means of 1H-, 13C-NMR (5.3.2.1) and EI-mass spectroscopy (5.3.2.2). In addition, the NMR-results of the reactions with one equivalent of carbodiimide and the tantalum amido/imido-compounds are discussed (5.3.2.1).

68 Chapter 5 Guanidinato based precursors of tantalum and niobium

5.3.2.1 NMR-analysis

All compounds B1-B8 show very complex 1H-NMR spectra. Due to the mixed ligand sphere containing four ligands of three different types, and the fact that two bidentate ligands are involved, the compounds are chiral and a number of diasteromers are possible. In addition, the three amido groups (NR1R2) are hindered in their rotation which furthermore increases the complexity of the spectra (figure 37). It seems though, that no fast ligand exchange reactions, neither inter-, nor intramolecular take place at room temperature. This results in discrete signals for all four substituents at the nitrogen atom of the two guanidinato ligands (e.g R3 = i- Pr).

Figure 37. The two chiral forms of the mixed amido/imido/guanidinato complexes. The specific bonds that show a hindered rotation are marked with arrows.

All protons from the CH-groups of the i-Pr-groups are chemically not equivalent and appear as four doublets of quartets in the area of 3.6-4.15 ppm (figure 38a, B1). The two methyl groups from each iso-propyl group are diastereotopic and are thus chemically not equivalent. Therefore, in the case of B1 to B4, all eight methyl-groups of the four i-Pr (R3) groups appear as well resolved doublets at different chemical shifts (1.15 ppm-1.60 ppm) (figure 38 b), having coupling constants of 6.4 Hz. Interestingly, one doublet is significantly low-field shifted to ~1.60 ppm in all cases (B1-B4). This shift can be explained either by interactions between the iso-propoxy group of one guanidinate ligand and the π-electron system of the second guandinate ligand or by the strong electronic impact of the imido group on the trans oriented N-i-Pr moiety. When the substituents at the guanidinato-ligand are cyclohexyl groups (B5-B8), the protons of the cyclohexyl group cannot be clearly identified. Instead, broad multiplets are observed. In the following, the pairs B1/B5, B2/B6, B3/B7 and B4/B8 are discussed.

69 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 38. a) Full 1H-NMR-spectrum of compound B1 and b) an enlarged section of the spectrum. The doublets of the i-Pr-groups are numbered from 1-8.

The dimethylamido substituted complexes (B1 and B5) exhibit the simplest 1H-NMR spectra.

(B1: figure 38 a). The two singlets of the Ta-N(CH3)2 - group (3.5 and 4.2 ppm, blue dots in 38 a) are also chemically not equivalent due to the sp2-character of the N-atom. At higher temperatures (60 °C, C6D6), the two peaks become broader, indicating that rotation around the

R2N-C bond takes place (figure 39). Besides small shifts of some peaks, no other differences can be observed at higher temperatures. At lower temperatures (-80 °C, toluene-d8) the high field one of the two singlets at 2.50 (6 H) and 2.55 (6 H), belonging to the guandininate-

N(CH3)2 groups, divides into two smaller peaks with the intensities of 2 x 3 H. It can be assumed, that the rotation barrier of this group, as a result of the sp2-character of the nitrogen atom, cannot be longer overcome.

Figure 39. NMR spectra of B1 at low and high temperatures in different solvents.

70 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 40. 1H-NMR spectrum of B2. For each assigned methyl group, two peaks (*) can be found.

Compounds B2 and B6 give the most complex, highly temperature dependent 1H-NMR spectra. The unsymmetrical substitution of the amido group results in the formation of rotational isomers (figure 40). The methyl and the ethyl substitutes of the amido group, directly bounded to the tantalum center, can occupy two different positions which leads to the presence of two distinct diasteromers. Two peaks for the methyl group (marked with a star) with the ratio 3.7:1 can be observed (4.4 and 3.7 ppm). The signals of the protons of the ethyl group show the same behavior and are strongly broadened. Consequently all other peaks in the spectrum exist twofold, visible as small additional peaks or shoulders.

Figure 41. Proton NMR spectrum of B3. Left side: full spectrum including the assignments of the α-hydrogen atoms. Right side: enlarged section of the spectrum, showing all doublets (D) and triplets (T) of the CH3-groups. Two triplets are not resolved.

The NMR-spectra of compounds B3 and B7 display an even higher complexity than B1 and B5 (figure 41). In addition, to the doublets at higher field, six triplets, assigned to the ethyl groups of the NEt2 groups are observed. Two of these triplets that belong to one guanidinate-

71 Chapter 5 Guanidinato based precursors of tantalum and niobium

bounded NEt2-group are broadened. This again refers to dynamic processes that take place at room temperature. At -50 °C (toluene-d8) these still broad peaks can be clearly identified as triplets. The corresponding quartets of the ethyl-groups in the 1H-spectrum and the related peaks in the 13C NMR spectrum are also broadened.

The protons of the CH2-groups from the Ta-N(CH2CH3)2 fragment are diastereotopic, thus giving four different doublets of quartets (coalescence is observed at 60 °C, C6D6). Generally, compounds B4 and B8 show the same features as the similar compounds B3 and B7. The only difference is the substitution of the particular imido group. Figure 42 shows the corresponding proton NMR-spectra of these two complexes in C6D6. The protons of the cyclohexyl-groups of B8 appear as broad multiplets in the range of 1.2-2.3 ppm and 3.3-3.8 ppm (N-CH). The same can be observed for B5 to B7.

Figure 42. Proton NMR spectra for B4 and B8 (RT, C6D6). The α-hydrogen atoms are assigned by the colored dots and squares.

13C NMR spectroscopy did not reveal any additional structural information. The correct assignment of all peaks of the compounds B1-B4 at room temperature was done by 2-D HMQC measurements. Based on this information, the peaks for B5-B8 could also be assigned. Figure 43 shows such a spectrum of B3. The blue cross peaks belong to CH2- groups, while the turquoise peaks can be assigned to CH or CH3-groups.

72 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 43. 2D-HMQC spectrum of B3. The proton-NMR data are allocated at the x-axis, while the carbon-NMR data are allocated at the y-axis of the spectrum.

NMR investigations of reactions with one equivalent of carbodiimide Attempts to insert only one equivalent of carbodiimide into the amido-imido compounds (S4- S6) were partly successful. One equivalent of i-Pr-cdi was added to the starting compound S5, dissolved in hexane. After two hours, one milliliter of the clear solution was taken and carefully dried in vacuum. NMR spectroscopy of the viscous, gel like residue, revealed the 2 formation of the mono-guanidinato complex [Ta(NEtMe)2{η -(N-i-Pr)2C(NEtMe)}(N-t-Bu)].

Figure 44. NMR spectrum of the mono-inserted product of the reaction between S5 and one i-Pr-carbodiimide. Addtionally, small amounts of B2 (twofold inserted product) and S5 can be also observed. The peaks for the protons of the CH2-group (Ta-N(Me)CH2CH3) cannot be clearly assigned.

The proton NMR spectrum is less complex than the spectra of B1-B8 (figure 44). Two explanations can be given for this observation. Firstly, the molecule contains an internal

73 Chapter 5 Guanidinato based precursors of tantalum and niobium mirror plane along the Ta-imido-guanidinato plane and is thus not chiral. Consequently, the substituents are chemically equivalent and show only one peak for each type of substituent. The other explanation would be fluxional processes (fast migration of the carbodiimide- group) that can take place, because the tantalum center is only fivefold coordinated and enables the formation of six fold coordinated intermediate structures. Crystallization of this mono guanidinato complex was not possible. Crystals, obtained from a concentrated solution of this reaction turned out to be compound B2, the twofold guanidinato substituted product. Obviously equilibrium reactions take place between the mono- and di-substituted guanidinato complexes (figure 45).

Figure 45. Reaction of the amido/imido complexes S4-S6 (R1, R2 = Me/Et) with one equivalent of i-Pr-cdi. Attempts to crystallize the mono-inserted product lead to the formation of the solid di-substituted complexes.

5.3.2.2 Mass spectroscopy

Mass spectral analysis of B1-B8 indicated the low volatility of cy-cdi containing compounds (B5-B8), compared to their bis(iso-propyl)carbodiimide (i-Pr-cdi) based congeners (B1-B4). The intensities of the M+-peaks of the cy-cdi based complexes were found to be below 1 % (if visible at all) and in the case of the i-Pr-cdi based compounds between 10 and 13 %. According to this information, the intensity of free cdi is much higher, indicating a higher contribution of thermal decomposition during evaporation (see chapter 5.6 for thermal decomposition experiments). The most intense peaks (B5-B8) could be observed at m/z = 41, +, + + 43 and 55, belonging to the cyclohexyl-fragments C3H5 C3H7 and C4H7 .

5.3.3 Single crystal X-ray analysis of B1-B5 and B7

Single crystals of B1-B5 and B7 in good quality were obtained by recrystallization of the compounds from toluene (B2-B5, B7) or diethylether (B1) at -30 °C. Several attempts to obtain crystals of B6 or B8, suitable for single-crystal X-ray analysis failed. The crystals were colorless and appeared in form of agglomerated, needle like structures (figure 46). Crystals,

74 Chapter 5 Guanidinato based precursors of tantalum and niobium removed from the Schlenk tube were mounted on a capillary using inert oil, transferred to the cold gas stream of the diffractometer and mounted on the goniometer.

Figure 46. Crystals of B1 in five-fold magnification. The different colors of particular crystals are generated by polarized light that is refracted at the boundaries within the crystals. These boundaries clearly show that the crystals are not single crystalline, but agglomerates of conjoined smaller crystals.

Compounds B1-B3 crystallize in an orthorhombic crystal system while the crystal systems of B4, B5, B7 are of lower symmetry, i.e. monoclinic for B4 and B7 and triclinic for B5, respectively. The quality of the data of the measured crystals was excellent in most of the cases (besides B3), despite the agglomeration of the crystals (table 9). All details regarding the crystallographic data and the refinement parameters are summarized in table 29 and 30 (page 181 and 182).

Table 9. Selected data for the crystal structures of B1-B5 and B7. Complex Crystal system Space group R [I > 2σ(I)] R indices (all data)

R1 wR2 R1 wR2

B1 orthorhombic Pbca 0.0455 0.0707 0.0686 0.0759

B2 orthorhombic Pbca 0.0869 0.1086 0.1041 0.1138

B3 orthorhombic Pbca 0.0707 0.1447 0.1197 0.1663

B4 monoclinic P2(1)/n 0.0406 0.0977 0.0440 0.1000

B5 triclinic P-1 0.0662 0.0960 0.0861 0.1016

B7 monoclinic P2(1)/c 0.0562 0.1119 0.0797 0.1221

Only in the case of B7, solvent was incorporated into the crystals. However, the solvent showed no interaction with B7, therefore it was excluded from further consideration. All six crystal structures confirm the structures deduced from NMR spectroscopy and show very

75 Chapter 5 Guanidinato based precursors of tantalum and niobium similar bonding features. Thus the molecular structure of B1 is discussed in some detail as a general example of the whole series. All relevant bond lengths and bond angles are summarized in table 10 on page 82 (fold-out).

The tantalum center of B1 is hexa-coordinated in the form of a distorted octahedron, surrounded by two guanidinate ligands in cis-position, one dimethylamido and one tert- butylimido-group (figure 49 and 50 on page 81; fold-out). Therefore the molecule is chiral, resulting in the complex NMR-spectra discussed above. The Ta-N(6)-C(18) unit of the imido- ligand is almost linear (170.6(4)°) and the Ta-N(6) bond is relatively short (1.785(5) Ǻ) which indicates an almost sp-hybridized imido-ligand. Based on the strong π-donating effect of this imido ligand, the Ta(1)-N(3) bond of the guanidinato ligand trans to the imido-group is elongated (2.396(5) Ǻ), while the second Ta-N bond of the same guanidinato ligand (Ta(1)- N(4), 2.129(4) Ǻ) is considerably shorter. The π-donating amido group, attached to the tantalum center evokes the same effect, but is expectedly less pronounced as compared to the imido group. Obviously, the π-donating effect of the imido group results in an unsymmetrical charge distribution within the delocalized π-system of the guanidinato ligand, which is also well reflected in a rather large difference of the N-C bond lengths (N(3)-C(2): 1.320(7) Ǻ and N(4)-C(2): 1.361(7) Ǻ). The second guanidinato ligand (N(1)-C(1)-N(2)) is more symmetric, the two Ta-N bond lengths being 2.174(4) Ǻ (Ta-N(1)) and 2.254(4) Ǻ (Ta(1)-N(2)). Again the longer Ta-N bond is found trans to the more basic ligand, i.e. the amido group.

The bite angles of the two bidentate ligands (N(1)-Ta(1)-N(2) = 59.56(18) ° and N(3)-Ta(1)- N(4) = 58.92 °) are similar to the bite angle of the guandinate-ligand in the related complex 2 [163] [Ta(NMe2)4(η -(Cy-N)2C(NMe2))] X1 (59.90°), published by M. K. T. Tin et. al. in 1999.

Another similarity is that the remaining NMe2-group at the tantalum centre of B1 is almost planar. With a dihedral angle of nearly 180°, it indicates the strong π-donating effect of this amido group.

A significant difference between the structure of B1 and the mono guanidinato structure 2 [Ta(NMe2)4(η -(Cy-N)2C(NMe2))] (X1) can be observed in the character of the NMe2-groups that are bonded to the guanidinate ligand. In the case of complex X1 structure type A (sp3- hybrisation of the exocyclic nitrogen) seems to be dominating (figure 47). Compound B1 on the other hand contains structural features of structure type A as well as B.

76 Chapter 5 Guanidinato based precursors of tantalum and niobium

The structure types can be characterized by four specific parameters, that are explained beneath the caption of figure 47:

Figure 47. Comparison of the structural features of the guanidinato ligand in complex X1 and B1. While X1 is clearly present in form of structure type A, the structure of the ligand in complex B1 is in between the two mesomeric forms A and B. The out of plane distortion angles of the C-NMe2 moiety are given (150° and 177°) as well as the twisting angles of the amido moiety versus the endocyclic Ta-N-C-N group (Newman projection).

Sum of bond angles around exocyclic nitrogen The sums of the bond angles around N(7) and N(8) (exocyclic amido groups) of structure B1 are almost 360°, pointing to the sp2-hybridisation of the nitrogen centers of the exocyclic amido groups. The sum of angles around the exocyclic nitrogen atom of complex X1 is only 350°, which is in between the theoretical values for a sp2- and sp3- hybridized nitrogen atom.

77 Chapter 5 Guanidinato based precursors of tantalum and niobium

Planarity of the amido group

For X1, the structure of the CNMe2-moiety deviates from an ideal planar structure type with a dihedral angle of 150.2°. Contrary, the CNMe2-moieties of structure B1 are almost planar with dihedral angles of 178.9 and 176.8°, respectively.

Twisting angle between the NMe2-plane and the metallacycle Although the exocyclic nitrogen atom exhibits strong indications for sp2-hybridisation, interaction with the cyclic TaCN2-system seem to be reduced. For an ideal interaction between the π-system of the NMe2 moiety at one guanidinate ligand and the metallcycle system itself, the planes spanned by the CN2-segment and NMe2 should be twisted by 0°. The observed twisting angles of B1 are about 47°, thus showing a reduced π-π-interaction (Newman projection, figure 46). However, compared to the structural parameters of the guanidinato containing compound X1 a significantly higher interaction can be observed for B1. The amido ligand of X1 is distorted by over 80° towards the cy-N-C-N-cy-unit and the C- N bond with the exocyclic nitrogen atom (in our case N(7), N(8) is lengthened by 0.03 Å (1.420 Å vs. 1.394 Å) .

N-C bond length within the CN3-system Additionally, the type of bond between the central carbon atom (C(1), C(2)) of the guanidinato ligand and the nitrogen atom of the amido group (N(8), N(7)) can be classified based on the comparison of C-N-bond distances within the ligand. This comparison provides information about the interaction of the free electron pair at the nitrogen of the amido-group with the NCN-unit of the guanidinato ligand. If the mesomeric iminium diamide form (figure

32 B) dominates the overall structure, the C-N bond length of the NMe2 group to the guanidinato core (C(1)-N(8), C(2)-N(7)) would be shorter than the N-C bonds of the metallacycle. This is clearly not the case. The metallcyclic C-N bonds are 0.3 to 0.8 Å shorter than the exocyclic C-N bond. This would point to the dominating influence of the 1,3- diazallyl structure type (figure 46 A). The differences in the tantal-to-ligand interactions of the two guanidinato ligands (opposite position to the imido and amido group) do not seem to affect the bonding situation of the exocyclic amido groups of these guanidinato-ligands (almost the same bond length for C(1)-N(8) = 1.393(7) Å and C(2)-N(7) = 1.390(7) Å).

78 Chapter 5 Guanidinato based precursors of tantalum and niobium

Structural distinctions and similarities between B1 and the structures of B2-B5 and B7. The main finding is that the cyclohexyl-moiety of the guanidinato ligand does not seem to have a strong influence on the structural features of the compounds compared to i-Propyl substituted complexes. Ortep/Povray plots are displayed in figure 92-94 in the experimental part (chapter 10.9.3). All the studied molecular structures reveal that the Ta-N(6)-C(18) unit of the imido-ligand is almost linear (>170°) and the Ta-N(6) bond is relatively short (1.79 Ǻ). The sum of bond angles around the nitrogen atoms N(7) and N(8) range between 357.9 and 360° for all compounds and the amido groups are almost planar with angles above 155.5° (up to 179.8°). Nevertheless, only reduced interaction with the delocalized π–system of the R4-N- C-N-R4 unit is possible due to the relative high distortion angles between the planes, given by the amido ligand and the R4-N-C-N-R4 unit (40.5 to 58.6°).

All molecular structures show the same orientation for the Ta-amido group. One carbon atom (atom C(16), figure 48) is arranged it such manner that it is positioned within the plane, spanned by tantalum and the two nitrogen atoms of the amido and imido group (N(5)-Ta(1)- N(6)). The out of plane torsion angle is below 6.3 ° for B1-B5 and B7. It can be proposed that steric reasons play a significant role in this alignment of the atoms, besides an impact of the electronic structure of the molecular orbitals. This structural feature clearly explains the presence of two widely separated peaks for the protons attached to C(16) and C(17) in the 1H- NMR spectra of the compounds (see chapter 5.3.2.1). The rotation of this group is not only hindered by the double bond character of the Ta-N(5) bond, but more by steric circumstances. Another indication for steric constraints within the structures is the inwards orientation of the two methyl groups of the iso-propyl moieties (B1-B4) towards the tantalum center. This is caused by a repulsion of the iso-propyl groups and the exocyclic amido group.

79 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 48. Ortep/Povray plots of the structures of B1 and B2. The planes, spanned by Ta(1), N(6), N(5) and C(16) are displayed in both molecules. Substituents, e.g. i-Pr-groups are removed for reasons of clarity.

Thus, complexes containing symmetric amido groups (NMe2, NEt2) show two peaks, having the same intensity, since rotation of the amido groups by 180 ° lead to chemically equivalent structures. Ethyl-methyl-amido substituted complexes (e.g. B2) generate two signals for the methyl group as well as two sets of signals for the ethyl group. The ratio between each pair of signals is influenced by the preference for the sterically less hindered position for the amido group. From the molecular structure of B2 in the solid state, the favored orientation is presumably an orientation of the methyl group towards the imido group.

80 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 49. Molecular structure of B1 in the solid state.

Figure 50. Clarification of the coordination sphere of the six nitrogen atoms around the tantalum center. Left: Ortep/Povray plot of a cutout of B1. Right: The geometrical figure corresponds to a distorted octahedron. The labeling of the nitrogen atoms is consistent for all compounds B1-B8.

81 Chapter 5 Guanidinato based precursors of tantalum and niobium

Table 10. Selected bond length and bond angles of B1-B5 and B7. B1 B2 B3 B4 B5 B7

Selected bond lengths (Å) Ta(1)-N(1) 2.174(4) 2.185(7) 2.198(8) 2.192(4) 2.168(6) 2.198(4) Ta(1)-N(2) (opp. amido) 2.254(4) 2.251(7) 2.275(8) 2.250(4) 2.279(5) 2.269(4) Ta(1)-N(3) (opp. imido) 2.396(5) 2.409(7) 2.408(8) 2.433(4) 2.437(5) 2.408(4) Ta(1)-N(4) 2.129(4) 2.127(7) 2.126(8) 2.122(4) 2.123(5) 2.136(4) Ta(1)-N(5) (Ta-amido) 2.014(5) 2.002(8) 2.023(8) 2.013(4) 2.005(5) 2.008(4) Ta(1)-N(6) (Ta-imido) 1.785(5) 1.791(8) 1.790(10) 1.780(4) 1.786(6) 1.797(4) N(1)-C(1) 1.345(7) 1.352(11) 1.327(13) 1.329(6) 1.389(8) 1.342(6) N(2)-C(1) 1.309(7) 1.318(11) 1.327(14) 1.325(6) 1.318(9) 1.319(6) N(3)-C(2) 1.320(7) 1.319(11) 1.323(13) 1.308(6) 1.308(9) 1.320(6) N(4)-C(2) 1.361(7) 1.356(11) 1.359(13) 1.359(6) 1.363(9) 1.363(6) N(5)-C(16) 1.456(7) 1.453(12) 1.464(13) 1.471(6) 1.451(8) 1.457(7) N(5)-C(17) 1.463(7) 1.468(13) 1.465(12) 1.454(7) 1.453(8) 1.464(6) N(6)-C(18) 1.444(7) 1.453(12) 1.449(14) 1.439(7) 1.457(9) 1.440(7) N(7)-C(2) 1.390(7) 1.382(11) 1.400(13) 1.388(6) 1.388(9) 1.400(6) N(7)-C(11) 1.442(7) 1.454(12) 1.464(14) 1.467(6) 1.465(9) 1.456(7) N(7)-C(12) 1.440(8) 1.447(12) 1.467(16) 1.457(6) 1.443(9) 1.446(7) N(8)-C(1) 1.393(7) 1.391(11) 1.393(13) 1.393(6) 1.397(8) 1.393(7) N(8)-C(3) 1.444(8) 1.437(13) 1.444(14) 1.451(7) 1.455(8) 1.456(8) N(8)-C(4) 1.450(7) 1.447(12) 1.461(14) 1.463(7) 1.459(9) 1.483(10) Selected bond angles (°) N(1)-Ta(1)-N(2) 59.56(18) 59.4(3) 58.8(3) 59.38(14) 60.3(2) 59.14(15) N(2)-Ta(1)-N(5) 155.36(19) 154.8(3) 158.7(3) 155.50(16) 153.7(2) 156.64(17) N(3)-Ta(1)-N(4) 58.92(16) 58.1(3) 58.5(3) 58.05(14) 58.0(2) 58.62(14) N(3)-Ta(1)-N(6) 162.19(19) 161.1(3) 160.6(4) 156.78(16) 161.4(2) 161.69(16) N(1)-C(1)-N(2) 112.0(5) 111.0(7) 111.6(9) 112.1(4) 111.3(6) 111.9(4) N(3)-C(2)-N(4) 113.2(5) 112.0(8) 112.5(8) 113.2(4) 113.0(6) 112.9(4) C(1)-N(8)-C(3) 122.7(5) 120.6(8) 120.6(9) 120.0(4) 121.0(6) 120.3(5) C(1)-N(8)-C(4) 121.9(5) 121.4(8) 120.1(8) 121.8(4) 119.0(5) 118.4(5) C(3)-N(8)-C(4) 115.3(5) 117.2(8) 118.5(9) 116.9(4) 115.1(5) 120.9(5) C(2)-N(7)-C(11) 120.7(5) 122.9(8) 121.4(8) 120.6(4) 123.0(6) 121.1(4) C(2)-N(7)-C(12) 122.8(5) 120.7(8) 121.4(8) 119.1(4) 120.9(6) 121.1(4) C(11)-N(7)-C(12) 116.5(5) 116.1(8) 117.2(8) 118.2(4) 115.1(6) 116.0(4) Ta(1)-N(6)-C(18) 170.6(4) 175.7(7) 174.9(8) 176.2(4) 175.7(5) 172.3(4) Selected torsion angles (°) Ta(1)-N(5)-C(16)-C(17) 178.5(7) 179.4(12) 178.4(14) 178.0(5 175.7(10) 180.0(7) C(2)-N(7)-C(11)-C(12) 179.8(9) 174.6(11) 179.0(14) 163.6(4) 169.0(10) 166.2(6) C(1)-N(8)-C(3)-C(4) 176.3(9) 169.9 (12) 169.9(14) 166.8(5) 155.5(10) 172.4(5)

C(3)-N(8)-C(4)-plane vs. 45.5(13) 50.4(18) 53.7(14) 48.7(8) 45.3(10) 58.6(6) N(1)-C(1)-N(2)-plane C(11)-N(7)-C(12)-plane vs. 44.2(13) 45.0(18) 42.2(14) 45.9(6) 40.6(10) 46.2(7) N(3)-C(2)-N(4)-plane

82 Chapter 5 Guanidinato based precursors of tantalum and niobium

5.4 Synthesis of amido / imido / guanidinato compounds of niobium C1-C4

Generally, niobium and tantalum show similar behavior in the formation of metal organic complexes having the oxidation state +V. Following the synthesis of B1-B8, the concept of carbodiimide-insertion into metal amido compounds was transferred to niobium. Very similar properties can be observed for the synthesized mixed amido/imido/guanidinato complexes. Therefore this chapter will be condensed to the most important findings.

5.4.1 Synthetic route, purity and properties of the compounds C1-C4

For the synthesis of C1-C4, two equivalents of the corresponding carbodiimide were added to the amido/imido compound of niobium (figure 51). The products, which are highly soluble in common organic solvents (e.g. toluene, hexane, benzene) precipitated from the reaction solutions during removal of the solvent in vacuo.

Figure 51. Synthesis of the complexes C1-C4. The assignment of R1-R3 can be found in table 11.

Table 11. Overview of the synthesized guanidinato complexes of niobium. Name Amido cdi-type Imido Yield m.p. R1 R2 R3 in % in °C C1 Me Me i-Pr t-Bu 86 211 C2 Et Et i-Pr t-Bu 79 184 C3 Me Me cy t-Bu 82 210 C4 Et Et cy t-Bu 72 197

The color of the products in powder form is white to yellow, whereas the clean product is supposed to be completely colorless. No drastic color change was observed during the reactions. This is a bit surprising, considering the previously reported reactions of

[Nb(NMe2)5] (PDMAN) with i-Pr-cdi and cy-cdi in which formation of a “blood red” solution was reported after combination of the almost colorless starting compounds.[163] It was suspected that this color change is based on the formation of minor products during the reactions. The CHN-analysis of the compounds C1-C4 indicated sufficient purity of the

83 Chapter 5 Guanidinato based precursors of tantalum and niobium compounds for their use as MOCVD - precursors. In the case of reactions with i-Pr-cdi, a small excess of the ligand was not problematic as it could be easily removed under vacuum overnight. This was not the case with the solid, less volatile cy-cdi.

5.4.2 Spectroscopic analysis of the compounds C1-C4

Proton and 13C-NMR spectroscopy of the hexa-coordinated complexes C1-C4 resulted in spectra, very similar to the corresponding tantalum congeners. The spectra of the tantalum compounds were already extensively discussed, thus no thorough discussion of the spectra is necessary here. Nevertheless, some differences in the 13C-NMR spectra should be pointed out. It seems that going from tantalum to niobium, as the central atom of a nitrogen-coordinated complex, has an influence on the appearance and broadness of peaks for carbon atoms, which are directly bounded to the M-N species. The quaternary carbon atom of the imido group does not appear in all 13C-NMR spectra of C1-C4. This effect was already observed in the case of [136] [Nb(NCMe2Et)Cl3(dme)] (dme = dimethoxyethane). The reason for this is probably the existence of relaxation parts in the niobium complexes different to their tantalum analogs, which could broaden the peaks and thus make them difficult to detect. In addition, the peaks for the α-carbon atoms of the Nb-amido group in the complexes C1-C4 are broadened (positions: ~48 and ~58 ppm). However, the reason for this broadening can be a rotational barrier with its rotating frequency within the order of the time scale of the 13C-NMR- spectroscopy. Recording high temperature NMR-spectra can be considered in order to support this assumption. Another phenomenon that can be observed in the case of the TBTDEN-based complexes C2 and C4 is the complete absence or at least an extreme broadness of the 13C- peaks of the diethylamidogroup from one guanidinato-ligand (altogether four peaks). So far the only explanation for this situation is the structural difference of the two guanidinato ligands (5.4.3). The mass spectra of the compounds revealed similar decomposition products as observed for the mixed amido/imido/guanidinato complexes of tantalum. One difference was the low intensity or the complete absence of the molecular peak in all cases (C1: 1 %, C2-C4: not present). This could indicate either a decomposition of the compounds before they evaporate or a lower chemical stability during the electron bombardment.

84 Chapter 5 Guanidinato based precursors of tantalum and niobium

5.4.3 Single crystal X-ray analysis of C1 and C2

Crystals were obtained by slow crystallization from a concentrated solution of C1-C4 in toluene at -30°C. The colorless, clear crystals were strongly agglomerated, making it difficult to isolate a distinct single crystal. Therefore, the quality of the crystal data of C2 was relatively low and crystals from C3 and C4 did not even show sufficient quality for solving the structures (table 31). The bonding parameters of the molecular structures of C1 and C2 in the solid state are almost equal and can be discussed together (table 12). In general, the parameters are similar to the corresponding tantalum compounds (chapter 5.3).

Figure 52. Ortep/Povray plot of the molecular structure of C1 in the solid state. The N(6)-Nb(1)-N(5)-C(16) plane is colored in yellow. The distortion of the endocyclic planes (green) and the planes, spanned by the exocyclic amido groups (red) are indicated.

The hexa-coordinated complexes show coordination of the nitrogen atoms around the niobium in distorted octahedral fashion (figure 52). The orientation of the amido group bonded to niobium (sp2-hybridized; sum of bond angles ~360 °, almost planar) is most likely influenced by a steric effect as it was already observed in the case of the tantalum congeners (figure 52, yellow plane). The guanidinato ligands are cis-oriented, which is in contrast to the compound [166] [NbCl2{(N-cy)2C(N(SiMe3)2)}2] , containing the two guanidinato ligands in trans orientation. The main reason for that is most probably the strong donating effect of the imido- group which is unlikely to be in trans-position to the amido group (trans effect). The Nb(1)- N(6) bond of the imido group is a Nb-N triple bond with a very short distance of 1.770 Å (in average). In addition to that, the imido-group is almost linear with an Nb(1)-N(6)-C(18) angle of 175.8 °. The two guanidinates differ in terms of symmetry. Although their bite angles are

85 Chapter 5 Guanidinato based precursors of tantalum and niobium almost equal, the distance from the nitrogen atoms to the Nb center varies. Opposite to the imido group and the amido group, the Nb(1)-N(3) and the Nb(1)-N(2) bonds are lengthened compared to the Nb(1)-N(1) and Nb(1)-N(4) bonds. As expected, this effect is stronger in the case of the Nb(1)-N(3)-bond, opposite to the six electron donating imido group. This difference of the ligands possibly explains why only one amido group (of the guanidinato- ligand) is broadened in the 13C-NMR-spectrum of C1-C4 (5.4.2). The endocyclic C-N-bonds of the guanidinato ligands are shorter than their exocyclic C-N-bond to the amido groups (C(1)-N(8); C(2)-N(7)). This issue, and the fact that these amido groups are twisted towards the guanidinato-center by 41.6 to 56.0° indicate a low conjugation of the partially sp2- hybridized nitrogen atoms N(7) and N(8) (green and red planes in figure 52). Their hybridization is indicated by a sum of bond angles around N(7) and N(8) of almost 360° and a high planarity of the C(1)-N(8)-C(3)-C(4) and the C(2)-N(7)-C(11)-C(12) system.

Table 12. Selected bond length and bond angles of C1 and C2. Selected bond C1 C2 Selected bond lengths in Å C1 C2 lengths in Å Nb(1)-N(1) 2.201(2) 2.199(5) N(5)-C(17) 1.460(3) 1.468(8) Nb(1)-N(2) 2.298(2) 2.293(6) N(6)-C(18) 1.458(3) 1.471(9) Nb(1)-N(3) 2.4170(19) 2.411(6) N(7)-C(2) 1.393(3) 1.390(8) Nb(1)-N(4) 2.1427(19) 2.153(5) N(7)-C(11) 1.442(7) 1.454(12) Nb(1)-N(5) 2.013(2) 2.012(6) N(7)-C(12) 1.440(8) 1.447(12) Nb(1)-N(6) 1.777(2) 1.764(6) N(7)-C(11) 1.453(3) 1.440(8) N(1)-C(1) 1.336(3) 1.342(8) N(7)-C(12) 1.445(3) 1.460(8) N(2)-C(1) 1.319(3) 1.340(8) N(8)-C(1) 1.403(3) 1.399(8) N(3)-C(2) 1.313(3) 1.334(8) N(8)-C(3) 1.440(4) 1.427(10) N(4)-C(2) 1.357(3) 1.363(8) N(8)-C(4) 1.446(4) 1.446(9) N(5)-C(16) 1.457(3) 1.460(9)

Selected bond Selected bond angles in ° angles in ° N(1)-Nb(1)-N(2) 58.81(7) 59.20(19) C(11)-N(7)-C(12) 115.0(2) 117.7(6) N(2)-Nb(1)-N(5) 156.30(8) 159.7(2) Nb(1)-N(6)-C(18) 176.43(17) 175.3(6) N(3)-Nb(1)-N(4) 58.20(7) 58.5(2) N(3)-Nb(1)-N(6) 161.32(8) 161.9(2) Selected torsion angles (°) N(1)-C(1)-N(2) 112.7(2) 111.8(6) Nb(1)-N(5)-C(16)-C(17) 179.4(2) 179.7(7) N(3)-C(2)-N(4) 113.3(2) 112.4(6) C(2)-N(7)-C(11)-C(12) 168.5(4) 178.9(9) C(1)-N(8)-C(3) 121.1(2) 121.3(7) C(1)-N(8)-C(3)-C(4) 171.6(4) 175.2(9) C(1)-N(8)-C(4) 122.3(2) 119.3(6) C(3)-N(8)-C(4)-plane vs. 47.6(4) 56.0(11) C(3)-N(8)-C(4) 116.0(2) 119.3(7) N(1)-C(1)-N(2)-plane C(2)-N(7)-C(11) 121.3(2) 121.9(6) C(11)-N(7)-C(12)-plane vs. 41.6(4) 43.3(10) C(2)-N(7)-C(12) 122.6(2) 120.4(6) N(3)-C(2)-N(4)-plane

86 Chapter 5 Guanidinato based precursors of tantalum and niobium

5.5 Thermal analysis of B1-B8 and C1-C4 in respect to MOCVD / ALD

The thermal properties of compounds B1-B8 as well as C1-C4 were analyzed by classic melting point determinations, standard TG/DTA measurements, isothermal analyses and sublimation experiments under vacuum conditions. The melting points of all compounds were relatively high (184-232 °C, table 13) and could not be rationalized by the type of ligand substitution (R1-R4), nor by the central metal atom (niobium or tantalum). The compounds did not melt fully at the documented temperatures, but underwent some sort of waxen transition phase over a temperature range of approximately 5-10 °C (vide infra). At temperatures between 177 and 245 °C (table 13) three processes occurred parallel to each other. Melting and decomposition of the compounds as well as significant evaporation took place. Therefore it was difficult to interpret the TG/DTA-spectra in this temperature range properly. Figure 53 displays exemplarily the two TG-DTA spectra of compounds B4 and B8. The spectrum of B4 is one of the few examples where the melting point was clearly separated from the onset of decomposition (DTA-curve, blue). In the case of B8 the onset of melting was in coincidence with the onset of decomposition of the product.

Table 13. Thermal parameters of the compounds B1-B8 and C1-C4 (n.v. not clearly visible). The results for the melting point as well as the exact onset of decomposition have to be taken with care (large errors are possible). Complex R1 R2 R3 R4 Mass in M.p. 5 % Onset of Residual Theoretical, g/mol in weight decom- mass in % residual °C loss position in mass for reached ° C pure MN in at T = % B1 (Ta) Me Me i-Pr t-Bu 637.19 232 177 °C 224 28 31 B2 (Ta) Me Et i-Pr t-Bu 679.26 217 206 °C 222 12 29 B3 (Ta) Et Et i-Pr t-Bu 721.34 210 199 °C 214 11 27 B4 (Ta) Et Et i-Pr n-Pr 706.84 195 210 °C 245 13 28 C1 (Nb) Me Me i-Pr t-Bu 549.15 211 188 °C 216 15 17 C2 (Nb) Et Et i-Pr t-Bu 633.30 184 173 °C 193 21 15 B5 (Ta) Me Me cy t-Bu 796.96 210 217 °C n.v. 36 24 B6 (Ta) Me Et cy t-Bu 839.03 218 193 °C 219 32 23 B7 (Ta) Et Et cy t-Bu 881.11 204 191 °C 207 32 22 B8 (Ta) Et Et cy n-Pr 866.61 205 224 °C 216 29 22 C3 (Nb) Me Me cy t-Bu 708.92 210 207 °C 217 22 13 C4 (Nb) Et Et cy t-Bu 793.07 197 180 °C n.v. 29 12

87 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 53. TG/DTA spectra of B4 and B8. The stars indicate the endothermic peaks for a phase change. In addition, the melting point and the onset of decomposition of B4 and B8 are assigned.

At temperatures noticeably below the melting or decomposition point, one to two endothermic peaks could be observed (figure 53, marked with a star) for the spectra of all compounds except B6 and C4. No weight loss was observed at these temperatures which indicated that certain solid state reactions took place. The most probable reaction is a recrystallization process (phase change) leading to a thermodynamically more stable phase of the particular compound at these conditions. Solid state NMR-spectroscopy at higher temperatures and temperature dependent powder XRD-analysis could prove helpful in explaining the observation. However, studies of that kind were beyond the scope of this work. The presence of different phases in the solid state of B1-B8 and C1-C4 could probably be the reason for the problems in terms of crystallization. This phase change was not reversible, that means, running a program in which the sample (B1) was heated, cooled down and heated again showed the endothermic peaks only in the first period of heating. This spectrum is shown in figure 54. The position of the peaks is strongly dependent on the nature of the alkyl substituents R1, R2 (amido group) and R3 (guanidinato substituent). Going from methyl to ethyl for the amido substituents R1 and R2, resulted in a shift of these peaks to higher temperatures:

B1 (NMe2) → B2 (NMeEt) →B3 (NEt2): 52 °C → 70 °C → 110 °C;

B5 (NMe2) → B7 (NEt2): 112 °C→139 °C

C1 (NMe2) →C2 (NEt2): 43 °C→100 °C The same is observed for the variation of R3 from i-Pr to cyclohexyl (cy): B1 (i-Pr) →B5 (cy): 52 °C → 112 °C B3 (i-Pr) →B7 (cy): 110 °C →139 °C B4 (i-Pr) →B8 (cy): 82 °C → 140 °C C1 (i-Pr) →C3 (cy): 43 °C → 97 °C

88 Chapter 5 Guanidinato based precursors of tantalum and niobium

Figure 54. TG-DTA spectrum of B1 as a function of time. The heating rate was 5 °C per minute. The absence of the endothermic peak during the second period of heating can be clearly observed. No weight loss was observed, i.e. the loss of solvent can be excluded.

This sequence was valid for all compounds. It can be concluded, that the onset of the temperature for the postulated phase changes depends on the mobility of the molecules in the solid state, which supposedly increases with higher chain lengths and/or size of the substituents.

The impact of the different substituents in the positions R1, R2, R3, R4 on the thermal properties of the compounds was investigated by means of TG/DTA analysis and isothermal TG-studies. The nature of the carbodiimide substituents (cy vs. i-Pr, R3) has the most significant influence on the volatility of the compounds. Thus B1-B4, C1 and C2 (i-Pr-cdi substituted) could be sublimed without any residues at 120°C in vacuo (10-4 mbar). Compounds B5-B8, C3 and C4 (cy-cdi substituted) did not sublime at all under these conditions. Isothermal studies at 120°C underlined these results. After 240 min under ambient pressure, the weight loss of B1-B4 was approximately 10 % in all cases, while e.g. compounds B5 and B6 showed a weight loss of only 2.5 % (figure 55). The mass transport of the compounds was the highest for B4 (4.9µg/min) and significantly lower for B5 and B6 (0.93 and 0.59 µg/min). The simplest explanation for this observation are the higher molecular masses of the cy-cdi compounds. However, by changing R1 and R2 from methyl to ethyl (e.g. B1 vs. B3) no significant effect on the volatility is observed. Obviously, the variation of R3 has a significant effect on the interactions between the molecules in the solid state, e.g. by a different packing of the moieties (which is also reflected in the temperatures of

89 Chapter 5 Guanidinato based precursors of tantalum and niobium the postulated phase changes of the compounds). The niobium containing compounds showed higher rates for evaporation. C1 and C2 evaporated with 12.8 and 10.3 µg/min, respectively. The cyclohexyl substituted complexes C3 and C4 were again less volatile at 120°C (C3: 0.37 µg/min; C4: 3.06 µg/min).

Figure 55. Isothermal studies of the compounds B1-B6. Compounds, synthesized by insertion of iso-propyl carbodiimide (B1-B4) are significantly more volatile (higher mass loss), than the cyclohexyl-substituted complexes (B5 and B6).

Having a look at the residual masses after TG/DTA measurements (table 13) shows again the clear difference in volatility and decomposition behavior of the i-Pr-cdi (B1-B4 and C1-C2) substituted complexes and the cy-cdi substituted complexes in general. In almost every TG- spectrum of the compounds B1-B4 and C1-C2 the residual masses were considerably lower than the theoretical contents of TaN or NbN within the compounds. Clearly, evaporation of the undecomposed compound took place during the measurements. Only TG measurements of compounds B1 and C2 resulted in residual masses in the range of the theoretical content of the metal nitride. In contrast, the residual masses from cy-cdi complexes (B5-B8 and C1-C2) after TG/DTA measurements were higher than the theoretical masses of pure TaN or NbN (table 13). This indicates an incorporation of significant amounts of carbon during decomposition and a low tendency towards evaporation.

Variations of the rests R1, R2 and R3 or the exchange of the central metal atom gave no consistent picture of the order of the complexes in terms of volatility or decomposition behavior.

90 Chapter 5 Guanidinato based precursors of tantalum and niobium

5.6 Investigations of the thermal decomposition of B1-B3

For the precursors, having potential for MOCVD of metal nitrides, the nature of their thermal decomposition leading to the desired materials is crucial. Thus, compounds B1-B3 were evaporated at 120°C in vacuo, a constant flow of argon providing a continuous transport of the precursor through a decomposition tube at 600° C (figure 56).

Figure 56. Device for testing the decomposition behavior of B1-B3. The bubbler as well as the glas joints were heated by heating tapes, while a quartz glas tube was heated to 600 °C in a tube shaped oven. The length of the tube was 40 cm in order to ensure complete decomposition of the precursor.

The decomposition products were subsequently trapped at -196 °C (liquid nitrogen) in a slightly modified cooling trap. After full evaporation of the precursor (300 mg), deuterated benzene was injected into the cooling trap and the resulting C6D6 solutions were analyzed by 1H-NMR and GC-MS measurements. The 1H-NMR spectra revealed the formation of the corresponding free amines for B1-B3 (HNMe2, HNMeEt and HNEt2), small amounts of t-Bu- amine (resulting from the former imido ligand) as well as free carbodiimide (figure 57). The precursors were fully decomposed. It should be noted that although these compounds represent a considerable part of the decomposition products, additional peaks were present, which could not be unequivocally assigned. GC-MS measurements confirmed these 1H-NMR results and additionally showed the presence of small amounts of i-Pr-amine as well as several unidentified species containing the carbodiimine group. The relatively large variety of decomposition products suggests rather complex decomposition mechanisms. The liberation of free carbodiimide during decomposition was considered by S. T. Barry et al. as it is likely that the insertion of the carbodiimide is reversible under these drastic conditions. [171, 172] Indications for this reversibility of insertion were already observed in equilibrium reactions between mono- and bisguanidinato complexes (Chapter 5.3.2.1). The ratio of free carbodiimide to other signals in the NMR spectra varied strongly, depending on the type of the precursor. The volatility of other decomposition products (e.g. dimethylamine), determined the intensity of the cdi-peaks.

91 Chapter 5 Guanidinato based precursors of tantalum and niobium

1 Figure 57. H-NMR spectrum of the decomposition products of B3 at 600 °C, ingested by C6D6. Free diethylamine as well as i-Pr-cdi can be observed. The peaks, marked with a star can probably be assigned to decomposition products, still containing diethyl and iso-propyl groups.

92 Chapter 6 MOCVD experiments of tantalum nitride

Chapter 6 MOCVD of tantalum nitride

A selection of the new compounds, A1-A3, B1-B8, was tested in MOCVD experiments for the deposition of tantalum nitride thin films (chapter 6.3 and 6.4). For reasons of comparison, MOCVD experiments of reference precursors, namely the starting compounds S4-S8 were carried out as well (chapter 6.2). The temperature regime that was tested was 500-800 °C. Nevertheless it should be mentioned that all experiments have been made in the focus of screening the precursors. A full elucidation of the deposition properties was not intended. Four types of reactors have been used. Three of them are presented in chapter 6.1: One selfbuilt MOCVD-reactor,[176] one large-wafer reactor (User: Martin Lemberger, Fraunhofer Institut für Integrierte Schaltungen in Erlangen) and the industrial scale reactor Aixtron 200 RF (User: Stephan Spöllmann). The LI-MOCVD Aixtron 200 FE reactor is not described in detail. In the following table (table 14) the combinations of the precursors and the reactors, as well as a qualitative description of the results are listed.

Table 14. Compounds that were used for MOCVD experiments including a short summary of the results. Formula Reactor Chapter Qualitative results type/user

S5 [Ta(NMeEt)3(N-t-Bu)] Selfbuilt 6.2.1 Cubic TaN was deposited having (Bochum) high level of impurities (O, C)

S6 [Ta(NEt2)3(N-t-Bu)] Aixtron 200 RF 6.2.2 Cubic TaN was deposited. Low level (Bochum, of impurities (with ammonia as S. Spöllmann) reactive gas)

S6 [Ta(NEt2)3(N-t-Bu)] Large wafer 6.2.3 Heavily oxidized TaN was deposited. (Erlangen, Still, the films showed good M. Lemberger) electrical properties

S5 [Ta(NMeEt)3(N-t-Bu)] Large wafer 6.2.4 Heavily oxidized TaN was deposited. (Erlangen, M. Lemberger)

A3 [Ta(NEt2)2(tdmh)(N-t-Bu)] Selfbuilt 6.3.1 Amorphous and insulating TaSixNy (Bochum) was deposited.

A2 [Ta(NMeEt)2(tdmh)(N-t-Bu)] Large wafer 6.3.2 Heavily oxidized TaSixNx was (Erlangen, deposited. The films were completely M. Lemberger) insulating. B2 [Ta(NMeEt){η2-(N-i- Selfbuilt 6.4.1 Cubic TaN with almost no impurities Pr)2C(NMeEt)}(N-t-Bu)] (Bochum) was deposited without ammonia. B2 [Ta(NMeEt){η2-(N-i- LI-MOCVD 6.4.2 Oxidized and insulating, but carbon Pr)2C(NMeEt)}(N-t-Bu)] Aixtron 200 FE free TaNx was deposited. (Bochum)

93 Chapter 6 MOCVD experiments of tantalum nitride

The films were analyzed by several techniques that are described in chapter 10.2, including X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Electron Dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), Rutherford Backscattering (RBS), Secondary Neutral Mass Spectroscopy (SNMS) and Four-Probe resistivity measurements. Besides these techniques for answering the “standard” questions of precursor tests (morphology, growth rate, crystallinity, composition of the films), further electrical characterization of the thin films was performed in cooperation with our partner in Erlangen. These analyses aim at a specific application of the TaN films as gate electrode materials. Although the electrical characterization was completely carried out by our collaborating partner the results are interesting in terms of applicability of the films for electronic devices and some discussions will be given. An extra chapter is addressed to explain the electrical characteristics and results of the measurements (Chapter 6.5). All results of the experiments are summarized in a diagram (Chapter 9, summary), similar to the figure that summarized experiments of other works (figure 9, chapter 2.1.1).

6.1 Presentation of the MOCVD reactors utilized in this work

In the following the three reactor systems that have been used for the MOCVD experiments are described.

Selfbuilt, coldwall MOCVD reactor The cold wall reactor consists of a tube shaped glas-part that contains the graphite susceptor (inductive heating) and the substrates, which are placed on the susceptor. The area of the reactor around the substrate holder is constantly cooled by a thermostat (water-filled, T = 40 to 80 °C, depending on the evaporation temperature). However, the inner wall of the reactor has probably a higher temperature due to radiation of the heated susceptor. The whole delivery system for the precursor system is heated by an airbath and additional heating tapes to the preselected vaporization temperature to ensure the transport of the precursor at almost isothermal conditions. Therefore, cold spots in the delivery system should be excluded. The reactor scheme is shown in figure 58. Precursor is filled in the glove box into the thoroughly dried bubbler under inert gas atmosphere in order to prevent an oxidation of the product. A continuous flow of the carrier gas led through the bubbler is achieved by using a mass flow controller. The gas/precursor mixture flows over the susceptor holder, which is tilted by approx. 15° to allow a more uniform mass flow distribution over the whole sample. Unreacted

94 Chapter 6 MOCVD experiments of tantalum nitride precursor and decomposition byproducts are collected in a cold trap at liquid nitrogen temperature.

Figure 58. Scheme of the selfbuilt horizontal cold wall reactor (substrate size: 1.4 x 2.0 cm2).

Pieces of Si(100) wafers with a thermally generated silicon dioxide layer (20 nm) were used for depositions. The sizes of the Si(100) wafers were approximately 1.4 cm x 2.0 cm. Before use they were cleaned in a flow of pure argon in order to remove all macroscopic residues together with any dust on the surface. No further treatment of the wafers was necessary before loading them into the reactor. The reactor itself was kept under nitrogen to prevent absorption of oxygen and moisture on the reactor wall. It was cleaned after each deposition with iso- propanol to remove undecomposed precursor. The base pressure was around 4·10-6 mbar. Experiments were carried out at pressures of 1-10 mbar. The studies focused on the properties of the compounds as single source precursors. Therefore, in most of the experiments, ultra pure nitrogen (purity 6.0) was used as inert carrier gas without addition of reactive gases. Additional ammonia gas was only applied in experiments with the tdmh ligand containing precursor A3. The advantage of this reactor is the simplicity of the setup which enables up to three experiments per day without causing the high costs associated with the use of semi- industrial equipment.

Nonetheless, the drawback of this type of reactor is the lack of reproducibility of the experiments as a result of the rather simple setup. Thereby, the most crucial point is the

95 Chapter 6 MOCVD experiments of tantalum nitride quantitative control over the precursor transport into the reactor. Small variations in the openings (teflon valves) of the bubblers have a high impact on the fluid dynamics of the carrier gas and thus of the mass transport of the precursor into the reactor. Thus, drawing exact conclusions on the growth rate of a precursor under certain conditions cannot be recommended. More reliable results can be achieved in terms of temperature dependence of the growth. The temperature can be precisely determined and regulated by a pyrometer.

Large-scale reactor (Erlangen) The selfbuilt reactor from our partner in Erlangen is designed to deposit thin films simultaneously on two 150 mm wafers. [177] It is a horizontal cold wall reactor (base pressure: approx. 10-2 mbar; quartz tube dimensions: length: 80 cm, diameter: 20 cm, figure 59). The p- type Si(100) wafers with 20 nm thermal oxide are placed on a horizontally mounted graphite susceptor, that is heated by halogen lamps to temperatures of 400 to 700 °C. Temperature control is done by using thermo couples within the susceptor. The precursor is kept in a metal or glass bubbler (chapter 6.1.1) and is electrically heated. The pre-heated carrier gas (nitrogen) is led directly through the liquid precursor in order to guarantee a constant mass transport into the reactor. All gas lines (length appr. 100 cm) are heated electrically to temperatures above the evaporation temperature of the precursor. By using this reactor, it is possible to deposit thin metal nitride films on pre-structured Si-wafers in order to form MOSFET gate structures and measure the electrical properties of the metal nitride gate materials. Due to the design of the reactor (large volume, complex fluiddynamics) it is difficult to acquire control over the growth behavior of the thin films. Gas phase pre-reactions are likely to occur, either thermally induced, or caused by contact with reactive ammonia. This could lead to particle formation. The wafers are lying flat on the substrate holder and are not tilted towards the gas stream. Thus, obtaining uniform films is more difficult. On the other hand this allows the deposition (and the analysis) of gate structures having various film thicknesses in dependence of the position of the structure on the wafer. The high base pressure is critical as it indicates the presence of small leakages.

96 Chapter 6 MOCVD experiments of tantalum nitride

Figure 59. Scheme of the horizontal cold wall reactor in Erlangen. The reactor is constructed for two 150 mm wafers.

Aixtron 200 RF – reactor The industrial scale reactor Aixtron 200 RF was originally built for high volatile precursors for the deposition of III-V semidconductors, e.g. trimethylgallium. In principle, this reactor is constructed similar to the reactor in Erlangen (figure 60 and 61). All gas flows are regulated by computer controlled mass flow controllers and valves. Modifications were made to enable the transport of less volatile metal organic precursors into the reactor. All lines and valves are covered by heating tapes and can be heated up to 100 °C.

Figure 60. Scheme of the Aixtron 200 RF reactor.

The wafer is placed on a rotating disc, actuated by a hydrogen gas flow, to enhance the uniformity of the deposited thin films. Samples are loaded from an attached glovebox (argon) into the open reactor without air contact. Exhaust gases are led through a 30 % aqueous solution of sulfuric acid.

97 Chapter 6 MOCVD experiments of tantalum nitride

Figure 61. Left: Picture of the Aixtron 200 RF MOCVD reactor. The reactor part in operation is shown in the right picture.

6.1.1 Bubbler design – Enhancement of precursor evaporation

The standard containers (bubbler) for storage of precursors in industrial and semi-industrial MOCVD reactors consist of stainless steel. Although they have a high mechanical stability, the precursor is hidden in a, more or less, black box and the bubbler has to be disconnected and transferred into a glove box in order to check the precursor’s fill height and condition. In this work, precursor reservoirs for liquid precursors, made out of glass, were constructed for the Aixtron 200 RF reactor and the reactor in Erlangen (figure 62). They facilitate a rough control of the precursor consumption in a certain number of experiments (e.g. 2 ml per 5 runs) and the changes of the precursor over time. The majority of liquid metal organic precursors partly decompose after long exposure to evaporation temperatures. This is reflected in changes of the color of the liquid precursor as well as the formation of precipitates. For the small, selfbuilt reactor, all bubblers are made out of glass with simple Teflon valves. The bubbler and its content is exchanged after each deposition.

98 Chapter 6 MOCVD experiments of tantalum nitride

Figure 62. Glass bubblers for a) the Aixtron 200 RF reactor and b) the reactor in Erlangen (large wafer instrument). In order to ensure a minimum filling height, glass pearls can be added to the precursor to reduce the required amount of precursor.

6.2 Deposition experiments with simple amido / imido tantalum precursors

MOCVD experiments using the established amido/imido-type precursors for TaN deposition (S5, S6) were carried out for several reasons in this work. First, the obtained data can be used as a benchmark for screening the more complex precursor systems A1-A3, B1-B4, and C1- C2. This is necessary, although reports of depositions applying amido/imido compounds already exist. In fact, the published results differ quite significantly, depending on the reactor that has been used and the particular conditions. Second, the obtained data are interesting for their own merit due to the fact that a systematic study of alkylamido/imido-type TaN precursors with small variations of the alkyl substitution patterns has not yet been performed. Third, in a collaborative project with the research group in Erlangen, the formation of thin metal nitride films for complex gate structure devices is relevant and not necessarily the type of precursor that is used.

99 Chapter 6 MOCVD experiments of tantalum nitride

6.2.1 Depositions with [Ta(NEtMe)3(N-t-Bu)] (S5) in the selfbuilt horizontal reactor

The liquid compound S5 is highly volatile and requires comparably low temperatures of evaporation for a sufficient mass transport into the reactor (bubbler temperature range: 30 to 45 °C). The focus of these preliminary experiments was to optimize the deposition conditions in terms of evaporation temperature at substrate temperatures of 600 and 700°C. The pressure (8 mbar) as well as the flow rate of the carrier gas (nitrogen, 25 sccm) were kept constant in all experiments. Lower pressures and higher flow rates of nitrogen induced non-uniform growth due to very high growth rates, even at a source temperature of 40 °C. The conditions of the experiments are summarized in table 15.

Table 15. Parameters and results of the depositions using S5 as precursor. Film thickness is determined via SEM-measurements or weight gain of the films (in combination with the density of fcc-TaN (13.7 g/cm3)).

Exp-Nr Tevap Tsubstr. Growth tdep. Film Film Calc. resistivity XRD rate thickness thickness density (weight) (weight) (weight) (SEM) (SEM) in °C in °C in in min in µm in µm in in μΩ·cm phase nm/min g/cm3 S5-1 30 600 6.4 60 0.39 0.38 13.9 5.0·105 - S5-2 35 600 10.0 60 0.60 0.68 12.2 1.1·105 fcc TaN S5-3 40 600 25.1 60 1.51 1.55 13.3 4.2·103 fcc TaN S5-4 45 600 27.5 30 0.81 0.80 14.0 2.1·104 fcc TaN S5-5 40 700 9.0 60 0.54 0.73 10.1 3.1·103 fcc TaN

The deposited films were shiny, metal-like with a slightly brown/golden color. In the case of S5-1 and S5-2, no grains could be observed on the surface (completely uniform) while S5-3, S5-4 and S5-5 showed small grains on the surface (see SEM-discussions).

Two ways were used to determine the growth rate under various conditions. The film thickness can be directly quantified by SEM-cross section measurements or indirectly by using the weight gain and the surface area of the samples. On the one hand, the advantage of determining the film thickness by its weight gain is that the average thickness over the whole substrate is measured. SEM-measurements show only one section of the whole film. Therefore the validity is not given for the whole sample. On the other hand, the density of the films is required to calculate the film thickness, based on the weight gain of the substrate (ρ(fcc-TaN) = 13.7 g/cm3). Both sets of values for the film thickness were determined and show good correspondence (table 15). Thus it can be assumed that the density of the films is somewhat close to the film thickness of bulk fcc-TaN and that the films are very compact in

100 Chapter 6 MOCVD experiments of tantalum nitride terms of the absence of cavities which can serve as diffusion pathways for oxygen. This is in contrast to the films, obtained by M. Kodoshima et al,[51] having densities of about 7.4 g/cm3 (TAIMATA, 450°C). A higher compactness of the film is desired, because only compact films effectively act as diffusion barriers for copper. Generally the growth rate of the film deposition was very high, ranging from 6 to 28 nm/min For achieving lower deposition rates, it would be advisable to increase the pressure and lower the flow rate in order to reduce the precursor transport rate. However, this could lead to a less uniform growth (higher variation of thickness over the substrate).

XRD-analysis XRD-analyses of the films clearly showed the formation of cubic TaN. Only in the case of S5-1 reflections for TaN were not observed (figure 63). The theoretical positions for the TaC and TaN reflections are marked. It can be almost excluded that tantalum carbide was formed. It seemed that the lattice constant of the cubic structure of the films, 2.46-2.48 Å based on the (111)-peak, was slightly smaller than the lattice constant for cubic TaN (2.503 Å for the TaN(111)-peak). Maybe the films were slightly tantalum rich which reduced the distances of the lattices. As it would be expected, the film, deposited at 700 °C was more crystalline than the other films. More exact conclusions can only be drawn when information about the composition of the films are available.

Figure 63. XRD-spectra of films deposited by means of MOCVD using S5 at different evaporation temperatures and a substrate temperature of 600 °C.

101 Chapter 6 MOCVD experiments of tantalum nitride

SEM characterization SEM-analysis of the films revealed the nanocrystalline structure of these thin films. Cross sectional SEM pictures (figure 64 a) show the growth of TaN in form of linear crystallites, perpendicular to the silicon surface. These crystallites had diameters in the range of 50-90 nm. This growth behavior was observed in all experiments using S5 as a precursor. On top of the surface, the heads of these linear columns can be seen (figure 64 b).

Figure 64. a) Cross sectional and b) top view on a section of the sample S5-2. The columnar growth of the crystallites can clearly be seen.

102 Chapter 6 MOCVD experiments of tantalum nitride

The nature of the grains, observed on the samples is displayed in SEM-pictures (figure 65 a, b, d). These grains were particles that were formed on the reactor walls during deposition. The particles fell on the surface and were incorporated into the films as it can be seen. Therefore, they showed the same morphology as the surrounding films. As a consequence of the growth on the particle nucleus, the inner diameter of the discrete particle increased during growth (relative to its growth axis, figure 65 c). Nevertheless, large areas existed in which no particles contaminate the surface.

Figure 65. SEM-recordings of the particles on the samples S5-2 and S5-3. Pictures a, b and d show the top of a particle from above, while c shows a partially dissected particle. One can clearly see the cone-shaped form of the particle (the arrows indicate the growth directions).

The minimum resistivity of a film was found at an evaporation temperature of 40 °C. The variation of the substrate temperature from 600 to 700 °C seemed to have only a small impact on the resistivity. At lower evaporation temperatures the resistivity increased drastically, although peaks for cubic TaN could be observed in XRD spectra of S5-1. However, in the literature the effect of precursor transport rate (and thus the growth rate) has not been discussed so far. One explanation can be that at lower evaporation temperatures no stable (i.e.

103 Chapter 6 MOCVD experiments of tantalum nitride constant) growth behavior was established. Thus, certain mass transport would be required (e. g. at 40 °C) to get reproducible and meaningful results for the growth rate (10-25 nm/min) and the films’ properties. This is in discrepancy to the results presented at a later stage for other precursors (e.g. guanidinato-containing precursors, chapter 6.3) where reliable results were obtained at much lower growth rates of approximately 1-4 nm/min. Investigating these effects more extensively would be interesting in terms of optimizing the growth behavior of a certain precursor.

Based on these results, the precursor was sent to our cooperation partner in Erlangen and will be tested for its potential in the formation of TaN gate electrodes. The experiments are currently under investigation and will only be discussed in brevity (6.2.3.).

6.2.2 Depositions with [Ta(NEt2)3(N-t-Bu)] (S6) in the Aixtron 200 RF reactor

The MOCVD depositions using TBTDET (S6) in the 200 RF reactor were the first successful set of experiments, carried out in this reactor, modified for low volatile OM-precursors. In the beginning, the main problem that had to be overcome was the condensation of precursor at cold spots within the line system between the bubbler and the reactor. Two additional lines, that are not included in figure 60, were connected to the precursor transport line and were not used during deposition. Condensation within these parts occurred, though the parts, close to transport line were heated. It seems that the gaseous precursor diffused widely into these lines, condensed at the colder spots and assembled in the line system as liquid. The area of assembling was between the valve that is opened to start a deposition processes and the reactor. Thus, as soon as vacuum was applied to the reactor and the substrate was heated, deposition took place. In order to overcome this problem in the following experiments, all lines were constantly flushed with hydrogen and heated to temperatures above the evaporation temperature before and during the deposition experiment.

104 Chapter 6 MOCVD experiments of tantalum nitride

The depth profile of the atomic composition of such a film (deposition caused by condensed precursor in the lines) is shown in figure 66.

Figure 66. SNMS spectrum of a deposition in the Aixtron 200 RF reactor using S6 and NH3 as precursor and reactive gas, respectively (Tsubstr = 600 °C). The film consists of app. 70-80 nm, deposited during the experiment time and appr. 160 nm deposited during the warm up phase in which condensed precursor in the lines caused the growth.

It is very interesting to see that the layer that was deposited before the experiment started (without ammonia) showed heavy contaminations of carbon, while the addition of ammonia directly led to a significant decrease of the carbon content in the film.

Experimental parameters The influence of two variables was investigated in these experiments. First, the deposition temperature (range 550-800 °C) and second the quantity of ammonia that was added to the reactor (NH3-flow 0-400 sccm). The parameters are summarized in table 16. The evaporation temperature of the precursor was set to a relative high temperature (91 °C) to guarantee sufficient transport of precursor into the reactor. After several runs, the precursor slowly started to change its color from yellow to orange/red. No precipitation was observed in the glass bubbler; therefore it can be assumed that only little decomposition occurred. After the deposition was complete, the samples remained for 2 minutes in the ammonia/hydrogen atmosphere at the selected substrate temperature. The reactor pressure was set to the

105 Chapter 6 MOCVD experiments of tantalum nitride minimum value of 1 mbar. At this pressure, complete control over fluctuations or the precise setting of the pressure cannot be guaranteed.

Appearance, growth behavior and crystallinity of the films (SEM, XRD) All films were of mirror like, golden appearance and completely uniform. No particles or grains on the surface could be observed by SEM. Therefore, it can be expected that no significant pre-reactions took place in the gas phase during the mixture of NH3 and the precursor. The most challenging, and only partially satisfying analysis was the exact determination of the film thickness which was typically much below 100 nm. Three different approaches were made: 1) determination by weight gain (presumed density of TaN = 13.7 g/cm3), 2) by cross section recordings via SEM and 3) by SNMS-measurements. Not all samples were measured by all analytical techniques, thus no complete set of data can be given (table 17). It can be clearly seen that the film thicknesses calculated on the bases of the weight gain of the samples are too low. SEM-pictures of 60-80 nm thick films were very difficult to be analyzed properly. For comparison one thicker sample was prepared at average substrate temperature and medium flow of ammonia (S6-3’’; 30 min deposition time; table 16 and 17, last line). Most probably, the density of the films was not 13.7 g/cm3, but around 11.0 g/cm3 (SEM-thickness versus weight gain). Qualitatively it can be stated that with higher temperatures and higher ammonia flow rate, lower growth rates (film thicknesses) can be observed.

106 Chapter 6 MOCVD experiments of tantalum nitride

Table 16. Parameters of the depositions using S6 as precursor in the Aixtron 200 RF reactor. Repeated experiments are marked with an apostrophe. The experiments S6-3 and S6-3’ are listed twice due to their relevance for both experimental rows (bold entries, substrate temperature (first row) and NH3-flow (second row)). Exp-Nr T p Flow Flow Flow Ratio T p Depos. (prec) (prec) H2 H2 NH3 NH3/H2 (substr) (reactor) time (prec) (sum) in °C in mbar in sccm in in sccm in °C in mbar in min sccm S6-1 91 50 21 600 200 0.33 550 1 5 S6-2 91 50 60 600 200 0.33 600 1 5 S6-2’ 91 50 21 600 200 0.33 600 1 5 S6-3 91 50 21 600 200 0.33 650 1 5 S6-3’ 91 60 60 600 200 0.33 650 1 5 S6-4 91 60 60 600 200 0.33 700 1 5 S6-5 91 60 60 600 200 0.33 800 1 5 S6-5’ 91 60 60 600 200 0.33 800 1 5 S6-7 91 60 60 600 0 0 650 1 5 S6-8 91 60 60 600 50 0.08 650 1 5 S6-9 91 60 60 600 100 0.17 650 1 5 S6-3 91 60 60 600 200 0.33 650 1 5 S6-3’ 91 60 60 600 200 0.33 650 1 5 S6-10 91 60 60 600 400 0.67 650 1 5 S6-3’’ 91 60 60 600 200 0.33 650 1 30

Table 17. Results of the depositions using S6 as precursor in the Aixtron 200 RF reactor. The results for the thicknesses are afflicted with large errors of appr. ± 10 nm. Therefore thicknesses were defined, based on the trend that was concluded from the less precise results of weight gain-, SEM- and SNMS measurements. Exp-Nr Flow T Film Film Film Defined Resistivity Resistivity XRD NH3 (substr) thickn. thickn. thickn. thickn. (defined (weight) (SEM) (SNMS) thickn.) in in °C in nm in nm in Ω/cm2 in μΩ·cm phase sccm S6-1 200 550 -- 80 -- 70 541 3.7·103 fcc TaN S6-2 200 600 ------70 -- -- fcc TaN S6-2’ 200 600 -- 78 -- 70 358 2.5·103 fcc TaN S6-3 200 650 -- 59 -- 60 417 2.5·103 fcc TaN S6-3’ 200 650 44.1 -- -- 60 -- -- fcc TaN S6-4 200 700 -- 61 -- 60 374 2.2·103 fcc TaN S6-5 200 800 -- 65 45 60 597 3.5·103 fcc TaN S6-5’ 200 800 32.5 -- -- 50 -- -- fcc TaN S6-7 0 650 54.4 -- 82 70 695 4.8·103 fcc TaN S6-8 50 650 58.4 -- 73 70 438 3.0·103 fcc TaN S6-9 100 650 56.8 -- -- 60 347 2.1·103 fcc TaN S6-3 200 650 -- 59 -- 60 417 2.5·103 fcc TaN S6-3’ 200 650 44.1 -- -- 60 -- -- fcc TaN S6-10 400 650 49.5 -- 55 60 392 2.3·103 fcc TaN S6-3’’ 200 650 285 354 369.5 354 130 4.6·103 fcc TaN

107 Chapter 6 MOCVD experiments of tantalum nitride

Higher substrate / susceptor temperatures could lead to decomposition of precursor in the area in front of the sample (on the susceptor). Consequently, depletion of the precursor in the gas phase above the substrate occurred and the growth rate was lowered. In all experiments the growth rate was in the range of 12 ± 4 nm/min. For calculation of the resistivity of the films, values for film thickness were defined, meeting the concerns of the above mentioned trends in thicknesses. These thicknesses were roughly estimated, based on the conversion factors for the analytical methods resulting from the data of the deposition S6-3’’ (full analysis of this film by all methods). The trend for the growth rates, deduced from these estimations is contrary to the results reported for depositions using a similar amido/imido precursor (TAIMATA).[51] Here, the growth rate slightly decreased with higher flow rates of ammonia. The SEM pictures also reveal the nano-crystalline texture of the thin films (figure 67). According to the growth using S5 (chapter 6.2.1), compact, but linearly grown crystals can be identified.

Figure 67. SEM – picture of a) a cross section and b) an on-top view of sample S6-3’’. The other, thinner samples show no good resolution.

XRD-patterns of the films testified the successful formation of cubic tantalum nitride thin films. Theoretically it could be possible that cubic tantalum carbide is the reason for the appearance of the peaks, but the films were almost carbon free (see SNMS-analysis). Figures 68 and 69 show the dependence of the crystallinity of the films on the temperature and the ammonia flow rate. The peak positions for the (111) and the (200) planes differ from the theoretical values for cubic TaN, according to the experiments using S5 in the selfbuilt reactor.

108 Chapter 6 MOCVD experiments of tantalum nitride

Figure 68. XRD spectra of films deposited at various temperatures using S6 ([Ta(NEt2)3(N-t-Bu)]) in the Aixtron 200 RF reactor. The peaks are slightly broadened at lower substrate temperatures and the peak positions deviate from the peaks expected for bulk TaN.

Figure 69. XRD spectra of films deposited at various NH3-flow rates (Tsubstrate = 650 °C; Precursor: S6; Aixtron 200 RF reactor). Crystallinity of the films does not differ significantly at different amounts of ammonia that are added during deposition.

109 Chapter 6 MOCVD experiments of tantalum nitride

At lower temperatures (550, 600°C) or without additional ammonia the XRD-peaks broaden which indicates lower degree of crystallinity.

Composition of the films (SNMS) SNMS-analysis is a powerful tool for analyzing the composition of thin films. The only disadvantage is, that the effects of preferential sputtering lead to a blurring of interfaces (lighter elements are more easily removed than heavier elements, figure 70 a). At the beginning nitrogen is preferentially sputtered over tantalum. Approximately a “buffer” of 10 to 15 nm thickness is needed before the equilibrium is adjusted.

The composition of thin films clearly reflected the effect of ammonia as a reactive component in the process of deposition. As soon as small amounts of ammonia (50 sccm NH3 vs. 600 sccm of H2) were added during deposition, the carbon content decreased drastically. Most likely transamination reactions occured (either in the gas phase or on the substrate), which caused the liberation of diethylamine (cleavage of carbon containing ligands at the metal center). This type of reaction was already investigated by Musher et al.[178] for the formation of TiN from [Ti(NMe2)4] and ammonia. Higher amounts of ammonia caused only small further changes in the composition. Carbon concentration decreased slightly, while the N : Ta

- ratio changed from 1.13 (50 sccm NH3) to 1.31 (400 sccm NH3) with higher ammonia concentrations. Stochiometric TaN-films with a N : Ta - ratio of 1.00 would be desired the most. Nitrogen rich films tended to be less conducting (insulating Ta3N5 has a N : Ta - ratio of 1.67). However, resistivity measurements (table 16) gave no indications for this relation between the N : Ta - ratio and the resistivity. Changing the temperature from 650 to 800 °C at constant ammonia flow rate (200 sccm) only led to minor changes in the composition of the films. The tantalum concentration decreased slightly (43.4 at. % (650 °C); 41.0 at. % (800 °C)) while the nitrogen concentration increased (51.7 at. % (650 °C); 53.1 at. % (800 °C)). Generally, the low oxygen content is surprising, especially in the case of depositions carried out without additional ammonia (4 %). The oxygen level never exceeded 2 % in experiments when ammonia was used (figure 70b). In literature oxygen content was normally above 5- 10 %. Perhaps the additional use of hydrogen caused a high compactness of the films (density above 11 g/cm3) which protected the film from post oxidation. In addition, this reactor was designed for industrial application and even small leakages that lead to oxygen contamination can be fully excluded.

110 Chapter 6 MOCVD experiments of tantalum nitride

a

Figure 70. a) SNMS-spectrum of sample S6-8 (50 sccm NH3, Tsubstr = 650 °C) and b) the change in film composition as a function of the ammonia flow rate.

Electrical properties (Four point probe) In table 17 the resistivities of the films are listed. For calculation of these values, thicknesses were defined. These thicknesses are afflicted with large errors. Thus, it can only be stated that the resistivities of all films were between 1.5 and 5 x 103 Ω · cm. These values are acceptable in comparison to experiments of other groups (table 18), but can probably be optimized by: ƒ Alteration of the ammonia flow rate and the growth rate

111 Chapter 6 MOCVD experiments of tantalum nitride

ƒ Direct processing of the fresh samples (the resistivities of the samples were measured (in Erlangen) three weeks after their formation). ƒ Rapid thermal annealing (RTA) at higher temperatures (e.g. 900 °C) in an argon or nitrogen atmosphere, or in vacuum.

Table 18. Results for the depositions of other groups using TBTDET (S6, [Ta(NEt2)3(N-t-Bu)]), TAIMATA ([Ta(NEt2)3(NCMe2Et]) or [Ta(N(Me)(t-Bu))3(N-t-Bu)]. Precursor Formula T Reactive Resistivity Reference (substr) gases in °C in μΩ·cm 3 TBTDET [Ta(NEt2)3(N-t-Bu] 650 -- 0.9·10 [1] 3 TAIMATA [Ta(NEt2)3(NCMe2Et] 450 -- 4.0·10 [51] 4 TAIMATA [Ta(NEt2)3(NCMe2Et] 450 NH3 5.0·10 [51] 4 TAIMATA [Ta(NEt2)3(NCMe2Et] 500 1.0·10 [48] 3 -- [Ta(N(Me)(t-Bu))3(N-t-Bu)] 60 1.7·10 [64]

At this stage of experiments, it can be concluded that the addition of ammonia during depositions in the Aixtron 200 FE reactor using S6 ([Ta(NEt2)3(N-t-Bu)]) helps to improve the film’s quality regarding the composition and the conductivity. Thereby, the amount of added ammonia only affects the growth rate, but no other characteristics.

6.2.3 Depositions with [Ta(NEt2)3(N-t-Bu)] (S6) in the 150 mm wafer reactor

The experiments were performed by our cooperation partner in Erlangen (Martin Lemberger) using TBTDET that was synthesized in our research group (Bochum). The temperature range was 400 to 600 °C at pressures between 0.5 and 4 mbar, with and without adding NH3. The temperature of the precursor was regulated to 80 °C. The trends that could be identified are the following:

ƒ At a deposition temperature of 500 °C and the lowest reactor pressure (0.5 mbar) and no additional ammonia optimum values for resistivity were obtained (growth rate appr. 0.4 nm/min). The resistivity of these films was extremely low with 230 μΩ·cm. Increasing or lowering the substrate temperature (400 or 600 °C) led to higher deposition rates, but also significant higher resistivities of the films (>12,000 μΩ·cm). Also an increase of the pressure yielded lower growth rates and higher resistivities. Reactor pressures above 4 mbar caused volume reactions (particle formation).

112 Chapter 6 MOCVD experiments of tantalum nitride

ƒ Addition of ammonia consequently lowered the uniformity of the films. The more ammonia was added, less uniform films were obtained with higher resistivities (14 6 sccm NH3 (500 °C, 1 mbar): 2000 μΩ·cm; 200 sccm NH3 (500 °C, 1mbar): >2·10 μΩ·cm). This behavior completely contradicts the results obtained with the same precursor using the Aixtron 200 FE reactor. The reasons for these results cannot be explained to a satisfying extent. However, two assumptions can be made as explanations for this phenomenon. Either the differences in terms of reactor geometries between both reactors (e.g. pre-reactions during mixture of ammonia and the precursor) or the presence of oxygen in the reactor (Erlangen) due to leakages lead to these totally different results. Surely, the results collected at the Aixtron 200 FE reactor can be considered as the more reliable results (optimal reactor design and exlusion of leakages). ƒ The films were completely amorphous, based on SEM and TEM measurements. ƒ Films deposited at 450 °C were in general homogeneous and carbon free (< 0.5 atomic %), but noteworthy amounts of oxygen (ca. 15 %) were detected. The sources of oxygen incorporation were most likely leakages in the reactor and/or post oxidation of the films. ƒ Post deposition RTA (rapid thermal annealing) was performed at various conditions (e.g. in nitrogen- or ammonia atmosphere) in order to lower the oxygen content of the films and to investigate the impact on the electrical properties of the films. Only annealing in ammonia atmosphere significantly lowered the oxygen content of the

films. However, it seemed that insulating, nitrogen rich Ta3N5 was formed, which furthermore increased the resistivity of the films instead of lowering it.

6.2.4 Depositions with [Ta(NMeEt)3(N-t-Bu)] (S5) in the 150 mm wafer reactor

Experiments similar to those using TBTDET (6.2.3) were also performed by our cooperation partner in Erlangen. Compound S5 was tested in the temperature range of 400 to 650 °C at pressures between 0.15 and 0.5 mbar. Pressures above 0.5 mbar led to volume reactions

(particle formation). All experiments were carried out without adding NH3. The temperature of the precursor was again regulated to 80 °C. The trends that could be identified in this series of experiments are the following: ƒ At the maximum deposition temperature of 650 °C and low reactor pressures (0.15 - 0.25 mbar) optimum values for resistivity were obtained (growth rate: approximately 0.1 nm/min). The resistivity of these films was still low (~1300 μΩ·cm), but higher

113 Chapter 6 MOCVD experiments of tantalum nitride

than in the case of experiments using S6 as precursor (~ 230 μΩ·cm). The observed trend (higher temperatures = lower resistivity) agrees with the theory and other experimental findings. This is contrary to the previous experiments (S6, 6.2.3) in which the optimum of resistivity was reached at 500 °C and increased again at 600 °C. ƒ Films deposited at 400 °C were in general homogeneous and - as in the case of S6 - carbon free (< 0.5 atomic %). However, high amounts of oxygen (ca. 20-30 %) were detected in all films (even at temperatures of 650 °C). The sources of oxygen incorporation were most likely leakages in the reactor and/or post oxidation of the films.

6.2.5 Conclusions for the use of mixed amido / imido tantalum precursors

Although some differences in the results in terms of composition and resistivities of the films can be observed, some assumptive conclusions can be drawn. Generally, using ammonia as an additional reactant lowers the carbon content in the films significantly (< 4 %). Without an additional flow of ammonia, the results did not match the expectations. Surprisingly, it was found that at higher temperatures (≥ 600 °C, no ammonia) significant amounts of carbon (>15-20 at. %) were incorporated into the films, while at low temperatures (≤ 450 °C, no ammonia) almost carbon-free films were obtained (6.2.3 & 6.2.4 (at high and low temperatures), 6.2.2 (only high temperatures)). A survey of the literature gives ambiguous results for this occurrence. Using TAIMATA [Ta(NMe2)3(NCMe2Et)] as a precursor (without ammonia) at reactor temperatures of 300 °C and 500 °C led to similar results (Carbon content: 300°C: < 2 %; 500 °C ~ 15 %).[48] On the other hand, M. Kadoshima et al. reported the formation of heavily carbon contaminated films (20-30%) using the same precursor (TAIMATA) at low temperatures in the range of 300 °C to 450 °C.[51] Results, reported by

M. H. Tsai et al. (TBTDET, Tsubstrate = 450 to 650 °C) comprised the incorporation of carbon (~10%) independently of the substrate temperature.[1] In order to clarify this issue, further depositions at lower deposition temperatures (350-550 °C) in the Aixtron reactor should be performed using TBTDET as a single source precursor.

To prevent films from oxidizing in the process of formation (caused by leakages in the reactor) is critical for the quality of the films (e.g. nanocrystallinity). This source (i.e. leakages) of oxygen is the most probable explanation for the difference in the results applying TBTDET (S6) as precursor. Films, obtained in Erlangen had in average seven times higher contents of oxygen than the films produced by the Aixtron 200 FE reactor. Nevertheless, the

114 Chapter 6 MOCVD experiments of tantalum nitride influence of oxygen contamination on the electrical properties of the film is not clear. Films, deposited in Erlangen did show lower resistivities than the films, deposited with the Aixtron 200 RF reactor regardless of their oxygen content. Other effects, e.g. grain boundaries within the films etc. may have stronger impact on the final resistivity. In addition, the resistivities of the films, deposited in Erlangen were directly measured after deposition. Thus, post oxidation of the uppermost layer of the films may not have occurred. Substrates, prepared with the Aixtron reactor were exposed to air for at least one week prior to analysis.

An important finding is that the reactor design has a strong influence on the results of deposition. The results, produced with the Aixtron 200 RF reactor are the best in terms of reliability, reproducibility and the exclusion of air-contact during deposition.

6.3 Deposition experiments with mixed amido / imido / hydrazido tantalum precursors

In this chapter, first experiments of the newly synthesized compound A2

([Ta(NMeEt)2(tdmh)(N-t-Bu)]) are discussed. This precursor was tested in the selfbuilt horizontal cold-wall reactor in Bochum as well as in Erlangen using the 150 mm - wafer instrument.

6.3.1 Depositions in the selfbuilt horizontal cold wall reactor

The depositions were carried out in the selfbuilt horizontal MOCVD reactor, mainly at a substrate temperature of 600 °C. The bubbler temperature was kept constant at 140 °C at reduced pressure (0.5-100 mbar). Precursor A3 ([Ta(NEt2)2(tdmh)(N-t-Bu)]) was synthesized first (followed by A1 and A2) and was used in these experiments although A1 and A2 turned out to be more volatile. High evaporation temperatures were required to enable sufficient precursor-transport at higher pressures. In addition to the inert carrier gas nitrogen (200 sccm), ammonia was used as reactive gas in some of the depositions.

6.3.1.1 Characterization of the films

The films fabricated by MOCVD of A3 were shiny and particle free, but had no golden- metallic color (cubic TaN). Moreover, the films had violet to blue colors. This already indicated the formation of an insulating material. The growth rate as a function of pressure dependence was investigated, after common reactor pressures of about 1 mbar produced very low growth rates. At these low pressures, the contact time of the precursor and the substrate

115 Chapter 6 MOCVD experiments of tantalum nitride was presumably relatively short. This resulted in little decomposition of the precursor based on the high thermal stability of A3. Higher reactor/evaporation pressures led to higher growth rates with a maximum of 1.5 nm/min (35 mbar, figure 71).

Figure 71. Growth rate vs. reactor pressure in experiments using A3 at substrate temperature of 600 °C. The

growth rate was determined by the weight gain of the samples and a density, considered to be 13.7 g/cm3.

Morphology and crystallinity All films deposited without additional ammonia were completely amorphous, showing no peaks in XRD spectra. SEM - analyses underlined these results. Best uniformity and lowest roughness of the films (rms (root mean square) = 1.6 nm) were obtained at 700 °C with additional ammonia (figure 72). Only very small, non columnar crystallites could be observed.

116 Chapter 6 MOCVD experiments of tantalum nitride

Figure 72. a) Surface AFM (rms = 1.6 nm) and b) SEM-cross section of a film, deposited at 700 °C using A3 and additional ammonia.

Composition of the films Films, deposited without additional ammonia exhibited significant amounts of carbon contamination (~ 25-30 %) as shown by RBS-measurements as well as a high level of oxygen impurities (~20-25 %) (figure 73). While the source of carbon was clearly the precursor, the source of oxygen could be either leaks in the reactor or post-oxidation processes. All films deposited without ammonia showed an oxygen contamination, while films deposited with ammonia showed no oxygen impurities. Thus, it can be assumed that leakages during deposition did not occur. More likely, the films containing carbon were less stable and compact, thus being more susceptible to post deposition oxidation upon handling and storage of the films.

Figure 73. RBS-measurements of samples, deposited without (a, 600 °C) and with (b, 700 °C) additional ammonia.

117 Chapter 6 MOCVD experiments of tantalum nitride

Feeding of ammonia also reduced the amount of incorporated carbon below the detection limit of RBS. Interestingly, independent of the presence of ammonia, Si was detected in the films by RBS measurements. The films were nitrogen rich, which indicates the presence of amorphous Ta3N5 and Si3N4. Based on the energy of the collected, back-scatterd helium ions, it could be differentiated between the silicon in the film and the silicon from the substrate (figure 73).

The amount of incorporated silicon was relatively high (~ 10 - 15 %) and was not expected, especially if one considers the small amount of incorporated carbon (in the case of ammonia as reactive gas). Somehow, a decomposition mechanism has to take place during deposition in which the methyl groups of the trimethylsilyl moiety are chemically split off under the impact of ammonia. Most likely in experiments without ammonia, the carbon in the films does not come from the trimethylsilyl group, but from the dimethylamido-groups and the tert-butyl- imido group, similar to the results for depositions using S5 and S6 as precursors. Other silicon containing compounds do not show any incorporation of silicon. One example is the 3,5- lutidine stabilized compound [TaCl3(NSiMe3)(NC5H3Me2-3,5)2], tested by J. E. Bleau et al. that contains a trimethylsilyl-imido group.[107] It can be ruled out that Si diffused from the Si(100) substrate through pin-hole defects (not seen by SEM) into the deposited films. To investigate this issue, samples were prepared in this work using sapphire as substrate material. Based on EDX-analysis, significant amounts of silicon were clearly identified in the films.

All films from this experimental row showed higher resistivities than those of pure silicon substrates. The high levels of oxygen in the films and/or the formation of nitrogen rich TaNx- films may be the reason for these results.

6.3.2 Depositions with [Ta(NMeEt)2(tdmh)(N-t-Bu)] (A2) in the 150 mm wafer reactor

Before the precursor A2 was tested in the large wafer reactor (Erlangen) one preliminary experiment using compound A2 was carried out in the selfbuilt reactor (Bochum) in which also A3 was tested. Due to the higher volatility of the precursor A2 compared to A3, an evaporation temperature of 80 °C was sufficient to obtain a thin, golden film. In the following, this precursor was synthesized in larger amounts (40 g) and tested in the 150 mm – wafer reactor in Erlangen. The carrier gas (nitrogen, 200 sccm) was passed over the precursor and an additional flow (nitrogen, 10 sccm) was directly bubbled through the liquid precursor, which was heated to 85 °C. The substrates were 150 mm (100) p-type Si wafers with a

118 Chapter 6 MOCVD experiments of tantalum nitride

thermal SiO2 oxide film (thickness 20 nm). The depositions were performed at substrate temperatures of 500 to 700 °C at a pressure of 0.5 to 2 mbar with additional ammonia (70 sccm) as reactive gas. Some of the samples received a rapid thermal annealing at 1050 °C for 30 s in different atmospheres (argon, nitrogen, ammonia).

Results All films deposited using compound A2 in this reactor were non crystalline films. SEM measurements revealed smooth films without a significant morphology. The XPS analysis of films deposited from compound A2 in the large scale reactor (Erlangen, 150 mm wafer instrument) again revealed a carbon content below the detection limit of XPS (except the very surface, but this carbon level of ca. 20 % is caused by atmospheric influence). However, the films were heavily contaminated with oxygen. The film composition was determined to

Ta0.13Si0.16N0.07O0.64 at the surface and to Ta0.20Si0.11N0.19O0.50 within the bulk, respectively. XPS analyses revealed an extremely high O content, which was even higher at the surface. The origin of the O content may be due to both, continuous incorporation during film growth as a result of leakage in the reactor and post-deposition oxidation as the films showed an amorphous structure, which is in general more susceptible to oxygen diffusion than dense films. This result contrasts with our previous experience in depositions using A3 in the selfbuilt reactor in Bochum (6.3.2). In that case, the films exhibited oxygen levels below the detection limit of Rutherford-Backscattering analysis. It can be concluded that minor leaks in the larger reactor set-up were responsible for the high oxygen contamination in this experimental series. Not surprisingly, the films deposited from precursor A2 were insulating. The sheet resistance of a 100 nm thick as-deposited film could not be measured using either the automatic four-point probe equipment or external testing. This pointed to a resistivity even higher than 10 kΩ·cm (i.e., films behave like dielectrics), which was expected from the O-rich composition. Annealing the films at 1050 °C for 30 s reduced the resistivities significantly to values of 26.3 (nitrogen), 17.0 (argon), and 0.4 Ω·cm (ammonia atmosphere) which are in the order of bulk silicon substrates.

6.3.3 Conclusions for the use of mixed amido / imido / hydrazido tantalum precursors

The tdmh-containing compounds A1-A3 are generally usable as precursors for MOCVD purposes. However, ammonia is definitely required in order to avoid impurities of carbon and oxygen. Experiments in a large scale reactor using the liquid precursor A3 at least showed

119 Chapter 6 MOCVD experiments of tantalum nitride that - in principle - this type of precursor is suitable for covering large wafers in terms of precursor transport and reactor geometry. Nonetheless, it could not be managed to avoid undesired heavy oxygen contamination in the large wafer reactor set-up in Erlangen. The intrinsic incorporation of Si into the layers is interesting as such and points to a special ligand fragmentation of the hydrazido moiety of A2 and A3, which warrants further studies. But on the other hand, this property most probably rules out the deposition of phase pure crystalline cubic TaN using this class of precursors. Nevertheless, TaSixNy thin films are supposed to act as a more effective diffusion barrier than pure TaN due to the inhibition of the crystallization of TaN at higher temperatures by the incorporated silicon. Furthermore it can be assumed that certain variation of process parameters e.g. substrate temperature, lower ammonia-flow rates or mass transport of the precursor into the reactor can influence the nitrogen as well as the silicon content in the films. It could be possible to obtain nitrogen-poor, carbon-free films, having low resistivities by an optimum addition of ammonia.

Another idea would be to transfer this ligand concept to niobium, because no insulation NbN- phases are known. This could enable the formation of conducting NbSixNy thin films using the niobium congeners of A1-A3.

120 Chapter 6 MOCVD experiments of tantalum nitride

6.4 Deposition experiments with mixed amido / imido / guanidinato tantalum precursors

The guanidinato ligand containing compound B2 was tested first in the self-built reactor in Bochum (6.4.1). The fact that this precursor is solid and requires high evaporation temperatures makes testing in the industrial Aixtron 200 RF reactor rather difficult due to the limitations given by the precursor feeding setup. Nevertheless, several precursor reservoirs were fabricated and tested. These reservoirs were constructed in such a fashion that the gas flow was led downwards through a round glass filter on which the precursor was placed. The diameter of the filter had to be increased to 40 mm in order to avoid overpressure above the precursor. Unfortunately, even at the highest possible evaporation temperatures and the lowest pressure, none of these reservoirs enabled sufficient transport of precursor into the reactor. Consequently the Liquid- Injection technique was tested as an alternative process to classical evaporation of the precursor. Therefore, another reactor (Aixtron 200 FE) had to be used, which was routinely employed for the deposition of oxide thin films and was available for these experiments (see 6.4.2).

6.4.1 Depositions with B2 in the selfbuilt horizontal reactor

In the MOCVD experiments of B2, the same reactor and the same substrate type as for compound S5 were used. The precursor was transported into the reactor using nitrogen carrier gas, without additional reactive gases, in particular no ammonia. However, the vaporizer had to be heated up to 120 °C in order to guarantee sufficient transport of B2 into the reactor. The pressure in the reactor was kept at 1 mbar and the nitrogen carrier gas flow was set to 50 sccm. The substrate temperature was varied between 500 and 800 °C in order to investigate the films’ properties as a function of the growth temperature at constant mass flow.

Morphology and crystallinity All films deposited with compound B2 as precursor were completely uniform showing no particle formation (figure 75 a, page 124). The films were slightly brown-golden and had a mirror-like appearance. In case significant amounts of oxygen leak into the reactor it would lead to the formation of dielectric Ta2O5-films, resulting in blue/colorful appearance of the films. The growth rate in all experiments with compound B2 was between 1.5 and 4 nm/min which is much lower than in experiments using compound S5 in this reactor. No clear

121 Chapter 6 MOCVD experiments of tantalum nitride dependence of the growth rate in respect to the substrate temperature could be identified. This is due to the fact that the precursor was solid even at 120 °C, which did not ensure a constant mass transport into the reactor - at least using our simple setup. The growth rate was thus limited by delivery, and the reproducibility of the growth rate at certain temperatures was not given. The density (12.8 ± 1.3 g/cm3) of the films, obtained using B2, was slightly lower than the density of bulk TaN-material and the films grown using S5, as discussed above.

Figure 74. XRD spectra of depositions using B2. Very high crystallinity is observed at 800 °C. Below 650 °C no peaks can be observed.

All XRD spectra of the deposited films using B2 showed the two specific reflexes for cubic TaN (111, 200) (figure 74). Interestingly, the ratios of the (111) and the (200) reflexes did not show the ratio expected for pure cubic TaN. Pure cubic TaN exhibits a ratio of the (111) : (200) peaks of 1 : 0.8. In this case, the (200) peak was dominant, being at least five times more intense than the (111) peak. Thus, a preferred orientation towards the (200) plane was observed. As expected, the typical crystalline domain sizes of the films increased with higher substrate temperature. Between 750 and 800 °C well crystalline films were grown, indicated by the drastic rise of the XRD peak intensities. At 600 and 650 °C, the films were very smooth and showed almost no morphology in SEM cross sectional measurements.

Films deposited at higher temperatures showed a nanocrystalline morphology, with increasing grain sizes as the substrate temperature was raised (figure 75 a, b). One representative sample deposited at 750 °C using B2 was analyzed by SNMS analysis (figure 75 c). Most surprisingly, the sample was almost carbon free (below 0.5 %), although the precursor was

122 Chapter 6 MOCVD experiments of tantalum nitride used as a single source in the absence of ammonia. Due to the presence of amido as well as imido groups one would expect the incorporation of carbon at least to a certain extent, in accordance to the behavior of S5 and S6 at these temperatures (carbon content of the films ~15-20 %). If the decomposition reaction of the amido group that leads to the incorporation of carbon passes over a η2-C-N-Ta transition state, this way could be blocked in the case of the six-coordinated tantalum guanidinato-complex B2. However, this requires that the carbodiimide is not eliminated (reverse reaction of the synthesis) before decomposition occurs. In comparison, the tantalum center in the case of S5 and S6 is low-coordinated (four fold coordination).

In addition to the low carbon content, the tantalum to nitrogen ratio was close to 1:1, with atomic percentages of 46 ± 1 at. % for Ta and 47 ± 1 at. % for N. The data revealed a constant oxygen contamination of 5 at. % throughout the bulk of the film that was likely to be caused by a leakage. Leakages can occur at these high evaporation temperatures (120 °C). Considering this oxygen level, the films were slightly nitrogen rich with respect to tantalum. The higher nitrogen content close to the surface can be explained by a preferential sputtering of nitrogen in the beginning of the analysis. Another source of oxygen in the films could also be post-deposition oxidation by diffusion of oxygen along grain boundaries. The intermediate

20 nm thick SiO2 layer can be clearly observed in the spectrum. Compared to literature and the previous experiments, described in this work, these are the best TaN films in terms of composition.

123 Chapter 6 MOCVD experiments of tantalum nitride

Figure 75. Cross sectional SEM-analysis (a,b) and SNMS analysis (c) of a film deposited at 750 °C using B2 as precursor. The high uniformity of the film is displayed in the SEM recordings.

Conductivity measurements showed that the films deposited from precursor B2 displayed fair conductivity (resistivity: 7,000-15,000 µΩ·cm). We expected better values closer to the properties of samples grown from e.g. S6 in the reactor in Erlangen. However, even the samples grown at 750 to 800°C with high crystallinity were fairly poor in terms of conductivity. So far there is no clear explanation for this behavior, since the film composition, morphology and crystallinity appear to be almost excellent. It is possible that longer contact to air (2-3 weeks) prior to the resistivity measurements caused an oxidation of the uppermost layer of the films which drastically reduced the experimental value for resistivities.

124 Chapter 6 MOCVD experiments of tantalum nitride

6.4.2 Depositions with B2 in the LI – MOCVD reactor Aixtron 200 FE

Encouraged by the positive results of the preliminary tests of B2 by means of MOCVD, the precursor was prepared for LI-MOCVD. A colorless, clear solution of B2 in toluene (0.05 mmol/l, toluene is a standard solvent for LI-MOCVD) was attached to the LI-MOCVD- reactor and 1000 (~8 µl per puls) pulses were injected onto the vaporizer (T = 200 °C). Caused by the rapid evaporation of the solvent, the precursor was carried into the gas phase and transported to the reactor. The substrate temperature (Si(100), 20 nm thermal SiO2) was varied between 500 and 700 °C in 50 ° steps. Although “pulses” are mentioned, this process has nothing to do with ALD, because the mode of operation bases completely on thermal decomposition of the precursor.

During growth, high contamination (black solid) of the reactor walls in the heated area around the substrate could be observed. Most probably, carbon deposited on the reactor walls due to thermal decomposition of toluene. The films, obtained on the 1x1 cm2 substrates, were slightly bluish/greenish and had a mirror-like appearance. However, the different colors of the wafers indicated non-uniformity. XRD spectra as well as SEM-analysis showed that all films were completely amorphous. No peaks for cubic tantalum nitride could be observed. Based on SEM-analyses a growth rate of approximately 0.08 nm per pulse could be estimated. The only possibility to get more information about the films is to analyze their chemical composition e.g. by SNMS. SNMS analyses revealed similar composition of two samples, prepared at 600 and 700 °C, respectively (figure 76). As a consequence of the high contaminations on the reactor walls we suspected to find significant traces of carbon in the films. Interestingly, these concerns were not validated. The carbon content of the films was below 2 %.

125 Chapter 6 MOCVD experiments of tantalum nitride

Figure 76. SNMS analysis of a film, deposited using B2 as precursor in the Aixtron 200 FE reactor at 600 °C. It can be assumed that a mixture of Ta3N5 and Ta2O5 was formed.

Even the high excess of toluene in the gasphase without additional reactive gases did not lead to carbon contamination of the films. A comparison of the composition of the two analyzed samples with the sample from experiments in the selfbuilt reactor (6.4.1) is given in table 19.

Table 19. Composition of the films, deposited using B2 as precursor in two different reactor setups.

Prec. Reactor type Tsubstr. Ta N C O Phase Comment in °C in % in % in % in % (XRD) B2 Self-built reactor 750 46 47 <0.5 5 fcc TaN Stochiometric TaN

B2 LI – Aixtron 200 FE 600 34 50 2 13 amorph. Ta3N5 + Ta2O5

B2 LI – Aixtron 200 FE 700 35 51 1 11 amorph. Ta3N5 + Ta2O5

The surprisingly low carbon content is the only property that the films, produced in the two reactors have in common. While B2 created cubic, stochiometric TaN with low oxygen content in the selfbuilt reactor, the films, deposited in the industrial LI-Aixtron reactor, consisted of a mixture of insulating Ta3N5 and tantalum oxide (based on stochiometry). It is very interesting that toluene seems to inhibit the formation of crystalline material and leads to the formation of nitrogen rich TaN-films.

126 Chapter 6 MOCVD experiments of tantalum nitride

Several sources for the oxygen in the films can be assumed: ƒ The nitrogen carrier gas could contain oxygen or rests of water (e.g. the purification unit needs to be replaced). ƒ Small leakages in the reactor led to oxygen incorporation. ƒ The films were oxidized after deposition in air contact.

Without further investigations this issue cannot be clarified. So far, this reactor was only used for the deposition of metal oxide films (with oxygen as reactive gas), thus no information about the absence or presence of oxygen in the reactor can be given. One indication that the oxidation already occurred in the reactor (point 1 and 2) is that the films were not uniform. The problem of uniformity is known from the selfbuilt reactor when leakages appeared during the experiment. Therefore not only the toluene, but also the presence of oxygen during deposition could have led to the formation of nitrogen rich thin TaN-films. According to the composition of the films, a mixture of insulating Ta3N5 and insulating Ta2O5, it was expected and confirmed that the films exhibit very high resistivities (>1·106 Ω·cm).

6.4.3 Conclusions for the use of mixed amido / imido / guanidinato tantalum precursors

The results of the experiments clearly show that an increase of the complexity of a precursor (higher coordination number, higher atomic percentage of carbon, heterolepticety) for TaN- depositions does not necessarily conflict with the thin film quality regarding carbon incorporation and the formation of cubic, conductive tantalum nitride. Interestingly, ammonia seems not to be a stringent requirement for the formation of conductive cubic TaN using B2 as precursor. The guanidinato ligand appears to be a good choice for further tuning the precursor properties. Thus, guanidinato complexes may have quite a potential for the MOCVD of metal nitrides, although sufficient mass transport by classical evaporation of the precursor to the reactor remains a challenge. This problem can be overcome by Liquid Injection MOCVD. However, the reactor should be designed for oxygen free depositions. Although the presence of toluene probably favors the deposition of nitrogen rich phases, other solvents (e.g. less polar solvents) could enable the formation of cubic tantalum nitride.

127 Chapter 6 MOCVD experiments of tantalum nitride

6.5 Electrical characterization of TaN films for MOS-gate structures at the FIIS (Erlangen)

For the evaluation of the applicability of tantalum nitride thin films as gate electrode material, several parameters were determined from J-V (Current density – Voltage) and C-V (Capacitance – Voltage) measurements of the thin films. For this purpose, the thin films were deposited on SiO2 thin films on p-doped Si (100)-substrates to form MOS-capacitors. The information that was extracted from these measurements were the work function of the gate electrode, the quality of the SiO2/TaN interface and the contribution of the TaN film to capacitance equivalent thickness (CET = d(SiO2) + x·d(TaN); x > 0 if the resistivity of the TaN - film is too low). The interpretation of the J-V and C-V is highly complex and would go beyond the scope of this work.[179] Therefore only the results for the TaN films are discussed. Films, using the compounds S6, A2 and B2 have been deposited for these purposes.

[Ta(NEt2)3(N-t-Bu)] (S6): Films, having film thicknesses of 10-60 nm were deposited at a substrate temperature of 450 °C. These films exhibited well behaved characteristics with no indication of charge trapping or gate oxide degradation. Thus, it can be assumed that the

SiO2-TaN interface is almost defect-free. The value for the experimentally determined CET was found to match the thickness of the silicon dioxide films (dOx). Therefore, the TaN-film did not act as a dielectric. The work function (ΦM) of the metal was determined as 4.6 and 4.4 eV for 10 and 60 nm thick films, respectively. According to theoretical considerations for metal gates with these values, this material can be used as a midgap gate material (figure 77)

Figure 77. Energy diagram for an MOS-capacitor structure. The unit consists of p-doped silicon (with constant work-function, ФS and band gap), the insulation oxide and the metal gate material (here: TaN) that can have miscellaneous work functions (ФM).

128 Chapter 6 MOCVD experiments of tantalum nitride

[Ta(NMeEt)2(tdmh)(N-t-Bu)] A2: C-V and J-V measurements were performed on MOS capacitors with 10 to 60 nm thick ammonia annealed TaSiN(O) films. However, as expected from stoichiometry and four point probe characterization, electrical results were poor regarding an application as metal gate material. It turned out that these TaSiN(O) films acted as an additional dielectric layer (i.e. larger CET (~7 nm) compared to d(SiO2) (5 nm)) with large amount of bulk charge (i.e. high defect density), which makes an integration into the MOS gate stack rather unlikely. 2 [Ta(NMeEt)(η -(N-i-Pr)2CNMeEt)(N-t-Bu)] B2: The results from the electrical characterization of TaN films indicated a small series resistance (i.e. the TaN films are highly conductive). The CET of 20.6 nm, extracted from the maximum value of the C-V curves agreed well with the ellipsometrically measured physical thickness (d(SiO2)). Nonetheless, the C-V curves measured at various frequencies differed in the flatband region, which was due to voltage dependent interface traps as they were not saturated with hydrogen (e.g., a forming gas anneal will eliminate this feature). Indications were found for an electron trapping near the injecting interface (metal gate/SiO2) and further investigations are required to optimize that interface. A value for ΦM of 3.9 V was obtained, which is rather low for TaN films and fits more to reported values for pure tantalum of about 4 V. [180] For the work function this value seems to be ideal for a use in N-MOS devices.

129 Chapter 7 MOCVD experiments of niobium nitride

Chapter 7 MOCVD of niobium nitride

Niobium nitride thin films were prepared using precursors, comparable to the tantalum compounds S5 and B2. In this work only preliminary experiments were performed in order to check whether the niobium precursors (S8, C1) exhibit similar behavior as the comparable tantalum compounds. Both compounds, the amido/imido complex [Nb(NMe2)3(N-t-Bu)] 2 (TBTDMN, S8) and the mixed amido/guanidinato/imido precursor [Nb(NMe2)1(N-t-Bu){η -

(N-i-Pr)2C(NMe2)}2] (C1) were tested in the horizontal selfbuilt reactor without additional ammonia. Besides the results published by D. Hoffmann et al., no experiments using all- nitrogen coordinated niobium precursors as singles source precursors have been reported so far (to the best of our knowledge).[91]

7.1 Depositions with [Ta(NMe2)3(N-t-Bu)] (S8) in the selfbuilt horizontal reactor

The experiments with compound S8 were carried out under similar conditions as the experiments using S5. In contrast to S5, S8 is a solid at room temperature and remained as such during the deposition process. The evaporation temperature was varied in the range of 30 to 40 °C. Optimum growth was observed at Tevap. = 37.5 °C (growth rate: 12-50 nm/min). The pressure was kept constant at 1 mbar and the nitrogen carrier gas flow was adjusted to 25 sccm. The substrate temperature was varied between 500 and 700 °C.

Morphology and crystallinity All films, deposited above 550 °C were completely uniform and showed almost no particle deposition on the surface of the films. In fact, the films were silver-metallic with only a slight shade of brown, which made it very difficult to identify whether formation of a film took place at all. Experiments carried out at 500 °C repeatedly led to non-uniform growth and the formation of large particles on the substrate. Although XRD spectra of the uniform films indicated the presence of cubic NbN (figure 78a), the films were not as dense as the bulk material. The lattice constant for the (111) plane (d = 2.554 nm) was in between the lattice constant of cubic NbN (d = 2.5360 nm) and cubic NbC (2.581). The density of the films was in average 5.6 ± 0.4 g/cm3 compared to 8.4 g/cm3 for bulk NbN. This equals a deviation of

33 %. In the case of S5 ([Ta(NMeEt)3(N-t-Bu)]) the difference between the density of the films and bulk TaN was only 3 %. Maybe the rather high growth rates observed using S8 are

130 Chapter 7 MOCVD experiments of niobium nitride responsible for the formation of these “low-density” films (S8: 12-50 nm/min; S5: 6-25 nm/min). SEM-measurements revealed the crystallinity of the thin films showing columnar growth with diameters of the crystallites in the order of 30-40 nm (figure 78 b/c).

Figure 78. a) XRD of films deposited at 600-700 °C using S8. The reflexes are slightly shifted in comparison to the theoretical positions for cubic NbN. This can be caused by the presence of cubic . b) / c) SEM pictures (600°C, precursor: S8). No particle formation could be observed.

Composition: In the light of the experience, gathered from tantalum amido/imido complexes we expected to find high concentrations of carbon in the films, caused by unfavorable decomposition of the precursors. In addition, relatively high levels of oxygen were expected. Two samples (substrate temperature: 600 and 700 °C) were examined by SNMS and the outcome supports the aforementioned results for tantalum precursors (figure 79). The films contained 18.8 % (600 °C) and 26.3 % (700 °C) of carbon, respectively. Finding higher contents of carbon in the films at higher substrate temperatures is in line with the theory that two pathways of decomposition mechanisms compete during formation of the films. Only one of these two

131 Chapter 7 MOCVD experiments of niobium nitride pathways leads to incorporation of carbon and is dominating the deposition at higher temperatures. The low density of the films in combination with the presence of grain boundaries along the columnar crystallites seems to favor post-oxidation of the films. Thus, 11-12 % oxygen was found in the films. The oxygen content of the films slightly decreased within the sample (Tsubstrate = 700 °C; sputter depth = 100 nm: 14.6 % oxygen; sputter depth = 3000 nm: 11 % oxygen) which furthermore indicates post oxidation processes. However, leakages within the reactor during deposition can also contribute to the overall oxygen concentration in the films.

Figure 79. SNMS-analysis of a film using S8 ([Nb(NMe2)3(N-t-Bu)]), deposited at 700 °C. The oxygen concentrations at a sputter depth of 100 and 2750 nm are displayed.

The resistivities of the films were in the range of 3600-4000 μΩ·cm, which is relatively high.

Higher resistivity can be caused by the formation of insulating Nb2O5, or the contact resistivity at the grain boundaries within the films. Most probably, lower growth rates would lead to more compact films with higher resistance towards oxidation and lower resistivities.

132 Chapter 7 MOCVD experiments of niobium nitride

7.2 Depositions with C1 in the selfbuilt horizontal reactor

The results obtained for C1 were very similar to the results obtained for B2. However, films deposited at temperatures as low as 500 °C were fully analyzed, including information about their chemical composition. Precursor C1 is more volatile than B2 and required a lower evaporation temperature of 110 °C for appropriate film growth. The reactor pressure was kept at 1 mbar and the carrier gas flow was set to 50 sccm (parameters see table 20).

Table 20. Results and parameters of the depositions using C1 as precursor in the self-built reactor (n.d. = not determined). Results for one film using B2 as precursor are listed for comparison of the compositions. Exp-Nr T Film Growth Density XRD Compositon (SNMS) Resistivity (substr) thickn. rate. in % in °C in nm nm/min g/cm3 M* N C O in μΩ·cm C1-1 500 255 4.2 6.4 fcc NbN 41.7 39.6 3.3 14.7 7700 C1-2 600 85 1.4 7.4 fcc NbN 42.7 43.1 1.7 11.9 5400 C1-3 700 56 0.9 n.d. fcc NbN n.d. n.d. n.d. n.d. 3100 B2 (Ta) 750 ------fcc TaN 47 46 <1 5 * M = Nb for C1-1 to C1-3; M = Ta for B2.

Morphology and crystallinity Films deposited in these experiments showed smooth, uniform and silver-colored surfaces. No particle formation was observed. The growth rates (determined by SEM-thickness) were between 0.9 and 4.2 nm/min, comparable to the growth rate determined for experiments with B2. At higher temperatures, lower growth rates, but higher density of the films could be observed (table 18). Calculations for the density of the films gave values that were closer to the literature value for cubic NbN than the films obtained using S8. XRD-measurements clearly showed the formation of cubic NbN even at low temperatures. Comparable to the results for films deposited using the Ta-congener (B2), the lattice constant of the (111)-planes was smaller (d = 2.48 nm) than the value for bulk NbN (d = 2.5360 nm). Based on the dependence of the intensity of the peaks in XRD from the film thickness, no correlation between the substrate temperature and the degree of crystallinity of the films could be identified.

Composition Regarding the composition of the films, this time, in comparison to experiments with B2 (also listed in table 18), samples, that were deposited at lower temperatures (500, 600 °C) were analyzed. SNMS-analyses revealed, that even at these temperatures, stochiometric cubic

133 Chapter 7 MOCVD experiments of niobium nitride niobium nitride films were deposited. Although the carbon content was again very low, higher amounts of oxygen were found in the film. Assuming that exchanging tantalum and niobium does not change the decomposition behavior drastically, it can be concluded that higher substrate temperatures lead to lower carbon incorporation into the films and to a lower tendency towards post-oxidation or oxidation by leakages. In the cases of C1-1 and C1-2, the SNMS analyses were performed already 72-96 hours after sample preparation. The spectra clearly show that the oxygen content decreases with further sputter depth of the samples (figure 80). This indicates that at least a significant fraction of the oxygen content in the films is a result of post oxidation processes. Therefore, it is clear, that less dense films (e.g. at 500 °C) have a higher affinity towards post oxidation. Fast processing or exclusion of air of the samples after preparation will eliminate this problem.

134 Chapter 7 MOCVD experiments of niobium nitride

Figure 80. SNMS-analyses of niobium nitride films, deposited using C1 at a) 500 °C and b) 600 °C, respectively. The oxygen concentrations at directly below the surface and close to the silicon substrate are marked.

Four point probe measurements gave values for the sheet resistivities of the films. With increasing deposition temperature, the films showed better conductivity (table 18). However, post oxidation of the films due to long exposure to air (approximately 2-3 weeks) deteriorated the conductivity of the films.

135 Chapter 8 ALD experiments of metal (oxo) nitride materials

Chapter 8 ALD of metal (oxo) nitride materials

This chapter deals with the attempts to grow metal nitride thin films via ALD by using metal organic amido/imido complexes of niobium and tantalum. In the beginning, the ASM F 120 ALD reactor, that was installed in Bochum in the context of this work (8.1), will be described. Foregoing to the installation, experiments were performed in an ASM F 120 reactor in Helsinki, Finland (Group of Prof. L. Niinistö, Helsinki University of Technology) using the precursor S5 (8.2). Initial experiments using the new reactor were carried out with two different reactor setups (8.3) using S6, S9 and trimethylaluminum.

8.1 Presentation of the ASM F120 ALD reactor

The ASM F120 reactor is one of the first commercially available ALD-reactors (figure 81).

Figure 81. Picture of the ASM F-120 ALD reactor in Bochum.

It has been designed to serve as a versatile reactor for research activities in the 1980’s and remained almost unchanged since then. The whole reactor and precursor transport system is placed in a 1.20 m long quartz glass tube that has a diameter of 20 cm (figure 82 a). Due to the evacuation of the whole quartz tube leakages can only occur at the valves and fittings on the left side of the glass tube, or at the O-rings, with which the glass tube is sealed. The

136 Chapter 8 ALD experiments of metal (oxo) nitride materials precursor transport system consists of altogether six glass tubes (only three are displayed in figure 82 a)) that are attached to the reactor part.

Figure 82. Scheme of the ASM F120 reactor. a) The whole tube-system; only three of the six glass tubes are displayed. b) Enlarged scheme of one of the tubes with the inner and outer tube and the precursor boat. c) Switching mechanism of the valves.

Consequently, up to six sources can be used in ALD-experiments. While gaseous or highly volatile substances (water, ammonia, trimethylaluminum) are stored in containers outside the glass tube, less volatile compounds (e.g. metal organic Ta, Nb, Hf – compounds) are placed in a boat within one of the glass tubes (figure 82 b). Each of the six glass tubes consists of an inner (r = 0.6 cm) and an outer tube (r = 0.8 cm). The precursor boat is placed in the inner tube. Control of the evaporation and the reactor temperatures is given by five independent temperature zones (T1, T2, T3, T4, T5-8) that are addressed by a software program. External

137 Chapter 8 ALD experiments of metal (oxo) nitride materials heating coils are used to heat the particular zones to temperatures of 40 - 500 °C. Ultra pure nitrogen (purity 6.0) is used as carrier gas and is equally distributed by small capillaries to the six glass tubes of the precursor transport system (primary flow). An additional gas flow goes into the large glass tube (secondary flow). For an ALD-process (alternating sources), it is necessary to operate the six glass tubes separately with the possibility to switch them “Off” or “On”. The valves control the gas flow in such manner, that the gas only flows through the outer glass tube (figure 82 c) when the valve is closed (“Off”, left picture) and through both tubes (inner and outer) when the valve is open (“On”, right picture). This allows fast switching between precursor sources due to the constant gas flow (less fluctuation) in the system.

Two different reactor setups can be used in the reactor (figure 83). The standard reactor setup consists of two glass tubes (diameter 10.2 cm) that are plugged into each other (Setup I). Within this reactor wafers with diameters up to 100 mm can be placed. The reactor volume is approximately 1000 cm3 and the temperature of the reactor is controlled by a thermocouple which is placed on the outside of the reactor wall (in temperature zone 7). Reactor setup II consists of three quartz plates (two sample holders and one spacer plate) that are perpendicularly arranged in the large glass tube. The precursor passes the samples in a vertical flow. The samples are placed face-to-face in the reactor with a gap of only 2 mm. Therefore the whole reactor volume is approximately 7 ml and most of the surface is covered by the substrates. The temperature of the reactor is measured in the center of the plates.

Figure 83. Schemes of the two reactor types for the ASM F-120 reactor (left: setup I, right: setup II).

8.2 ALD experiments in a well adjusted F-120 reactor (Finland)

Before installing the reactor in Bochum, experiments were carried out in a comparable F-120 reactor (setup I) at the Helsinki University of Technology, Finland together with J. Niinistö and Dr. M. Puttkonen in the group of Prof. Dr. L. Niinistö. The depositions were carried out

138 Chapter 8 ALD experiments of metal (oxo) nitride materials

at substrate temperatures of 200-300 °C using the liquid precursor S5 ([Ta(NEtMe)3(N-t- Bu)]) and ammonia in an alternating fashion. The scope of these experiments was to test, whether uniform films can be deposited, and whether the precursor is suitable to be used as a reference for the installation of the new reactor in Bochum. Thin glass plates (5 x 5 cm2) served as substrates. Evaporation temperatures of 50 °C (zone T2, figure 83a) allowed sufficient mass transport of the precursor to the reactor. The primary gas flow (nitrogen) was set at 300 sccm, which corresponds to 50 sccm per glass tube. In between the pulses of the precursor (0.6 - 2.0 s) and ammonia (6 sccm, 4 s), purge times of 1.0 - 4.0 s were applied to remove the excess of the precursor and ammonia. Typically 1500 cycles (precursor, purge, ammonia, purge) were accomplished for each experiment.

Results Based on the decomposition temperature of S5 (189 °C), it was assumed that substrate temperatures, noticeably above 200 °C will lead to the contribution of simple CVD-like growth. This assumption was confirmed. CVD-growth could be observed without using a second reactive compound (ammonia). However, at exactly 200 °C no thermal decomposition of the precursor and no film growth were observed. Thus the growth could be considered as self limiting.

The color of each film was uniform over the whole substrate. Light blue to violet films were obtained, depending on the number of cycles, hence the thickness of the films. The color of the films and its dependence of the film thickness already indicated that colorless films were deposited. Thus, the observed colors are a product of a thin, transparent film (TaOxNy) having a different refractive index than the underlying substrate (glas, n = 1.46). This destructive interferential effect can be described by the following equation (3).[p]

1 λ d = ⋅ (eq. 3) n 4

Accordingly, films that appear to be blue extinguish light in the wavelength of approximately 590 nm (yellow). This would give a film thickness of 590 nm/(4 · 2.1) = 70.2 nm.

[p] d = thickness of the film; n = refractive index of the deposited film = ~2.1 (determined by ellipsometry); λ = wavelength of the extinguished light;

139 Chapter 8 ALD experiments of metal (oxo) nitride materials

Unfortunately, all techniques that were used to quantify the film thicknesses gave no consistent values. Ellipsometry- (Helsinki), RBS- (Bochum) and SEM-measurements (Bochum) of the films revealed film thicknesses in the range of 50-90 nm for the samples. Indications were found, that the films did not show uniformity over the whole substrate and that the density of the films (appr. 6 ± 2 g/cm3) was much lower than the density of bulk TaN (13.7 g/cm3). These low density films did not exhibit any peaks in XRD measurements and were completely insulating (four-point probe measurements).

The composition of the films was investigated by RBS-measurements. Although the results were afflicted with high errors the values were consistent within these error limits for all samples, prepared at 200 °C. The films consisted of 25 ± 5 % tantalum, 40 ± 5 % nitrogen, 5 ± 5 % carbon, and 30 ± 5 % oxygen. Clearly, the films were heavily oxidized, either by post-oxidation, impurities in the ammonia or nitrogen gases or leakages in the reactor during deposition. Due to transamination reactions of ammonia with the precursor, that were likely to occur, low contents of carbon could be observed.

8.3 Installation of the F120 reactor in Bochum and first results

Experiments with reactor setup I (8.3.1) were performed after installation of the AFM-120 apparatus. Compound S5 was used as precursor at the same conditions which were applied in previous experiments in the ALD reactor in Finland (8.2). In chapter 8.3.2 experiments with setup II are presented.

8.3.1 Depositions with S5 in reactor setup I

Theoretically, one would expect the same results (i.e. almost uniform films) in experiments when the same reactor type (F-120) is used under the same experimental conditions. The only differences between both reactor setups were the types of the nitrogen (Bochum: purity 6.0;

Finland: nitrogen generator) and ammonia source (Bochum: high-purity NH3, adjustment by a needle valve; Finland: Mass flow controller). Nevertheless, the expected reproducibility was not given. Altogether, 15 experiments were carried out at a substrate temperature of 200 °C while a specialist of the ASM company (T. Asikainen) was present. The pulse time of the precursor (0.6 – 2.5 s) and ammonia (4.0 – 15.0 s), as well as the purge time after the particular pulse were varied in a broad range (2.0-15 s). In addition, the primary gas flow (carrier gas flow) was changed in the range of 300 to 800 sccm. In all cases, highly non- uniform, non conducting, colorful films were deposited on the substrates (100 mm Si(100)

140 Chapter 8 ALD experiments of metal (oxo) nitride materials wafers), almost independent of the parameters that were adjusted for the experiment. Precise and reliable ellipsometric measurements were performed in Erlangen to reveal the non- uniformity of the films (figure 84)

Figure 84. One film, having one of the highest uniformities is shown as an image (left side: pulse(precursor) =

1.2 s; 2000 cycles, 300 sccm N2). The blue sides of the wafer correspond to a film thickness of appr. 80 nm. On the right side, the 3 dimensional thickness profile of the film is displayed.

All films were of higher thickness at both sides of the wafer that are directed to the precursor inlet and the exhaust, respectively. This effect was more or less pronounced, depending on the experimental conditions. Figure 85 shows the variations of the precursor pulse time, the number of cycles and the primary nitrogen flow. Some trends are visible, as for example that a higher primary flow or higher precursor pulse time led to more uniform films. However, in an ideal ALD process, a variation of the number of cycles should have no influence on the percentage of the standard deviation of the film thickness. In order to exclude effects of leakages, the reactor was dissembled, rebuild and a leakage test was performed.

It can be concluded that the growth rate and its behavior in this reactor type is strongly dependent on the variation of flow rates and pulse times. Reasons for this occurrence can be a non uniform temperature distribution over the wafer or strong fluctuations in the reactor. With this precursor / reactive gas combination in this reactor type it seems not possible to fabricate uniform thin films.

141 Chapter 8 ALD experiments of metal (oxo) nitride materials

Figure 85. Dependence of the uniformity of the films on the variation of certain parameters (precursor S5). The standard deviation and its percentage of the average thickness of the film are listed. A variation of the ammonia flow rate (not displayed) also did not lead to higher uniformity.

8.3.2 Depositions of Al2O3, TaON and NbON in reactor setup II

As a consequence of the unsuccessful experiments in reactor setup I, a low volume reactor (type II) was installed. This reactor was first tested with the standard process, trimethylaluminum and water on 5 x 5 cm2 silicon substrates. The samples were highly uniform with standard deviations of less than 3.6 nm and average film thicknesses of 83 to 112 nm. The results are listed in table 21. In accordance with these data, the reactor had no leaks and could be considered to work properly.

Table 21. Results and parameters of the depositions using trimethylaluminum (TMA) and water (T(substrate) = 300 °C), primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates.

Exp. Cycles Pulse Purge Pulse Purge Avg. dmax dmin Growth rate RMS (TMA). (H20) Thickn. in s in s in s in s in nm in nm in nm in Å/cycle in nm Test12 1000 0.5 1.0 1.0 1.5 99.8 106.3 95.7 0.100 ± 0.005 3.57 Test13 1000 1.5 3.0 3.0 4.5 112.3 117.9 108.7 0.112 ± 0.005 3.53 Test14 800 1.0 2.0 2.0 3.0 82.8 88.4 80.3 0.104 ± 0.004 3.10

142 Chapter 8 ALD experiments of metal (oxo) nitride materials

Experiments using S6 [Ta(NEt2)3(N-t-Bu)] Instead of S5 (in setup I), S6 was tested in the new reactor setup II. S6 has already been used successfully to produce TaN thin films.[123,125] However, in both literature references completely different deposition behavior in terms of pulse times of the precursor and ammonia were reported that are required to obtain self-limiting growth (0.2 vs. 4 seconds). One author (J.-S. Park et al.) concludes that at 260 °C substrate temperature (60 °C over the thermal decomposition temperature of the precursor) amorphous, low density, almost insulating and chemically unstable films are formed, while in the other publication (O. van der Straten et al.) the formation of conducting, stable and stochiometric TaN films was reported (Tsubstrate = 250 °C). We decided to choose a lower substrate temperature of 200 °C and 150 °C in order to minimize the contribution of thermal CVD during deposition (table 22).

Table 22. Results and parameters of the depositions using S6 and ammonia, primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates (20 nm SiO2); T(precursor) = 55 °C.1500 cycles.

Exp. T Pulse Purge Pulse Purge Avg. dmax dmin Growth RMS (substr.) (S6). (NH3) Thickn. rate 20-24 in °C in s in s in s in s in nm in nm in nm in Å/cycle in nm TaN-1 200 1.2 3.0 4.0 4.0 102* - - 0.73 - TaN-2 200 3.0 3.0 6.0 6.0 123* - - 0.82 - TaN-3 200 0.6 3.0 6.0 6.0 98** 112 94 0.65 8.4 TaN-4 150 0.6 3.0 6.0 6.0 116** 134 102.9 0.77 10.5 TaN-5 150 3.0 3.0 6.0 6.0 121* - - 0.81 - *Based on weight gain ** Based on ellipsometric measurement

Results of this set of experiments are hereby briefly summarized. By variation of the deposition parameters, optimal conditions were found to deposit uniform films at a substrate temperature of 200 °C (TaN-3, see figure 86). The films, deposited at 150 °C were less uniform. It can be assumed that longer purge times are required to remove absorbed ammonia or an excess of the precursor from the reactor and substrate walls. Generally a divergence can be observed between ideal ALD growth and the results from the experiments. In an ideal case, more uniform films should be obtained and the growth rate should be independent of the pulse time of the precursor. A satisfactory explanation cannot be given. Leakages could be excluded and high purity carrier gas, as well as high purity ammonia were used. The films were blue, amorphous and completely insulating. This indicated the formation of either

Ta3N5, or more likely highly amorphous films of a mixture of TaNxCy and not fully

143 Chapter 8 ALD experiments of metal (oxo) nitride materials decomposed precursor fragments. Based on these negative results the samples were not further analyzed. The results are in accordance to the results from the work of J.-S. Park et al..

Figure 86. 3-dimensional plot of the film thicknesses of a) TaN-3 and b) TaN-2. Film thickness was determined at the white and black spots.

Experiments using S9 [Nb(NEt2)3(N-t-Bu)]

The reason for using this precursor was to investigate whether conducting NbNx films could be obtained. In the case of tantalum, Ta3N5 can be formed as an insulating film, which is not possible for niobium. Taking into account the previous experiences with compound S6, the purge time after the ammonia-flow was increased to 10 seconds to guarantee that excess of ammonia was completely removed from the reactor. All other parameters besides this value were adopted from the experiments using S6 and are summarized in table 23.

Table 23. Parameters and results of the depositions using S9 and ammonia, primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates (20 nm SiO2); T(precursor) = 60 °C. Purge times: 3 s (after precursor pulse) and 10 s (after ammonia pulse). Thickness measurements were performed by ellipsometry. Exp. T Pulse Pulse Avg. Deviation Growth rate Calculated Refractive (substr.) (S9). (NH3) Thickn. on the density** index wafer* 20-24 in °C in s in s in nm in % in Å/cycle in g/cm3 NbN1 200 0.5 3.0 36.8 2 0.37 4.8 2.26 NbN2 200 0.75 3.0 45.1 3 0.45 5.4 2.27 NbN3 200 1.0 3.0 44.1 3 0.44 n.d. 2.26 NbN4 200 1.5 3.0 55.0 3 0.55 4.2 2.25 NbN5 200 3.0 3.0 69.5 5 0.70 5.4 2.27 NbN6 200 5.0 3.0 87.9 6 0.88 5.4 2.21 NbN7 250 1.0 3.0 42.7 2 0.43 5.2 2.45 * Percentage of maximum positive or negative deviation on the wafer. **Density was calculated by weight gain of the samples and their average thicknesses.

144 Chapter 8 ALD experiments of metal (oxo) nitride materials

All films were completely uniform and had a blue/violet, mirror-like appearance. The deviation of the film thicknesses over the whole substrate area (5 x 5 cm2) was below ± 6 % of the average film thickness. In principle, these results suggested ALD-conditions during the film growth. Nonetheless, in an ideal case, saturation of the growth rate should occur with higher pulse times of the precursor. In these experiments, no saturation of the growth rate was observed (figure 87).

Figure 87. Dependence of the growth rate on the pulse time of the precursor. No saturation takes place.

The combined results suggested that thermal decomposition of the precursor occurs already at 200 °C. The average density of the films (5.1 ± 0.9 g/cm3) was lower than the density of bulk NbN (8.4 g/cm3), but more dense than the films obtained in the case of TaN. XRD measurements indicated the amorphous structure of the film and four point measurements showed that the films were - as expected - insulating.

8.4 Conclusions for the ALD of tantalum and niobium nitride materials

The above mentioned experiments show the high complexity of ALD processes, even with commercially available reactors. Additional parameters to MOCVD, e.g. pulse and purge times, make it difficult to optimize the multi-dimensional system. Limits for the deposition temperature, given by the less thermally stable metal organic compounds lead to amorphous films. These films are chemically (i.e. high affinity towards post deposition oxidation) not stable and do not have the electrical properties, required for an application as gate electrode material or as a diffusion barrier. The use of thermally more stable precursors of this work,

145 Chapter 8 ALD experiments of metal (oxo) nitride materials e.g. tantalum guanidinato complexes may help to increase the deposition temperature of the ALD process and yield more stable films. This, however, is not part of this work. In our opinion it is difficult to obtain thin, conducting TaN or NbN films, without the use of additional reactive compounds e.g. hydrogen or ammonia plasma.

146 Chapter 9 Summary and Outlook

Chapter 9 Summary and outlook

During the course of the present study, new precursors were developed and tested for the deposition of tantalum and niobium nitride thin films via Metal Organic Chemical Vapor Deposition (MOCVD) and Atomic Layer Deposition (ALD). These films find their application in the fabrication of microelectronic devices, namely as metal gate and diffusion barrier materials. Both materials, TaN as well as NbN, feature high thermal stability, good conductivity and excellent barrier properties, when deposited in the face centered cubic phase. The challenge is to deposit carbon free and dense films that withstand post oxidation processes and show good values for the work function of the material. The experimental work and the results of this dissertation can be divided into two parts. The first part deals with the chemical realization of new ligand concepts for MOCVD and ALD precursors. These concepts comprise the increase of complexity of the metal organic complexes compared to simple compounds, (mixed amido/imido complexes) having lower coordination numbers and simple monodentate ligands. The influence on the chemical as well as thermal behavior has been investigated. The second part consists of MOCVD and ALD experiments with newly synthesized, as well as already known, but not fully tested precursors.

I. Synthesis and characterization of new precursors All synthetic routes of new compounds were based on amido/imido complexes of tantalum and niobium as starting compounds (S4-S9, figure 88). These four coordinated tris(dialkylamido)-alkylimido-tantalum and niobium complexes were synthesized starting from the metal chloride via a pyridine stabilized chloro/imido intermediate (S1-S3). The synthetic routes were improved, in terms of yields and batch sizes (up to 40 g per batch). In the following, these compounds were modified by transamination reactions with hydrazine derivatives or the insertion of alkyl substituted carbodiimide derivatives. Thus, two new classes of precursors were successfully synthesized: Mixed amido/imido/hydrazido complexes of tantalum (A1-A3) as well as mixed amido/imido/guanidinato complexes of tantalum and niobium (B1-B8; C1-C4). In the context of these experiments, some side products of reactions were characterized and discussed e.g. hydrolyzed species or decomposition products. The compounds were analyzed by means of 1H-NMR, 13C-NMR, EI- Mass spectroscopy, CHN analysis, TG/DTA analysis and - when possible - by single crystal X-ray diffractometry.

147 Chapter 9 Summary and Outlook

Figure 88. Reaction scheme depicting the syntheses of the complexes A1-A3 by transamination reactions (one amido group is replaced by one hydrazido ligand) and of the guanidinato complexes B1-B8 and C1-C4 by insertion reactions (two equivalents of carbodiimide insert into the metal nitrogen bond of the amido group).

The hydrazine containing compounds A1-A3 are all liquid at room temperature and by their nature sufficiently volatile for application as precursors. Repeated quantitative distillation without any sign of decomposition is possible. TG/DTA analysis showed that the decomposition temperatures of A1, A2 and A3 are above 280 °C which is 90 °C higher than the temperatures that lead to decomposition of the amido/imido compounds S4-S6. Along with the higher thermal stability goes a significantly lower chemical reactivity, e.g. towards hydrolysis. The crystal structure of A1 exhibits the η2-coordination of hydrazido ligand and consequently a coordination number of five for tantalum in the solid state. Further exchange of more than one amido group was not possible. The six coordinated guanidinato complexes (B1-B8; C1-C4, figure 89) show a large window for the variation of ligand substituents (R1- R4). However, not all carbodiimide derivatives could be inserted into the metal amido bonds as a result of steric constraints. All guanidinato complexes are colorless solids that form needle-like, agglomerated crystals from solution at reduced temperatures. Due to the chirality of the molecules and the multiplicity of ligand types, the NMR spectra of these complexes displayed high complexity. Crystal structures for eight of the twelve compounds could be determined. The complexes are structurally very similar, with bond lengths and angles of the solid state structures showing only minor variations. TG/DTA measurements and isothermal

148 Chapter 9 Summary and Outlook studies revealed the low volatility of the cy-cdi based complexes. This was rather unexpected, considering the fact that the structural and chemical behaviors of these classes of compounds are very similar. Thus, cy-cdi based complexes are in general not suitable for classical MOCVD purposes due to a supposedly weak precursor transport. Still, application of the compounds derived from cy-cdi in Liquid Injection MOCVD is feasible. The choice of substituents of the amido or imido groups does not seem to have a significant impact on the thermal behavior of the resulting complexes. Finally, thermal decomposition experiments of B1-B3 clearly showed that highly complex pyrolysis reactions take place which nonetheless lead to a clean decomposition of the selected precursors.

II. MOCVD and ALD experiments MOCVD and ALD experiments were performed using different reactors. Preliminary, but meaningful MOCVD experiments were conducted with a selfbuilt reactor for small substrates. Precursors, showing promising properties were sent to our cooperation partner in Erlangen (large-wafer instrument) or were tested with the industrial Aixtron 200 RF MOCVD or the Aixtron 200 FE Liquid Injection MOCVD reactors, situated in our research group (table 24). ALD experiments were performed in the commercially available ASM F 120 reactor. MOCVD experiments applying mixed amido/imido compounds (S5, S6, S8) as single source precursors led to the formation of conducting films that showed high contaminations of carbon. On one hand, addition of ammonia reduced the amount of carbon drastically (most probably due to transamination reactions), but on the other lowered the quality of the films by means of lower density and deteriorated conductivity. Experiments using the guanidinato complexes clearly showed that an increase of the complexity of a precursor (higher coordination number, higher atomic percentage of carbon, heterolepticety) for TaN-depositions does not necessarily conflict with the thin film quality regarding carbon incorporation and the formation of cubic, conductive and carbon free tantalum nitride. Interestingly, ammonia seems not to be a stringent requirement for the formation of conductive cubic TaN and NbN using guanidinato containing complexes (B1- B4, C1, C2) as precursors. A possible explanation is that the blocking of free coordination sites at the metal center, due to the introduction of bidentate ligands inhibits carbon incorporation caused by the formation of intermediate metal-carbon bonds during decomposition. Thus, guanidinato complexes may have quite a potential for the MOCVD of metal nitrides, although sufficient mass transport of the precursor to the reactor still remains a challenge. LI-MOCVD experiments were performed with B2 to enhance the precursor

149 Chapter 9 Summary and Outlook transport into the reactor that indicated the formation of carbon free films. However, problems occurred in terms of oxidation and the formation of amorphous TaNx, because the reactor was originally designed for the deposition of oxide materials.

Table 24. Compounds that were used for MOCVD and ALD including a short summary of the results. Formula Reactor type Chapter Qualitative results

S5 [Ta(NMeEt)3(N-t-Bu)] Selfbuilt MOCVD 6.2.1 Cubic TaN was deposited having high reactor levels of impurities (O, C).

S6 [Ta(NEt2)3(N-t-Bu)] Aixtron 200 RF 6.2.2 Cubic, conductive TaN was deposited. Low level of impurities (with ammonia as reactive gas).

S6 [Ta(NEt2)3(N-t-Bu)] Large wafer 6.2.3 Heavily oxidized TaN was deposited. reactor (Erlangen) Still, the films showed good electrical properties.

S5 [Ta(NMeEt)3(N-t-Bu)] Large wafer 6.2.4 Heavily oxidized TaN was deposited. reactor (Erlangen)

A3 [Ta(NEt2)2(tdmh)(N-t-Bu)] Selfbuilt MOCVD 6.3.1 Amorphous and insulating TaSixNy was reactor deposited.

A2 [Ta(NMeEt)2(tdmh)(N-t-Bu)] Large wafer 6.3.2 Heavily oxidized TaSixNx was reactor (Erlangen) deposited. The films were completely insulating. B2 [Ta(NMeEt){η2-(N-i- Selfbuilt MOCVD 6.4.1 Cubic TaN with almost no impurities Pr)2C(NMeEt)}(N-t-Bu)] reactor was deposited without ammonia. (fair electronic properties). B2 [Ta(NMeEt){η2-(N-i- LI-MOCVD 6.4.2 Oxidized and insulating, but carbon Pr)2C(NMeEt)}(N-t-Bu)] Aixtron (200 FE) free TaNx was deposited.

S8 [Nb(NMe2)3(N-t-Bu)] Selfbuilt MOCVD 7.1 Cubic NbN was deposited having high reactor levels of impurities (O, C). 2 C1 [Nb(NMe2){η -(N-i- Selfbuilt MOCVD 7.2 Cubic NbN with almost no impurities Pr)2C(NMeEt)}(N-t-Bu)] reactor was deposited without ammonia.

S6 [Ta(NEt2)3(N-t-Bu)] ASM F 120 ALD 8.3.2 Amorphous and insulating films with reactor low densities were deposited.

S9 [Nb(NEt2)3(N-t-Bu)] ASM F 120 ALD 8.3.2 Amorphous and insulating films with reactor low densities were deposited.

MOCVD-experiments in the presence of ammonia using hydrazido containing precursors in a selfbuilt reactor (A3) gave amorphous, insulating, but carbon free tantalum nitride films with significant amounts of silicon, incorporated in the film. The presence of silicon in the films concurrent to the absence of carbon is a very interesting finding due to the very high thermal stability and excellent barrier properties of TaSixNy films according to the literature. The intrinsic incorporation of Si into the layers is interesting as such and points to a special ligand fragmentation of the hydrazido moiety of A2, which warrants further studies.

150 Chapter 9 Summary and Outlook

On the other hand, this property rules out the deposition of phase-pure crystalline cubic TaN using A1-A3. Testing this class of precursors in a large scale reactor (Erlangen, A2) at least showed that - in principle - this type of precursor is suitable for covering large wafers in terms of precursor transport and reactor geometry. However, we failed to avoid undesired heavy oxygen contamination with the reactor setup in Erlangen. Within this work, the ASM F120 ALD reactor was installed in Bochum. Initial problems with uniformity of the depositions were overcome by changing the reactor setup from a high volume reactor to an almost zero-volume reactor. Experiments using S5, S6 and S9 as precursors showed very moderate results. The films were less dense and completely insulating. In addition even at a substrate temperature of 200 °C thermal CVD-like decomposition contributed to the film growth. Thus no ideal ALD-behavior was observed for TaN.

The oxidation of all films that can occur either during the deposition (e.g. by leakages or impurities in the reactants) and/or after deposition represents a general problem when dealing with oxophilic metal nitride films. Due to the absence of possibilities to measure the composition of the films or their electrical properties contemporaneously to the fabrication of the films, the source of oxygen could not be certainly identified. Figure 89 summarizes the findings for the conductivities of all films, deposited within this work. For each experimental row, the substrate temperature of the film having the lowest resistivity is displayed. Based on these results amido/imido precursors still are the best alternative for fabricating diffusion barriers or metal gate stacks. The fact that the resistivities of the samples prepared in Bochum were not measured directly after deposition may lead to the impression that films, deposited in Erlangen have better electrical properties (direct measurement after deposition). Regardless of this issue, guanidinato complexes have a high potential due to their excellent ability to act as single source precursors. No additional ammonia is required that would support the formation of insulating N-rich Ta3N5. All results have to be taken with care, as it holds for all publications regarding the MOCVD and ALD of thin nitride films. Many factors have an effect on the electrical properties of a film: grade of crystallinity, grain boundaries, metal to nitrogen ratio, and oxidation of the whole film or the surface of the film. These factors in turn depend on the type of reactor (e.g. volume/design) and other parameters (e.g. flow rates, pressure, precursor transport rate etc.). Therefore conclusions, drawn from these results, about the suitability of a precursor have limited universal validity.

151 Chapter 9 Summary and Outlook est monia. ents using the using ents he film with the low w, the data set of t ALD-experiments with additional am ng deposition. Grey boxes show of this work. From each experimental of this work. From ro the expectations of the industry that have to be met. Yellow boxes show experim . Diagram including the depositions experiments Figure 89 Figure resistivity is displayed. The green box marks precursors as SSP. Blue boxes indicate the use of ammonia duri

152 Chapter 9 Summary and Outlook

Outlook While the part of this work, dealing with the precursor synthesis was elucidated to a very satisfying extent, further experiments are required to identify the decomposition pathways of the precursors. So far the underlying mechanisms, e.g. the incorporation of silicon by using hydrazido containing precursors have not been resolved. It is desirable to couple MOCVD reactors or TG/DTA instruments with other analytical methods, e.g. EI-MS spectroscopy in order to further analyze the decomposition products during the deposition of the films. Furthermore detailed CVD studies for a use of the less volatile, but soluble guanidinato complexes in liquid injection MOCVD can identify the potential of this precursor. However, the reactor has to be designed for oxygen free depositions. Variation of the solvents (e.g. usage of aliphatic instead of aromatic hydrocarbons) probably will have an effect on the properties of the resulting films. ALD experiments, though less successful within this work, can be performed at higher substrate temperatures using the guanidinato complexes. The higher thermal stability of these complexes and the interesting decomposition behavior may lead to ALD-like growth at higher temperatures. It is questionable, whether an increase of the deposition temperature to about 250 °C is sufficient for the formation of dense and stable electrically conductive metal nitride thin films.

153 Chapter 10 Experimental

Chapter 10 Experimental

In the beginning of this chapter the analytical techniques and the instruments that were used for the characterization of new compounds (10.1) and thin films (10.2) are described. Chapter 10.3 comments the preparation of thin films via MOCVD and ALD, while the other parts (10.4-10.9) address the preparation of the compounds and their analytical data (e.g. crystal data).

10.1 Analytical characterization of the precursors

Proton- and carbon-NMR spectra were recorded of all synthesized compounds. The spectra ® were integrated and further analyzed using the “Mestrec Version 2.90” software suite. C6D6 and C7D8 were purchased from Deutero GmbH. Three different spectrometers were used for the measurements of the 1H and 13C spectra. Bruker Advance DPX 200 (1H: 200.1 Hz; 13C: 50.3 Hz, 25 °C) Bruker Advance DPX 250 (1H: 250.1 Hz; 13C: 62.9 Hz, - 80 to 65 °C) Bruker Advance DRX 400 (1H: 400.1 Hz; 13C: 100.6 Hz, 2-D Heteronuclear Multiple-Quantum Correlation spectra at 25 °C)

CHN-analyses of all compounds were performed by Karin Bartholomäus of the analytical service center of the faculty using a “CHNSO Vario EL” instrument (Elemental, Hanau).

Electronic Ionization (EI) mass spectra at ionization energies of 70 eV and 24 eV were recorded by Jutta Schäfer using a “CHS-Mass spectrometer” by “Varian MAT” (Bremen). 1 12 Data were given as specific masses (m/z) based on the most abundant isotopes ( 1H, 6C, 14 28 93 181 7N, 14Si, 41Nb, 73Ta).

Thermal properties were analyzed by TG/DTA analysis using a “Seiko - TG/DTA6200/SII” instrument. The samples were filled in an aluminum crucible (glovebox) with a punched lid. Such an aluminum crucible was used as the reference in the measurements, too. In all standard TG/DTA measurements, the heating rate was set to 5 °C per minute at a nitrogen flow of 300 sccm. Starting temperature was 25 °C and the end temperature was between 550 and 600 °C, depending on the expected thermal stability of the compounds. For isothermal

154 Chapter 10 Experimental studies (e.g. at 100 and 120 °C, 4 hours) the samples were heated to the target temperature at a rate of 10 °C/min

Single crystal X-ray diffraction experiments were carried out at low temperatures (nitrogen cooling) by Dr. Christian Gemel and Manuela Winter on an Oxford Xcalibur 2 CCD/PD diffractometer with monochromated Mo-Kα radiation (0.71073Å). The structures were solved by direct methods and refined anisotropically with SHELXL-97 program suite. The crystals were selected using the perfluorated oil technique and mounted on a small glass wire enclosed in the head of the goniometer. The parameters were refined against F2 and the R-values were defined as 0.5 2 2 2 2 2 0.5 R1 = Σ(||F0|-|Fc||)/( Σ |F0|) and wR2 = [Σw(F0 -Fc ) / Σw(F0 ) ] Details to the crystal structures are presented in tables 27 to 31. Besides a disorder of an atom in the molecular structure of S8 (atom C4) and the incorporation of two equivalents of toluene in the crystals structure of B7 (no interactions was observed between B7 and the toluene molecules) no noticeable difficulties occurred.

10.2 Thin films analysis and instruments

In the following, the techniques for thin film analysis are explained, together with the experimental parameters of the analyses.

X-ray diffraction (XRD) of thin (polycrystalline) films [181] XRD was used in order to collect information about the crystalline structure of the films. The data of this instrument give information about the crystallinity of the deposited film. Al-Kα radiation emitted by an X-ray source interacts with the thin film deposited on the substrate. The incident radiation is reflected at the crystalline lattice of the thin film and the underlying Silicon (100). Constructive (intensifying) and destructive (attenuating) interference occurs between different reflected beams, depending on the crystal lattice (distance d) and the substrate / incident radiation angle. Reflexes can be observed as a function of the angle 2θ (figure 90). The positive interference leading to the observed reflexes is described by Bragg’s Law (eq. 4).

155 Chapter 10 Experimental

Figure 90. Scheme for the reflections of the incident X-ray beams at the planes within the crystals and equation

4 (d = dhkl).

An ideal poly-crystalline film with grain sizes between 500·nm and 5,000 nm would give reflexes with very small half-widths and high intensities. Deviations from this case occur because of several influences on the crystallinity of the thin film:

- Impurities (e.g. carbon) incorporated into the film. - Non-stochiometric composition of the film. - Mixtures of different crystalline phases that result in appearance of crystalline defects. - Lattice defects in the crystalline film during growth. - Grain boundaries in between poly-crystalline phases.

X-ray diffraction analysis was performed by Dr. Harish Parala on a Bruker D8 Advance instrument with θ-2θ (Bragg-Brentano) geometry (figure 91). The X-ray source (Cu-Kα – radiation; λ = 1.5418 Å, acceleration voltage: 40 kV, heating current 30-40 mA) and the position sensitive detector are constrained to lie on a circle. A parabolic Göbel mirror is mounted in the primary beam path (slit width: 0.2 mm) to separate parallel, pure Cu-Kα radiation. Calibration in the flat sample mode was performed using standard quartz sample. The 2θ-angle was varied for the measurements in a range of 20 to 65° with a step size of 0.0141 °/step (0.3 s per step for standard measurements and 4 s for long time measurements).

156 Chapter 10 Experimental

Figure 91. Scheme of a powder diffractometer in Bragg-Brentano geometry.

Secondary neutral mass spectroscopy (SNMS) [182] SNMS analysis gives a depth profile of the composition of a film. For SNMS measurements, the sample is bombarded with rare gas ions (in this case argon) at an energy range of 0.5 to 10 keV. Atoms are sputtered from the surface of the sample by this beam of argon ions. The flux of sputtered particles consists of ions and neutral atoms. The neutral atoms are ionized by electron bombardment when they enter the analyzer. SNMS gives more reliable results than SIMS (Secondary ion mass spectroscopy). Due to matrix effects, the probability of sputtering ionized particles from the sample heavily depends on the type of surface (0 - 10 % of all sputtered particles). The percentage (yield) of neutral particles is therefore in the range of 90 - 99.999 %, which drastically reduces the influence of the sample composition/constitution on the results. SNMS measurements show an accuracy of about ±1 atomic % with a detection limit in the range of 0.1 - 1 atomic %, depending on the element. The calibration is straight forward when the SNMS ion yields are available, as they are for most common species.

The samples were profiled using Sputtered neutral mass spectrometry (SNMS) on a VG SIMSLABB IIIA instrument by Dr. Simon Romani (CSMA-MATS Company, Stokes-on- Trent, England). The primary ion beam was argon at 10 keV, usually operated at high currents (0.8 - 1.0 microampere) over large areas (from 0.5 to 4 mm raster size generally, depending on total depth requirements). In situations where the yields were not already known from empirical work (e.g. tantalum and niobium) an independent check using RBS was performed to verify the yields of the metal. For certain species that require additional filtering (e.g. to remove system background) reference materials were processed to provide on-the-day checks. This was done for both oxygen and nitrogen.

157 Chapter 10 Experimental

Rutherford backscattering spectrometry (RBS) [183] This measurement technique provides information about the composition of a film and the concentration of atoms in the measured area of the film (atoms/cm2). For RBS measurements, the samples are bombarded with helium ions that were accelerated at a bias voltage of several megavolts. The high energetic helium ions penetrate the electron cloud of the atoms within the sample and either pass the sample or are backscattered at the nuclei of the target atoms. Depending on the weight of the target atom, the helium ions loose more or less energy at the elastic hit. Thus, the backscattered ions can be assigned to the elements present in the sample. The broadness of the peak is a result of the energy loss of the backscattered ions by interaction with electrons in the sample. Depending on the depth of penetration, the energy of the backscattered ion is lowered compared to the energy of ions, directly backscattered at the surface of the sample. A theoretical curve is fitted to the measured diagram for determination of the composition. Heavy elements can be detected with a relative high sensitivity due to the high cross section of their nuclei. The existence of several light elements in the same sample (e.g. carbon, nitrogen and oxygen) reduces the accuracy for the concentration (5 - 10 % error of the determined absolute values).

RBS measurements within this work were performed by Dr. H. W. Becker in the Dynamitron Tandem Laboratory located in the Ruhr-University Bochum. The acceleration bias was set to 2 MV at a detection angle of the backscattered ions relative to the incident beam of 40 °.

Scanning electron microscopy (SEM) and Electron dispersive X-ray analysis (EDX) [184] SEM is a powerful analytical instrument for analyzing thin films deposited by CVD. It is possible to acquire surface scans with resolutions of only a few nanometers. Scanning electron microscopy uses electrons that are emitted by a heated tungsten filament. They are focused as a beam and accelerated towards the sample. The incident beam of electrons interacts with the sample and results in an emitting of different types of signals. There is an emission of X-rays beams, Auger electrons, catholuminescense, backscattered electrons and so called secondary electrons. The backscattered electrons can be collected and give topographical information about the sample. The morphology of the surface (nanocrystalline, amorphous etc.) and crystal sizes can be determined. Cross sections of samples are useful to provide information about the behavior of the vertical growth and the growth rate during the deposition. Samples with low conductivities (e.g. insulating and semiconducting materials) have to be sputtered with gold or carbon in order to guarantee certain conductivity. Otherwise,

158 Chapter 10 Experimental absorbed electrons cannot be removed and the sample will get charged (lowering of the resolution). The EDX analyzer is added to the SEM instrument. Vacancies, left by the emission of secondary electrons from the atoms in the film, are filled by electrons from higher orbitals. The excess energy is emitted as X-rays that are specific for each element. Most of the elements can be analyzed by this method. Problems occur when light elements with similar molar masses have to be detected (in this case: oxygen, nitrogen and carbon).

SEM - samples were measured by Dr. Rolf Neusser on a 1530 Gemini Field Emission SEM instrument by Leo (Zeiss), coupled with an INCA X-ray microanalysis system (EDX). These instruments are situated in Bochum.

Spectroscopic ellipsometry [185] Ellipsometry allows the determination of the film thickness and the refractive index of a transparent, dielectric film. A laser beam of known polarization is reflected by the sample which leads to a change in the polarization. The change depends on the thickness and refractive index of the material. The fundamental equation of ellipsometry is given by equation 5.

iΔ ρ = Rp/Rs = tan (Ψ)e (eq. 5)

Rp/Rs is the ratio of the amplitudes of the s and p components of the polarized light (s: oscillation parallel to the sample surface; p: oscillation parallel to the plane of incidence), tan(Ψ) is the amplitude ratio upon reflection and Δ is the phase shift. No calibration is necessary as no absolute value is determined, but rather a ratio of two values. For determination of the film thickness and refractive index, a model of stacked thin films is compiled and fitted to the measured curve by an iterative procedure. Deviations between the theoretical and experimental thickness are less than 1 nm.

Optical thickness measurements were performed by Martin Lemberger (Fraunhofer Institut für Integrierte Schaltungen, Erlangen) using a Plasmos single-wavelength ellipsometer with rotating analyzer at an angle of incidence of 70 ° and a He:Ne laser with a wavelength of 632.8 nm.

159 Chapter 10 Experimental

Atomic Force Microscopy [186] AFM measurements were performed to collect information about the surface roughness of the samples. The surface of the sample is scanned with a fine needle that is placed at the end of a cantilever. The needle, normally fabricated from silicon carbide is moved up and downwards by piezo electronic . Deflection of the cantilever is measured by the distraction of a laser beam that is pointed onto the back of the cantilever.

The measurements in this work were performed by Dorothee Maier on a SPM (Scanning Probe Microscope) Nanoscope IIIa AFM-instrument (Digital Instruments). The samples were scanned in tapping mode (no continuous contact between the needle and the substrate). The investigated area was 2x2 µm2.

X-ray photoelectron spectroscopy [187] X-ray photoelectron spectroscopy measurements provide good information about the composition of a characterized film. Al-Kα-radiation is emitted by an X-ray gun and focussed on the sample surface. Core electrons of atoms in the uppermost few layers are removed from the film. An attached electron detector registers the number of emitted electrons as a function of their kinetic energy. Supposing that the well defined energy of the X-ray radiation (EX-ray) is the sum of the kinetic energy of the electron (Ekin, electron) and the required energy to remove the electron from a certain orbital, the ionization energy (IE) of the orbital can be calculated as the difference of these two energies (IE = EX-ray – Ekin, electron). This energy is specific for a certain element orbital and is slightly shifted depending on the coordination of the chemical environment and binding state of the atom. Integration of the XPS-peaks (counts/s vs. binding energy) and specific calibrations for each element provide reliable information about the composition of the film. The estimated relative error of measurement is about ± 5 % of the measured value, depending on the intensity of the analyzed peak. Reasons for this error are the contribution of rests of gases in the vacuum to the intensity of the peaks (e.g. oxygen) and problems with the internal calibration of the instrument.

Four Point Probe measurements after L. J. van der Pauw188 The van der Pauw method allows measurements of the specific resistivity of a flat sample of arbitrary shape. Falsifications of the values by contact resistivities (surface/electrode) or sample geometry can be excluded by this method. The sample is contacted by four probes (A,

B, C and D). A constant current is realized by two of the contacts (IAB) that results in a drop

160 Chapter 10 Experimental

of the potential between the other two contacts (VCD). This setup is alternated by exchanging the contact electrodes. The resistivity of the film is given by:

ρ = [(π·t)/ln 2]·[0.5(RAB,CD + RBC,AD)]·f (eq. 6)

ρ = specific resistivity; f = correction factor, R = resistance; t = thickness of the sample.

The value for the specific resistivity has the dimension Ω·cm and can only be determined when the thickness t of the film is known.

10.3 Details to MOCVD and ALD experiments

This chapter gives some information about special features with respect to the reactors that were used in this work. A short description of each reactor was already given in 6.1. The large wafer instrument in Erlangen and the Aixtron 200 FE reactor will not be discussed (all experiments have been performed by Martin Lemberger (Erlangen) and Stephan Spöllmann (Bochum)).

10.3.1 Wafer treatment

All samples were Si(100) wafers having a silicon dioxide layer of 20 nm. The SiO2-film was thermally generated in an oxygen atmosphere and the thickness verified via ellipsometry measurements. For the selfbuilt reactor, 150 mm wafers were cut to sizes of approximately 2.1 x 1.4 cm2. For the ALD-reactor, 150 mm wafers were cut to 5 x 5 cm2 sized samples. Round 2-inch (5.08 cm) wafers were used for the Aixtron 200 FE reactor. The reactor in Erlangen was loaded with up to two 150 mm wafers. Before loading the reactor, the wafers were cleaned from dust particles in an argon stream. Further cleaning was not required, supposing that the wafers were carefully treated during the cutting process.

10.3.2 Handling of the selfbuilt reactor

For preliminary experiments the selfbuilt horizontal coldwall reactor (figure 58)[176] was used. After the sample was loaded into the reactor and the precursor-reservoir (bubbler) was mounted to the setup, the whole system was evacuated by a turbo pump to a base pressure of 2.0 - 4.0·10-6 mbar. The nitrogen gas flow was set to the required flow rate whereby the

161 Chapter 10 Experimental pressure in the reactor is regulated by a throttle valve. After all parts (substrate, heating tape, airbath) had reached their temperature and are constant for at least 15 minutes, the deposition was started by opening the teflon valves of the precursor reservoir. After the deposition, the reactor was cooled down to room temperature before it was opened and the sample was removed. Consumption of precursor was 50 - 200 mg per hour, depending on the type of precursor and the conditions of evaporation. In order to determine the density of the films (or the film thickness at given density), the weight gain of each sample was measured with an accuracy of ± 0.00001 g. For example a 100 nm thick film of pure TaN (density 13.7 g/cm2) on a 2.1 x 1.4 cm2 substrate showed a weight gain of 0.00044 g.

10.3.3 Handling of the ASM F-120 Reactor

After assembling of the reactor or a change of the reactor setup, leak tests were performed. A mass spectrometer for helium was attached to the evacuated reactor and helium gas was sprayed at all parts that are susceptible to leaks (valves and o-rings). The samples were mounted in the quartz glass plates and the whole arrangement was inserted into the large glass tube of the reactor. The reactor was then evacuated for at least half an hour at a nitrogen flow of 400 sccm and afterwards flooded again with nitrogen. Precursor was filled into the precursor boat in the glove box and covered with a piece of parafilm. The boat was inserted into the reactor in a counter flow of nitrogen. Five temperature zones of the reactor (T1, T2,

T3, T4 and T5-T8) can be separately addressed by two thermocouples and one heating coil for each zone. The precursor was by default placed in zone T2 while the reactor zone was T5-T8

(one zone). It was necessary to set T3 and T4 to temperatures above T2 to prevent condensation of the precursor. Due to the massive character of the reactor quartz block of setup II (weight: appr. 4 kg), a heating period of 3 hours was necessary until the target temperature was reached.

10.4 General comments on synthesis and characterization

All manipulations of air- and moisture-sensitive compounds were performed on a conventional vacuum/argon line using standard Schlenk techniques. Argon was purified over CuI catalysts and dried over molecular sieve (4 Å). The Schlenk glassware (after Wilhelm Schlenk, 1913 [189190]), used for the synthesis experiments was heated carefully under dynamic vacuum prior to use. Preparations of samples for further analysis were carried out in argon filled glove boxes. All solvents were purified by an MBraun solvent purification system (SPS) 8 and stored over activated molecular sieves (4Å). C6D6 and toluene-d were degassed and dried

162 Chapter 10 Experimental over activated molecular sieves. Reagents were purchased from the following companies and used without further purification:

ƒ Butyl-lithium (1.6 n solution in hexane, Merck) ƒ Diethylamine (>99 % purity, Merck) ƒ Methylethylamine (>97 % purity, Fluka) ƒ Pyridine (Normapur grade, VWR) ƒ Chlorotrimethylsilane (98 % purity, Acros) ƒ Lithiumdimethylamide (95 % purity , Aldrich) ƒ Diisopropylcarbodiimide (99 % purity, careful: very toxic, Acros) ƒ Dicyclohexylcarbodiimide (99 % purity, Aldrich) ƒ Ditertbutylcarbodiimide (99 % purity, Fluka) ƒ Bistrimethylsilylcarbodiimide (98 %, Fluka) ƒ Carbodiimide (Cyanamide, 98 %, Aldrich) ƒ 1,1-Dimethylhydrazine (98 % purity, Aldrich)

ƒ Methyllithium (5 weight % in Et2O, Merck) ƒ Niobium(V)chloride (99.999 % purity, H.C. Starck) ƒ Tantalum(V)chloride (99.999 % purity, H.C. Starck)

163 Chapter 10 Experimental

10.5 Synthesis of the starting compounds S1-S11

10.5.1 Synthesis of the chloro-containing intermediate compounds S1 - S3

[TaCl3(N-t-Bu)(py)2] (S1). Compound S1 was synthesized by adding H2N-t-Bu (78.0 ml, 54.3 g, 738.0 mmol) and SiMe3Cl (45 ml, 38.5 g, 359 mmol) to an orange suspension of

TaCl5 (60.0 g, 167.5 mmol) in toluene (250 ml, 0°C). After 1 hour, stirring at room temperature, pyridine (90 ml) was added to the slightly yellow solution. (excess required in order to keep all compound solved). The precipitated salts were removed by filtration and the filtrate was dried in vacuo. The resulting yellow, sulphur-like solid was recrystallized from hot toluene (appr. 120 ml). Yield: 68.4 g (134 mmol, 80% based on [TaCl5]). TaCl3C14H19N3

(516.63): calcd. C 32.55, H 3.71, N 8.31, found: C 32.41, H 3.98, N 8.91 %; δH (25 °C, 250

MHz, C6D6) 1.52 (s, 9 H, N-C(CH3)3), 6.28 (m, 2 H, m-C6H5N), 6.49 (m, 2 H, m-C6H5N),

6.83 (m, 1 H, p-C6H5N), 6.89 (m, 1H, p-C6H5N), 8.94 (m, 2 H, o-C6H5N), 9.15 (m, 2 H, o-

C6H5N). δC (25 °C, 62.5 MHz, C6D6) 31.9 (N-C(CH3)3), 66.4 (N-C(CH3)3), 124.0, 124.1 (m-

C6H5N), 138.1, 139.4 (p-C6H5N), 151.8, 153.0 (o-C6H5N).

[TaCl3(N-n-Pr)(py)2] (S2). The synthesis followed the synthetic route of S1. The employed amounts of starting material were as follows: TaCl5 (20 g, 55.83 mmol), toluene

(150 ml), n-propylamine (20.2 ml, 14.52 g, 246 mmol), SiMe3Cl (15 ml, 118 mmol), pyridine (25 ml, 24.5 g, 310 mmol). Compared to S1, the purified solid compound S2 had a green- yellow color. Yield: 22.2 g (44.2 mmol, 79 % based on [TaCl5]). TaCl3C13H17N3 (502.60): calcd. C 31.13, H 3.39, N 8.38, found: C 31.14, H 3.67, N 8.67 %; δH (25 °C, 250 MHz, C6D6)

1.17 (t, 3 H, N-CH2CH2CH3), 1.77 (d of q, 2 H, N-CH2CH2CH3), 5.03 (t, 2 H, N-

CH2CH2CH3), 6.23 (m, 2 H, m-C6H5N), 6.46 (m, 2 H, m-C6H5N), 6.59 (m, 1 H, p-C6H5N),

6.78 (m, 1 H, p-C6H5N), 8.75 (m, 2 H, o-C6H5N), 9.20 (m, 2 H, o-C6H5N). δC (25 °C, 62.5

MHz, C6D6) 12.7 (N-CH2CH2CH3), 26.8 (N-CH2CH2CH3), 63.4 (N-CH2CH2CH3), 123.9,

124.1 (m-C6H5N), 137.9, 139.0 (p-C6H5N), 152.0, 152.7 (o-C6H5N). EI-MS (70 eV): m/z 345 + + + (M - 2 py, 2 %), 79 (py ), 52 (C4H4 ).

[NbCl3(N-t-Bu)(py)2] (S3). The compound was synthesized by adding H2N-t-Bu (68 ml, 47.6 g, 651 mmol) and SiMe3Cl (40 ml, 34.2 g, 315 mmol) to an orange suspension of

NbCl5 (40.0 g, 148.0 mmol) in toluene (400 ml, 0 °C). After a stirring period of 30 minutes, pyridine (85 ml) was added to the slightly yellow solution. The precipitated salts were

164 Chapter 10 Experimental removed by filtration and the filtrate was dried in vacuo. The resulting yellow-orange solid was recrystallized from hot toluene (200 ml). Yield: 43.2 g (100.1 mmol, 68.1 % based on

[NbCl5]). NbCl3C14H19N3 (428.58): calcd. C 39.23, H 4.47, N 9.80, found C 39.53, H 4.64, N

10.61 %; δH (25 °C, 250 MHz, C6D6) 1.47 (s, 9 H, N-C(CH3)3), 6.25, 6.49 (m, 2 x 2 H, m-

C6H5N), 6.62, 6.79 (m, 2 x 1 H, p-C6H5N), 8.94 (m, 2 H, o-C6H5N), 9.15 (m, 2 H, o-C6H5N).

δC (25 °C, 62.5 MHz, C6D6) 29.5 (N-C(CH3)3), not present (N-C(CH3)3), 123.7 (m-C6H5N), + 137.7, 138.9 (p-C6H5N), 151.6, 152.9 (o-C6H5N). EI-MS (70 eV): m/z 79 (py , 100 %).

10.5.2 Synthesis of the mixed amido / imido complexes S4 - S8

[Ta(NMe2)3(N-t-Bu)] (S4). The complex was synthesized by adding a suspension of

LiNMe2 (3.98 g, 78.0 mmol) in toluene (50 ml) to a yellow suspension of S1 (25.2 mmol, 13.0 g) in toluene (50 ml, -78 °C). The mixture was warmed up to room temperature and stirred for 24 hours. A white precipitation (LiCl) was formed and could be removed by filtration from the yellow solution. The solid, low melting compound was short-way sublimed t at 80 °C in vacuum. Yield: 4.65 g (12.1 mmol, 48 % based on [TaCl3(N Bu)py2]). TaN4C10H27 (384.30): no CHN analysis was performed, but the proton NMR spectrum showed no signs of impurities. δH (25 °C, 250 MHz, C6D6) 1.44 (s, 9 H, N-C(CH3)3), 3.19 (s, 18 H, N(CH3)2).

[Ta(NMeEt)3(N-t-Bu)] (S5) The compound was synthesized by adding LiNMeEt (254 mmol freshly prepared from HNMeEt (21.8 ml, 15.3 g, 254 mmol) and BuLi (254 mmol)), suspended in a toluene/hexane solution, to [TaCl3(N-t-Bu)py2] (43.8 g, 84.6 mmol), suspended in toluene (200 ml). The temperature was reduced to -78 °C at all time while the yellow suspension turns greenish-brown during reaction. After 24 hours of stirring at room temperature, lithium chloride was removed by filtration and the filtrate was concentrated. Distillation at 85 °C gave a slightly yellow liquid. Yield: 30.66 g (71.9 mmol, 85 % based on

[TaCl3(N-t-Bu)py2]). TaN4C13H33 (426.38): calcd. C 36.62, H 7.80, N 13.14; found C 36.74,

H 7.95, N 13.35 %; δH (25 °C, 250 MHz, C6D6) 1.13 (t, 9 H, N-CH2-CH3), 1.44 (s, 9 H, N-

C(CH3)3), 3.19 (s, 9 H, N-CH3), 3.47 (q, 6 H, N-CH2-CH3). δC (25 °C, 62.5 MHz, C6D6) 16.4

(N-CH2-CH3), 34.6 (N-C(CH3)3), 41.9 (N-CH3), 52.6 (N-CH2-CH3), 66.4 (N-C(CH3)3).

[Ta(NEt2)3(N-t-Bu)] (S6). The compound was synthesized by adding 402 mmol

LiNEt2 (freshly prepared from HNEt2 (42.1 ml, 403 mmol) and BuLi (402 mmol, 1.6 m solution in hexane)), suspended in a toluene/hexane solution, to [TaCl3(N-t-Bu)py2] (69.17 g, 134.0 mmol), suspended in toluene (100 ml). The temperature was kept at -78 °C while the

165 Chapter 10 Experimental yellow suspension turned brown during reaction. After 24 hours of stirring at room temperature, lithium chloride was removed by filtration and the filtrate was concentrated. Distillation at 90 °C gave a slightly yellow liquid. Yield: 50.2 g (107.2 mmol, 80 % based on

[TaCl3(N-t-Bu)py2]). TaN4C16H39 (468.46): calcd. C 41.02, H 8.38, N 13.14; found C 40.97,

H 8.53, N 12.07 %; δH (25 °C, 250 MHz, C6D6) 1.15 (t, 18 H, N-CH2-CH3), 1.44 (s, 9 H, N-

C(CH3)3), 3.48 (q, 12 H, N-CH2-CH3). δC (25 °C, 62.5 MHz, C6D6) 17.3 (N-CH2-CH3),

34.4 (N-C(CH3)3), 47.6 (N-CH2-CH3), 66.3 (N-C(CH3)3).

[Ta(NEt2)3(N-n-Pr)] (S7). A suspension of lithium diethylamide (62 mmol) was prepared in situ by addition of 40 ml of a 1.6 M BuLi-solution (hexane) to diethylamine (7.5 ml, 62 mmol) at -60 °C. After stirring the reaction mixture for 24 hours at room temperature, the resulting suspension was added to a suspension of S4 (10 g, 20.8 mmol) in hexane at -60 °C. The reaction mixture slowly turned yellow/orange and was filtered after stirring for additional 24 hours. The yellow/orange filtrate was concentrated in order to obtain the dark orange product S7. Yield: 8.38 g (18.4 mmol, 92 % based on S4). C15H37N4Ta (454.43): calcd. C 39.65, H 8.20, N 12.33; found: C 39.87, H 7.80, N 12.49 %. All analyses (NMR, MS) were in accordance with the previously published results.

[Nb(NMe2)3(N-t-Bu)] (S8). Three equivalents of LiNMe2 (5.54 g, 108.5 mmol, suspension in 50 ml hexane) were added to a suspension of [NbCl3(N-t-Bu)(py)2] (15 g, 35 mmol) in hexane (100 ml) at -60 °C. The color of the mixture slowly turned from yellow to green/brown. After a period of 24 hours at room temperature, the solvent was removed and the dark-green liquid was distilled under reduced pressure (90 °C, 0.01 mbar). A crystalline, green-orange solid (S8) was collected. m.p. 55 °C (uncorrected). Yield: 8.86 g (84 %, 29.3 mmol, based on [NbCl3(N-t-Bu)(py)2]). C10H27N4Nb (296.26) calcd.: C 40.54, H 9.18, N

18.91; found: C 40.49, H 9.41, N 19.49 %. δH (25 °C, 250 MHz, C6D6) 1.41 (9 H, s,

NC(CH3)3), 3.18 (18 H, s, N(CH3)2). δC (25°C, 62.5 MHz, C6D6) 33.4 (NC(CH3)3), 47.0 + + + (N(CH3)2). EI-MS (70eV): m/z 296 (M , 73 %), 281 (M -Me, 100), 253 (M -NMe2, 10), 58 + + (t-Bu , 10), 44 (NMe2 , 28).

166 Chapter 10 Experimental

10.5.3 Synthesis of N-Trimethylsilyl-N’,N’-dimethylhydrazine

Reaction 1

Methyllithium (268 mmol, 168.5 ml of a 1.59 M solution of MeLi in Et2O) was slowly added to a solution of N,N-dimethylhydrazine (16.1 g, 268 mmol) in Et2O (30 ml, -78 °C). The solution was allowed to warm up and was stirred for 1 hour at room temperature. After cooling it down to -78 °C SiMe3Cl (34.15 ml, 268 mmol) was added. After removal of the cooling bath, the clear solution turned cloudy; LiCl was formed. The suspension was filtered and diethylether was removed in vacuum (water jet pump). Fractionated distillation gave pure Htdmh in the temperature range of 93-102 °C. At higher temperatures, the twofold substituted product, (SiMe3)2N-NMe2 was formed. Yield 12.5 g (95 mmol, 35 % based on H2NNMe2).

Reaction 2 Trimethylchlorosilane (72 g, 0.66 mol) was slowly added to a solution of N,N- dimethylhydrazine (60.1 g, 1 mol) in diethylether (300 ml). The solution was refluxed for 5 hours, followed by filtration of the sideproduct N,N-dimethylhydrazoniumchloride. Removal of diethylether and fractionated distillation gave 58 g (88 %) of Htdmh. No impurities were found in proton-nmr spectra of Htdmh. C5H16N2Si (132.26). δH (25 °C, 250 MHz, C6D6) 0.13

(s, 9 H, Si(CH3)3) 2.12 (s, 6 H, N(CH3)2)

10.6 Synthesis involving hydrazines (A1-A5 and further reactions)

Compounds A1-A3 were easy accessible due to the uncomplicated transamination reaction that takes place between the starting complexes and the free Htdmh. High yields can be achieved and the free amines can be easily removed at reduced pressure at room temperature.

10.6.1 Synthesis of A1-A4

[Ta(NMe2)2(tdmh)(N-t-Bu)] (A1) Tert-butylimido-bis(dimethylamido)-(N-trimethylsilyl- N’,N’-dimethylamido)tantalum was synthesized by adding Htdmh (5.78 g, 43.7 mmol) to

[Ta(NMe2)3(N-t-Bu)] (14.0 g, 36.4 mmol), dissolved in hexane (20 ml). The yellowish solution was stirred for 48 hours at room temperature. After all volatiles were removed in vacuo, the colorless, viscous liquid (A1) could be obtained by distillation at 135 °C, 0.01 mbar. Crystals, suitable for single crystal X-ray diffraction were obtained from a high concentrated solution of A1 in hexane at -20 °C. Yield 13.1 g (27.8 mmol, 77 %, based on

167 Chapter 10 Experimental

[Ta(NMe2)3(N-t-Bu)]). C13H36N5SiTa (471.50): calcd. C 33.12, H 7.70, N 14.85; found C

33.15, H 7.49, N 15.20 %. δH (25 °C, 250 MHz, C6D6) 0.31 (s, 9 H, N-Si(CH3)3), 1.52 (s, 9 H,

N-C(CH3)3), 2.41 (s, 6 H, N-N(CH3)2), 3.40 (s, 12 H, Ta-N(CH3)2). δC (25 °C, 62.5 MHz,

C6D6) 3.8 (N-Si(CH3)3), 34.7 (N-C(CH3)3), 49.3 (N-N(CH3)2), 51.3 (Ta-N(CH3)2), 64.3 (N- + + + C(CH3)3). EI-MS (70 eV): m/z 471 (M , 3 %), 456 (M - CH3, 8), 73 (SiMe3 , 12), 58 (H-t- + + Bu , 77), 44 (NMe2 , 100).

[Ta(NEtMe)2(tdmh)(N-t-Bu)] (A2). The preparation of A2 followed exactly the synthetic route of A1. Instead of [Ta(NMe2)3(N-t-Bu)] as the metal containing starting compound,

[Ta(NMeEt)3(N-t-Bu)] (14.8 g, 34.0 mmol) was used in the synthesis. An amount of 14.8 g (40.8 mmol) Htdmh was added. The colorless, viscous liquid (6) was obtained by distillation at 140 °C, 0.01 mbar. Yield 14.1 g, 83 % (28.2 mmol) (based on [Ta(NMeEt)3(N-t-Bu)]),

C15H40N5SiTa (499.55): calcd. C 36.02, H 8.07, N 14.02; found C 36.00, H 7.65, N 14.33 %.

δH (25 °C, 250 MHz, C6D6) 0.32 (s, 9 H, N-Si(CH3)3), 1.23 (t, 12 H, N(CH3)-CH2-CH3), 1.49

(s, 9 H, N-C(CH3)3), 2.42 (s, 6 H, N-N(CH3)2), 3.15 (s, 6 H, Ta-N(CH3)-CH2-CH3), 3.68-3.86

(m, 4 H, N(CH3)-CH2-CH3). δC (25 °C, 62.5 MHz, C6D6) 3.8 (N-Si(CH3)3), 16.9 (N(CH3)-

CH2-CH3), 34.7 (N-C(CH3)3), 42.8 (N(CH3)-CH2-CH3), 51.3 (N-N(CH3)2), 57.1 (N(CH3)- + + CH2-CH3), 64.3 (N-C(CH3)3). EI-MS (70 eV): m/z 499 (M , 2 %), 484 (M - CH3, 5), 73 + + + (SiMe3 , 12), 58 (H-t-Bu , 76), 44 (NMe2 , 100).

[Ta(NEt2)2(tdmh)(N-t-Bu)] (A3). The preparation of A3 on the whole followed the synthetic route employed for A1 and A2. Instead of [Ta(NMe2)3(N-t-Bu)] as the metal containing starting compound, [Ta(NEt2)3(N-t-Bu)] (9.23 g, 19.7 mmol) was used in the synthesis. In this reaction, a high excess of Htdmh (10.41 g, 78.7 mmol) was added. The mixture was refluxed for 5 days. The unreacted ligand and the solvent were removed in vacuo. The colorless, viscous liquid (5) was obtained by distillation at 143 °C, 0.01 mbar. Yield 9.2 g, 88 % (17.3 mmol) (based on [Ta(NEt2)3(N-t-Bu)]). C17H44N5SiTa (527.61) calcd.: C 38.70, H 8.41, N

13.27; found: C 38.50, H 8.27, N 13.65 %. δH (25 °C, 250 MHz, C6D6) 0.34 (s, 9 H, N-

Si(CH3)3), 1.12 (t, 12 H, N-CH2-CH3), 1.49 (s, 9 H, N-C(CH3)3), 2.43. δC (25 °C, 62.5 MHz,

C6D6) 3.8 (N-Si(CH3)3), 17.4 (N-CH2-CH3), 34.7 (N-C(CH3)3), 49.2 (N-CH2-CH3), 51.3 (N- + + N(CH3)2), 64.3 (N-C(CH3)3). EI-MS (70 eV): m/z 527 (M , 40 %), 512 (M - CH3, 100 ), 441 + + + + (M -(N-t-Bu) - CH3, 38), 73 (SiMe3 , 23), 58 (H-t-Bu , 60), 44 (NMe2 , 100).

168 Chapter 10 Experimental

[Li(tdmh)py]2 (A4) [Bis{(pyridine)(N-trimethyl-N’,N’-dimethylhydrazido)lithium}] Compound A4 was synthesized by adding n-butyl lithium (15.4 mmol, 9.68 ml of a 1.60 molar solution in hexane) to a cooled solution (-78 °C) of Htdmh (2.04 g, 15.4 mmol) and pyridine (5.5 ml, 67.5 mmol) in 5 ml hexane. The solution turned red after warming up to room temperature, was stirred for another 24 hours and then cooled down to -30 °C. The compound crystallized in form of small, light brown crystals. m.p. 93 °C (uncorrected), yield

2.2 g, 64 % (based on Htdmh). C20H40N6Si2Li2 (434.60): calcd. C 55.27, H 9.28, N 19.34; found: C 55.25, H 9.42, N 20.09 %. δH (25 °C, 250 MHz, C6D6) 0.36, 0.43 (s, 18 H, N-

Si(CH3)3), 2.67 (s, 12 H, N-N(CH3)2), 6.63 (d, 4 H, o-C5H5N), 6.91 (t, 1 H, p-C5H5N), 8.64 (t,

4 H, m-C5H5N). δC (25 °C, 62.5 MHz, C6D6) 5.7, 6.0 (N-Si(CH3)3), 53.8 (N-N(CH3)2), 133.0 + (o-C5H5N), 136.6 (p-C5H5N), 149.9 (m-C5H5N). EI-MS (24 eV): m/z 284 (M -pyridine - + + + + SiMe3, 8 %), 132 (Htdmh , 26), 117 (Htdmh – Me, 17), 79 (pyridine , 100), 73 (SiMe3 , 37), + 52 (HNMe2Li , 28).

10.6.2 Synthesis of A5

Dimethylhyrazine (1.28 g, 21.3 mmol) was directly added to TBTDET (S6, 1.0 g, 2.13 mmol). During addition, a clear, orange solution was formed. A sample was taken from the solution for NMR-measurements. The sample (0.2 ml) was dried in vacuum and yielded an orange powder. This powder was almost not soluble in C6D6, Et2O or CH2Cl2. The rest of the solution (0.8 ml, S6 + Hdmh) was stored at -30 °C for 12 month. Slow diffusion of air into the sealed Schlenk-flask led to the formation of crystalline A5. This synthesis could not be reproduced.

10.6.3 Reactions with S6 (TBTDET) and dimethylhydrazine

Several reactions of S6 with Hdmh were carried out in an NMR tube. Various equivalents of dimethylhydrazine were added to a solution of S6 in C6D6 (0.5 ml). When 3 or more equivalents of Hdmh were added, decomposition and liberation of amines occurred too fast for NMR-measurements. The following table (24) summarizes the experimental parameters.

Table 25. Reactions of S6 with Hdmh. The error in the masses was ± 3 mg.

S6 [Ta(NEt2)3(N-t-Bu)] Hdmh 60 mg (0.123 mmol) 7 mg (0.12 mmol) 60 mg (0.123 mmol) 15 mg (0.24 mmol) 60 mg (0.123 mmol) 22 mg (0.36 mmol) 60 mg (0.123 mmol) 29 mg (0.48 mmol)

169 Chapter 10 Experimental

10.6.4 Further reactions involving hydrazine derivatives

Li-tdmh was freshly prepared by addition of equimolar amounts of BuLi-solution to Htdmh, dissolved in hexane (-78 °C). The addition of this solution to [TaCl3(N-t-Bu)py2] (S1) (in toluene) was always carried out at -78 °C. The reaction parameters are listed in table 26. Both products [Li(py)tdmh]2 (A4) and [Ta(tdmh)2Cl(N-t-Bu)] that were formed were volatile and very soluble in toluene and hexane. Thus no complete separation was possible (15 % of A4 remained as impurities in [Ta(tdmh)2Cl(N-t-Bu)]). However, it was tried to replace the remaining chloride at the tantalum complex by a reaction with LiNMe2 and LiNEt2, but no reaction was observed, even after 4 days at 90 °C.

Table 26. Reactions of TaCl5 and [TaCl3(N-t-Bu)py2] with lithiated hydrazine derivatives. Ta-reagent Hydrazine Comment reagent

[TaCl3(N-t-Bu)py2] [Li-tdmh] White precipitate was formed; the overlaying solution was (1.30 g; 2.52 mmol) (7.56 mmol) yellow orange. A4 ([Li(py)tdmh]2) crystallized from the concentrated solution (2 ml) at -30 °C.

[TaCl3(N-t-Bu)py2] [Li-tdmh] Upsized batch of the previous reaction. In this case, the (3.09 g; 6.0 mmol) (18 mmol) solution turned red during addition. The conversion to ([Ta(tdmh)2Cl(N-t-Bu)]) was not complete after 5 days at room temperature.

[TaCl3(N-t-Bu)py2] [Li-tdmh] After five days at room temperature, the reaction was (0.5 g; 0.96 mmol) (4.54mmol) complete and a mixture of A4 and ([Ta(tdmh)2Cl(N-t-Bu)]) was identified (orange solution over solid LiCl). It could not be separated to a satisfying extend by fractionated crystallization or sublimation.

[TaCl3(N-t-Bu)py2] [Li-tdmh] Upsized batch of the previous reaction. In this case, the (2.0 g; 3.84 mmol) (19.36 mmol) solution turned brown/red during addition. Although larger amounts were synthesized, complete separation was not successful. (15% of impurities).

[TaCl5] [Li(py)tdmh]2 Although the reagents (dissolved in toluene) were cooled to (0.36 g; 1 mmol) (2.5 mmol) -78 °C during addition, fast color change of the solution was observed. A brown oil was obtained after removal of toluene that consisted of a mixture of several SiMe3-containing species (Proton-NMR).

[TaCl5] [Li-tdmh] Both reactions led to the formation of brown-red and green (1.02 g; 2.85 mmol) (5.7 mmol) solutions. White solid precipitated (LiCl) during reaction. After removal of the solvent (toluene) from the filtrated [TaCl ] [Li-tdmh] 5 solutions brown oil was obtained. Again, NMR- (0.41 g; 1.1 mmol) (5.9 mmol) spectroscopy indicated the presence of various species that could not be separated.

170 Chapter 10 Experimental

10.7 Synthesis of the guanidinato-containing complexes of tantalum (B1-B8)

2 [Ta(NMe2){η -(N-i-Pr)2C(NMe2)}2(N-t-Bu)] (B1) Two equivalents of diisopropylcarbo- 3 diimide (1.43 cm , 1.15 g, 9.11 mmol) were added to a solution of [Ta(NMe2)3(N-t-Bu)] (1.75 g, 4.56 mmol) dissolved in hexane (20 ml). The temperature of the solution increased to about 45 °C during addition. After stirring the mixture for 24 hours, the solvent was partially removed in vacuo and the solution was cooled to -30 °C. The product crystallized in form of white, small crystallites from the colorless, clear solution. Filtration of the crystals and drying in vacuo gave a white powder that could be sublimed at 130 °C/0.0001 mbar. m.p. 232 °C

(uncorrected), Yield: 2.61 g, 90% (based on [Ta(NMe2)3(N-t-Bu)]). C24H55N8Ta (636.65): C

45.27, H 8.71, N 17.60, found: C 45.22, H 9.00, N 17.83 %. δH (25 °C, 250 MHz, C6D6) 1.17,

1.18, 1.29, 1.31, 1.34, 1.37, 1.41, 1.61 (8 x d, 24 H, Ta{(N(CH(CH3)2))2C(NMe2)}), 1.55 (s, 9

H, Ta{NC(CH3)3}), 2.50, 2.55 (2 x s, 12 H, Ta{(N-i-Pr)2C(N(CH3)2)}), 3.55 (s, 3 H, Ta-

N(CH3)), 3.70, 3.82, 3.86, 4.04 (h, 4 x 1 H, Ta{(N(CH(CH3)2)2C(NMe2)}), 4.20 (s, 3 H, Ta-

N(CH3). δC (25 °C, 62.5 MHz, C6D6) 24.3, 24.6, 25.2, 25.7, 26.3, 26.3, 26.4, 27.1

(Ta{(N(CH(CH3)2)2C(NMe2)}), 35.1 (Ta{NC(CH3)3}), 40.1 (Ta{(N-i-Pr)2C(N(CH3)2)}),

46.0, 47.1, 47.4, 48.2 (Ta{(N(CH(CH3)2)2C(NMe2)}), 48.9, 59.0 (Ta{N(CH3)2}), 63.6 + (Ta{NC(CH3)3}), 165.0, 169.3 (Ta{(N-i-Pr)2C(NMe2)}). EI-MS (70 eV): m/z 636 (M , 8 %), + + + + 593 (M -i-Pr, 17), 548 (M -i-Pr -HNMe2, 13), 467 (M -(N-i-Pr)2C(NMe2), 43), 424 (M - + + + (N-i-Pr)2C(NMe2) -i-Pr, 27), 171 (H(N-i-Pr)2C(NMe2) , 4), 69 (C(N-i-Pr) , 100), 43 (i-Pr , 52).

2 [Ta(NMeEt){η -(N-i-Pr)2C(NMeEt)}2(N-t-Bu)] (B2) The preparation of B2 followed the synthetic route of B1. Instead of [Ta(NMe2)3(N-t-Bu)] as the metal containing starting compound, [Ta(NMeEt)3(N-t-Bu)] (2.24 g, 5.25 mmol) was used in the synthesis. Diisopropyl-carbodiimide (1.65 ml, 1.33 g, 10.51 mmol) was added. m.p. 217 °C

(uncorrected), Yield: 3.12 g, 87.4 % (based on [Ta(NMeEt)3(N-t-Bu)]). C27H61N8Ta (678.73): C 47.78, H 9.06, N 16.51; found: C 47.90, H 9.26, N 16.8 %. Only the dominant isomeric 1 13 species is presented for H and C-NMR spectra. δH (25 °C, 250 MHz, C6D6) 0.91, 0.97 (2 x t, 6 H, Ta{(N-i-Pr)2C(N(CH2CH3)Me)}), 1.07 (br, 3 H, Ta{(N(CH2CH3)Me)}), 1.15 (br, 3 H,

Ta{(N(CH(CH3)2)2-C(NEtMe)}), 1.26, 1.32, 1.34, 1.41, 1.44, 1.47, 1.63 (7 x t, 3 H,

Ta{(N(CH(CH3)2)2C(NEtMe)}), 1.55 (s, 9 H, Ta{N(C(CH3)3)}), 2.56 (s, 6 H, Ta{(N-i-

Pr)2C(NEt(CH3))}), 2.7-3.3 (m, 4 H, Ta{(N-i-Pr)2C(N(CH2CH3)Me)}), 3.1, 4.8 (br, 2 H,

Ta{N(CH2CH3)Me}), 3.77, 3.87, 3.90, 4.04 (4 x h, 4 H, Ta{(N(CH(CH3)2)2C(NEtMe)}), 4.24

171 Chapter 10 Experimental

(s, 3 H, Ta{NEt(CH3)}). δC (25 °C, 62.5 MHz, C6D6) 13.9 (Ta{(N-i-Pr)2C(N(CH2CH3)Me)}),

15.0 (Ta{(N(CH2CH3)Me)}), 24.4 (3x), 26.0, 26.3 (2x), 26.8, 28.3

(Ta{(N(CH(CH3)2))2C(NEtMe)}), 35.0 (Ta{N(C(CH3)3)}), 37.0 (Ta{(N-i-Pr)2C(NEt(CH3))}),

46.3, 47.4, 48.2 (2x) (Ta{(N(CH(CH3)2))2C(NEtMe)}), 47.1 (Ta{(N-i-

Pr)2C(N(CH2CH3)Me)}, 54.3 (Ta{N(CH2CH3)Me}), 54.6 (Ta{NEt(CH3)}), 63.8 + (Ta{NC(CH3)3}), 166.1 (Ta{(N-i-Pr)2C(NMeEt)}). EI-MS (70 eV): m/z 678 (M , 12 %), 621 + + (M -(t-Bu), 35), 495 (M -((N-i-Pr)2C(NEtMe)), 63), 452 (M -((N-i-Pr)2C(NEtMe)) -(i-Pr), 23).

[Ta(NEt2){η2-(N-i-Pr)2C(NEt2)}2(N-t-Bu)] (B3) The preparation of B3 followed the synthetic route of B1. Instead of [Ta(NMe2)3(N-t-Bu)] as the metal containing starting compound, [Ta(NEt2)3(N-t-Bu)] (1.83 g, 3.91 mmol) was used in the synthesis. Diisopropylcarbodiimide (1.22 ml, 0.98 g, 7.82 mmol) was added. m.p. 210°C (uncorrected),

Yield 2.55 g, 91 % (based on [Ta(NEt2)3(N-t-Bu)]). C30H67N8Ta (720.81) calcd.: C 49.99, H

9.37, N 15.54. found: C 50.00, H 9.49, N 15.88 %. δH (25 °C, 250 MHz, C6D6) 0.89, 0.91,

1.03, 1.43 (4 x t,12 H, 2 x Ta{(N-i-Pr)2C(N(CH2CH3)2)}, 2 x Ta{N(CH2CH3)2}), 0.92-1.02

(br, 6 H, Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 1.14, 1.26, 1.35, 1.37, 1.43, 1.49, 1.51, 1.66 (8 x d,

24 H, Ta{(N(CH(CH3)2))2C(NEt2)}), 1.55 (s, 9 H, Ta{NC(CH3)3}), 2.80-3.15 (m, 8 H,

Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 3.44, 4.38, 4.63, 5.03 (4 x d of q, 4 H, Ta-N(CH2CH3)), 3.78,

3.88, 3.91, 4.04 (4 x h, 4 H, Ta{(N(CH(CH3)2))2C(NMe2)}) δC (25 °C, 62.5 MHz, C6D6) 13.4,

14.2, 15.4, 15.9 (2 x Ta{(N-i-Pr)2C(N(CH2CH3)2)}, 2 x Ta{N(CH2CH3)2}), 14.5, 15.2 (br,

Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 24.1, 24.4, 24.8, 25.4, 26.0, 26.8, 27.1, 28.4

(Ta{(N(CH(CH3)2))2C(NEt2)}), 35.0 (Ta{NC(CH3)3}), 41.3, 42.5 (Ta{(N-i-

Pr)2C(N(CH2CH3)2)}), 43.2, 44.3 (br, Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 46.5, 47.0, 48.1, 48.5

(Ta{(N(CH(CH3)2))2C(NEt2)}), 47.2, 58.1 (Ta{N(CH2CH3)2}), 64.0 (Ta{NC(CH3)3}), 166.1, + + 170.1 (Ta{(N-i-Pr)C(NEt2)}). EI-MS (70 eV): m/z 720 (M , 10 %), 649 (M -(N-t-Bu), 100), + + + 606 (M -(N-t-Bu) -(i-Pr), 37), 523 (M -((N-i-Pr)2C(NEt2)), 84), 480 (M -((N-i-

Pr)2C(NEt2))-(i-Pr), 27).

2 [Ta(NEt2){η -(N-i-Pr)2C(NEt2)}2(N-n-Pr)] (B4) The synthesis of B4 followed in general the same procedure as for B1 using [Ta(NEt2)3(N-n-Pr)] (1.83 g, 4.03 mmol) and di-iso- propylcarbodiimide (1.01 g, 8.06 mmol). m.p. 195 °C (uncorrected). Yield: 2.39 g (3.38 mmol, 84 % based on [Ta(NEt2)3(N-n-Pr)]). C29H65N8Ta (706.84): calcd. C 49.28, H 9.27, N 1 15.85; found: C 49.28, H 9.17, N 16.10 %. δH (25 °C, 250 MHz, C6D6) 0.89 (t, J = 6.9 Hz, 3

172 Chapter 10 Experimental

1 H, Ta(NCH2CH2CH3)), 0.91, 2 x 0.96, 1.06, 1.07, 1.47 (6 x t, J = 6.7, 2 x 7.0, 7.4, 6.8, 7.0

Hz, 6 x 3 H, 4 x Ta{(N-i-Pr)2C(N(CH2CH3)2)} and 2 x TaN(CH2CH3)2), 1.16, 1.23, 1.35, 1.38, 2 x 1.40, 1.47, 1.58 (8 x d, 1J = 6.4, 6.4, 6.5, 6.0, 2 x 6.0, 6.4, 6.5 Hz, 24 H, 1 Ta{(N(CH(CH3)2))2C(NEt2)}), 1.72 (sextet, J = 7.3 Hz, 2 H, Ta (NCH2CH2CH3)), 2.80-3.15 1 (m, 8 H, Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 3.41, 4.25, 4.59, 4.73 (4 H, J = 6.3, 6.0, 6.0, 5.9 Hz, 1 4 x d of q, TaN(CH2CH3)), 3.76, 3.87, 3.94, 4.10 (4 x septet, J = 6.5, 6.4, 6.4, 6.5 Hz, 4 H, 1 Ta{(N(CH(CH3)2))2C(NEt2)}), 4.31, 4.37 (2 x d of t, J = 6.8, 6.7 Hz, 2 H,

Ta(NCH2CH2CH3)). δC (25 °C, 62.5 MHz, C6D6) 12.40 (Ta(NCH2CH2CH3)), 13.6, 14.0, 14.8,

15.7, 17.0 (Ta{(N-i-Pr)2C(N(CH2CH3)2)} and TaN(CH2CH3)) 23.5, 24.5, 25.0, 25.2, 25.9,

26.1, 26.5, 28.0 (Ta{(N(CH(CH3)2))2C(NEt2)}), 28.5 (Ta(NCH2CH2CH3)), 41.4, 42.3, 43.7

(br) (Ta{(N-i-Pr)2C(N(CH2CH3)2)}), 46.8, 56.7 (TaN(CH2CH3), 47.2, 47.5, 48.4, 48.8

(Ta{(N(CH(CH3)2))2C(NEt2)}), 61.9 (Ta(NCH2CH2CH3)), 166.5, 170.3 (Ta{(N(i- + + + Pr)2C(NEt2)}). EI-MS (70 eV): m/z 706 (M , 12 %), 635 (M -NEt2, 79), 509 (M - guanidinate-ligand, 100), 466 (M+ - guanidinate-ligand, - i-Pr, 29), 407 (calcd. 409) ((M+ - guanidinate-ligand, - i-Pr, -N-Pr (i or n), 10), 198 (guanidinate-ligand+, 4), 141 (N(i- + + + + Pr)2C(NH) , 15), 126 ( i-Pr-N=C=N-i-Pr , 5), 69 (i-Pr-N-C , 34), 58 (HN-Pr , 10), 43 (i-Pr, 10).

2 [Ta(NMe2){η -(N-cy)2C(NMe2)}2(N-t-Bu)] (B5) Diicyclohexylcarbodiimide (1.52 g, 7.83 mmol) in hexane (20 ml) was added to a solution of [Ta(NMe2)3(N-t-Bu)] (1.55 g, 4.03 mmol) dissolved in hexane (40 ml). The temperature of the solution increased to about 45 °C during addition, no color change was observed. After stirring the mixture for 24 hours, the solvent was removed in vacuo. Small, white crystallites, suitable for X-ray analysis were obtained by recrystallization the crude product from toluene. m.p. 210 °C (uncorrected).

Yield: 2.73 g (3.43 mmol, 85 % based on [Ta(NMe2)3(N-t-Bu)]). C36H71N8Ta (796.96): calcd.

C 45.27, H 8.71, N 17.60; found: C 45.22, H 9.00, N 17.83 %. δH (25 °C, 250 MHz, C6D6)

1.30-2.10 (m, cyclohexyl), 1.58 (s, 9 H, Ta{NC(CH3)3}), 2.58, 2.62 (2 x s, 2 x 6 H, Ta{(N- cy)2C(N(CH3)2)}), 3.2-3.7 (m, 4 H, cyclohexyl, N-C-H) 3.59 (s, 3 H, Ta-N(CH3)2), 4.20 (s, 3

H, Ta-N(CH3)2). δC (25 °C, 62.5 MHz, C6D6) 26.2, 26.5, 26.6 (2x), 26.7 (3x), 27.1 (2x), 27.2,

27.4(2x) (cyclohexyl, 3,4-position), 35.2 (Ta{NC(CH3)3}), 34.3, 34.5, 35.2, 36.3, 36.6, 37.0,

37.1, 37.5 (cyclohexyl, 2-position), 40.3 (Ta{(N-cy)2C(N(CH3)2)}), 49.1, 59.3

(Ta{N(CH3)2}), 55.1, 56.0, 57.3, 57.5 (cyclohexyl, N-C), 63.6 (Ta{NC(CH3)3}), 164.8, 169.3 + + (Ta{(N-cy)2C(NMe2)}). EI-MS (70 eV): m/z 796 (M , 0.5 %), 590 (M –cy-cdi, 15), 206 (cy-

173 Chapter 10 Experimental

+ + + + + + cdi , 25), 124 (cy-N=C=N-H , 45), 83 (cy , 80), 55 (C4H7 , 100), 43 (C3H7 , 70), 41 (C3H5 , 75).

2 [Ta(NEtMe){ η -(N-cy)2C(NEtMe)}2(N-t-Bu)] (B6) The synthesis of B6 followed the same procedure as for B5 using [Ta(NEtMe)3(N-t-Bu)] (1.72 g, 4.03 mmol) and dicyclohexylcarbodiimide (1.52 g, 7.83 mmol). m.p. 218 °C (uncorrected). Yield: 2.77 g (3.30 mmol, 82 % based on [Ta(NEtMe)3(N-t-Bu)]). C39H77N8Ta (839.03): calcd. C 53.98, H 9.25,

N 13.36; found: C 53.62, H 9.34, N 13.48 %. δH (25 °C, 250 MHz, C6D6) 0.9-1.1 (3 x q, 3 x 3

H, 2x Ta{(N-cy)2C(N(CH2CH3)Me)} and Ta{N(CH2CH3)Me}), 1.10-2.10 (m, cyclohexyl),

1.57 (s, 9 H, Ta{NC(CH3)3}), 2.62, 2.63, 2.64 (no assignment possible, most probably Ta{(N- cy)2C(N(CH3)Et)}), 2.9-3.7 (m, cyclohexyl, N-C-H, Ta{(N-cy)2C(N(CH2CH3)Me)} and

Ta{N(CH2CH3)Me}), 4.25 (s, 3 H, Ta{NEt(CH3)}), δC (25°C, 62.5 MHz, C6D6) 14.0 (Ta{(N- cy)2C(N(CH2CH3)Me)}), 15.2 (Ta{(N(CH2CH3)Me)}), 35.4 (Ta{N(C(CH3)3)}), 54.5, 54.6

(Ta{N(CH2CH3)Me} and Ta{NEt(CH3)}), 63.8 (Ta{NC(CH3)3}), 166.1 (Ta{(N- cy)2C(NMeEt)}) Peaks that cannot be clearly assigned: 26.0, 26.7, 27.1, 27.3 27.5, 24.4, 35.1, + 36.6., 37.0, 56.5, 57.4. EI-MS (70eV): EI-MS (70 eV): m/z 576 (M – guanidinate-ligand + + + 3 %), 265 (guanidinate-ligand , 10), 206 (cy-cdi , 10), 163 (cy-cdi – C3H7, 37), 124 (cy- + + + + + N=C=N-H , 35), 83 (cy , 67), 55 (C4H7 , 97), 43 (C3H7 , 100), 41 (C3H5 , 97).

2 [Ta(NEt2){η -(N-cy)2C(NEt2)}2(N-t-Bu)] (B7). The synthesis of B7 followed the same procedure as for B5 using [Ta(NEt2)3(N-t-Bu)] (1.89 g, 4.03 mmol) and dicyclohexylcarbodiimide (1.52 g, 7.83 mmol). m.p. 204 °C (uncorrected). Yield: 3.09 g (3.50 mmol, 87 % based on [Ta(NEt2)3(N-t-Bu)]). C42H83N8Ta (881.11): calcd. C 57.25, H 9.49, N

12.72; found: C 57.41, H 10.18, N 11.96 %. δH (25°C, 250 MHz, C6D6) 0.9-1.1 (m, 12 H, 4 of

6 CH3 groups of Ta{(N-cy)2C(N(CH2CH3)2)}and Ta{N(CH2CH3)2}), 1.40, 1.46 (m, 2 of 6

CH3 groups of Ta{(N-cy)2C(N(CH2CH3)2)} and Ta{N(CH2CH3)2}), 1.20-2.20 (m, cyclohexyl), 1.58 (s, 9 H, Ta{NC(CH3)3}), 2.9-3.2 (m, 8 H, Ta{(N-i-Pr)2C(N(CH2CH3)2)}),

3.30-3.70 (m, 4 H, cyclohexyl, N-C-H, and 1H, Ta-N(CH2CH3)), 4.44, 4.66, 5.00 (3 x d of q, 1 J = 6.5, 6.2, 6.3 Hz, 3 x 1 H, Ta-N(CH2CH3)). δC (25 °C, 62.5 MHz, C6D6) 13.5, 14.3, 15.7,

15.9 (Ta{(N-cy)2C(N(CH2CH3)2)} and TaN(CH2CH3)) 26.0, 26.5, 26.6 (2x), 26.7, 26.8 (2x), 26.9, 27.2, 27.3, 27.5, 27.6 (cyclohexyl, 3,4-position), 34.0, 34.5, 34.6, 35.9, 36.4, 37.1, 37.6,

38.7 (cyclohexyl, 2-position), 35.2 ((Ta{NC(CH3)3}), 41.5, 43.0, 43.1 (br), 44.6 (br) (Ta{(N- cy)2C(N(CH2CH3)2)}), 47.5, 58.1 (TaN(CH2CH3), 55.6, 56.2, 57.5, 58.8 (cyclohexyl, N-C), + 64.1 (Ta{NC(CH3)3}), 166.2, 170.2 (Ta{(N-cy)2C(NEt2)}). EI-MS (70 eV): m/z 810 (M -

174 Chapter 10 Experimental

+ + + NEt2, 3 %), 604 (calc. 605) (M -cy-cdi, 3), 206 (cy-cdi , 27), 163 (cy-cdi – C3H7, 48), 124 + + + + + (cy-N=C=N-H , 44), 83 (cy , 81), 55 (C4H7 , 100), 43 (C3H7 , 70), 41 (C3H5 , 71).

2 [Ta(NEt2){η -(N-cy)2C(NEt2)}2(N-n-Pr)] (B8). The synthesis of B8 followed the same procedure as for B5 using [Ta(NEt2)3(N-n-Pr)] (1.83 g, 4.03 mmol) and dicyclohexylcarbodiimide (1.52 g, 7.83 mmol). m.p. 205 °C (uncorrected). Yield: 2.27 g (2.62 mmol, 65 % based on [Ta(NEt2)3(N-n-Pr)]). C41H81N8Ta (866.61): calcd. C 56.80, H 9.41, N 12.92; found: C 56.13, H 10.04, N 13.20 %. Small amounts of unreacted cy-cdi probably caused the deviation of the value for the carbon content. δH (25 °C, 250 MHz, C6D6) 0.97, 0.98, 2 x 1.02, 1.08, 1.09 (6 x t, coupling constants cannot not been determined properly, 18 1 H, Ta(NCH2CH2CH3), 3 x Ta{(N-cy)2C(N(CH2CH3)2)} and 2 x Ta(N(CH2CH3)2)), 1.49 (t, J

= 7.1 Hz, 3 H, Ta{(N-cy)2C(N(CH2CH3)2)}), 1.73 (m, Ta (NCH2CH2CH3)), 1.2-2.3 (m, cyclohexyl), 2.90-3.20 (m, 8 H, Ta{(N-cy)2C(N(CH2CH3)2)}), 3.30-3.80 (m, 5 H, 4 x cyclohexyl (N-C-H) and 1 x Ta(N(CH2CH3)2)), 4.25-4.50 (m, 3 H, 2 x Ta(NCH2CH2CH3) + 1 1 x Ta(N(CH2CH3)2)), 4.62, 4.76 (2 x sextet, J = 5.8, 6.0 Hz, 2 H, Ta(N(CH2CH3)2)). δC (25°C,

62.5 MHz, C6D6) 12.56 (Ta(NCH2CH2CH3)), 13.6, 13.9, 14.90 (br), 15.9, 16.8 (Ta{(N- cy)2C(N(CH2CH3)2 and TaN(CH2CH3)), 26.5, 2 x 26.6, 26.7, 26.8, 26.9, 2 x 27.0, 2 x 27.1,

27.5, 27.6, 28.5 (12 x cyclohexyl (3,4-position), 1 x (Ta(NCH2CH2CH3)), 33.5, 34.8, 35.7, 35.8, 36.6, 2 x 36.7 (cyclohexyl, 2-position), 39.1, 41.5, 42.5, 44.0 (br) (Ta{(N- cy)2C(N(CH2CH3)2)}), 47.5 (1 x TaN(CH2CH3)2), 55.7 55.9, 56.2, 56.9, 58.0, 58.3 (1 x

TaN(CH2CH3)2, 4 x cyclohexyl (N-C)), 62.0 (Ta(NCH2CH2CH3)), 166.6, 170.3 13 (Ta{(N(cy)2C(NEt2)}). Small amounts of free cy-cdi were found in the C-NMR spectrum of + + this compound. EI-MS (70eV): m/z 795 (calc. 794) (M -NEt2, 0.5%), 589 (calc. 588) (M - + + + + guanidinate, 0.5), 206 (cy-N=C=N-cy , 20), 82 (cy , 61), 56 (100), 55 (C4H7 ), 43 (C3H7 , + 60), 41 (C3H5 , 67).

10.8 Synthesis of the guanidinato-containing complexes of niobium (C1-C4)

[Nb(NMe2){(N-i-Pr)2C(NMe2)}2(N-t-Bu)] (C1). Two equivalents of diisopropylcarbo- diimide (4.4 ml, 3.6 g, 28.25 mmol) were added to a solution of [Nb(NMe2)3(N-t-Bu)] (4.0 g, 13.5 mmol) dissolved in hexane (20 ml). The temperature of the solution increased to about 45 °C during addition. After stirring the mixture for 24 hours, the solvent was completely removed in vacuo. The white, crude product was recrystallized from hot toluene. It crystallized in form of white, small crystallites from the colorless, clear solution. Filtration of

175 Chapter 10 Experimental the crystals and drying in vacuo gave a white powder that can be sublimed at 130 °C/0.001 mbar. m.p. 211 °C (uncorrected), Yield 6.38 g (86 %, based on [Nb(NMe2)3(N-t-Bu)]), calcd.

C24H55N8Nb (548.66): calcd. C 52.36, H 11.79, N 20.44; found: C 52.43, H 11.08, N 20.43 %.

δH (25 °C, 250 MHz, C6D6) 1.19, 1.22, 1.28, 1.29, 1.35, 1.36, 1.38, 1.56 (8 x d, 24 H,

Nb{(N(CH(CH3)2))2C(NMe2)}), 1.51 (s, 9 H, Nb{NC(CH3)3}), 2.53, 2.58 (2 x s,12 H,

Nb{(N-i-Pr)2C(N(CH3)2)}), 3.50 (s, 3 H, Nb-N(CH3)), 3.68, 3.70, 3.76, 3.88 (h, 4 x 1 H,

Nb{(N(CH(CH3)2)2C(NMe2)}), 4.05 (s, 3 H, Nb-N(CH3). δC (25 °C, 62.5 MHz, C6D6) 24.5,

24.8, 25.4, 25.9, 26.3, 2 x 26.5, 27.0 (Nb{(N(CH(CH3)2)2C(NMe2)}), 33.5 (Nb{NC(CH3)3}),

40.2 (Nb{(N-i-Pr)2C(N(CH3)2)}), 46.3, 47.3, 47.7, 48.4 (Nb{(N(CH(CH3)2)2C(NMe2)}), 49.6,

59.7 (broad, Nb{N(CH3)2}), 165.9, 169.5 (Nb{(N-i-Pr)2C(NMe2)}). EI-MS (70eV): m/z 548 + + (M , 1 %), 503 (M – HNMe2, 17), 378 (M - (N-i-Pr)2C(NMe2), 100), 170 ((N-i- + + Pr)2C(NMe2) , 5), 111 (30), 43 (i-Pr , 18).

[Nb(NEt2){(N-i-Pr)2C(NEt2)}2(N-t-Bu)] (C2). The preparation of C2 followed the synthetic route of C1. Instead of [Nb(NMe2)3(N-t-Bu)] as the metal containing starting compound,

[Nb(NEt2)3(N-t-Bu)] (1.55 g, 4.18 mmol) was used in the synthesis. 1.28 ml (1.05 g, 8.72 mmol) diisopropylcarbodiimide was added. m.p. 184 °C (uncorrected), yield 2.1 g (79 %, based on [Nb(NEt2)3(N-t-Bu)]). C30H67N8Nb (632.82): calcd. C 56.94, H 10.67, N 17.81; found: C 56.65, H 10.80, N 17.81 %. δH (25 °C, 250 MHz, C6D6) 0.90, 0.94, 1.04, 1.40 (4 x t,

12 H, 2 x Nb{(N-i-Pr)2C(N(CH2CH3)2)}, 2 x Nb{N(CH2CH3)2}), 0.90-1.05 (br, 6 H, Nb{(N- i-Pr)2C(N(CH2CH3)2)}), 1.17, 1.28, 2 x 1.36, 1.43, 1.44, 1.46, 1.64 (8 x d, 24 H,

Nb{(N(CH(CH3)2))2C(NEt2)}), 1.51 (s, 9 H, Nb{NC(CH3)3}), 2.80-3.20 (m, 8 H, Nb{(N-i-

Pr)2C(N(CH2CH3)2)}), 3.29, 4.22, 4.83, 4.96 (4 x d of q, 4 H, Nb-N(CH2CH3)), 3.60-3.90 (m,

4 H, Nb{(N(CH(CH3)2))2C(NMe2)}) δC (25 °C, 62.5 MHz, C6D6) 13.6, 14.4, 15.2, 15.5 (2 x

Nb{(N-i-Pr)2C(N(CH2CH3)2)}, 2 x Nb{N(CH2CH3)2}), 24.4, 24.5, 24.9, 25.7, 26.2, 26.9,

27.0, 28.3 (Nb{(N(CH(CH3)2))2C(NEt2)}), 33.5 (Nb{NC(CH3)3}), 41.5, 42.7 (Nb{(N-i-

Pr)2C(N(CH2CH3)2)}), 46.8, 47.1, 48.2, 48.7 (Nb{(N(CH(CH3)2))2C(NEt2)}), 48.3, 59.2 (br,

Nb{N(CH2CH3)2}), 166.8, 170.1 (Nb{(N-i-Pr)C(NEt2)}). EI-MS (70 eV): m/z 561 (calcd. + + + + 560) (M -NEt2, 6 %), 434 (M - guanidinate, 6), 127 (126) (i-Pr-cdi , 6), 59 (58) (HN-i-Pr , 100), 44 (43) (i-Pr+, 57).

[Nb(NMe2){(N-cy)2C(NMe2)}2(N-t-Bu)] (C3). Two equivalents of diicyclohexyl- carbodiimide (1.50 g, 7.1 mmol), dissolved in hexane (20 ml) were added to a solution of

[Nb(NMe2)3(N-t-Bu)] (1.00 g, 3.37 mmol) dissolved in hexane (20 ml). After stirring the

176 Chapter 10 Experimental mixture for 24 hours, the solvent was removed in vacuo to yield a yellow, microcristalline powder. m.p. 210 °C (uncorrected), Yield 1.96 g (2.76 mmol, 82 % (based on [Nb(NMe2)3(N- t-Bu)]). C36H71N8Nb (708.11): C 60.99, H 10.10, N 15.80. found: C 59.63, H 9.93, N 15.97 %. Small amounts of unreacted cy-cdi probably caused the deviation of the value for the carbon content. δH (25 °C, 250 MHz, C6D6) 1.30-2.10 (m, cyclohexyl), 1.54 (s, 9 H,

Nb{NC(CH3)3}), 2.61, 2.64 (2 x s,12 H, Nb{(N-cy)2C(N(CH3)2)}), 3.1-3.5 (m, 4 H, cyclohexyl, N-C-H) 3.54 (s, 3 H, Nb-N(CH3)2), 4.06 (s, 3 H, Nb-N(CH3)2). δC (25 °C, 62.5

MHz, C6D6) 26.3, 26.6, 2 x 26.7, 3 x 26.8, 27.1, 2 x 27.2, 2 x 27.4 (cyclohexyl, 3- and 4- position), 33.7 (Nb{NC(CH3)3}), 34.6, 34.7, 35.3, 36.7, 37.0, 37.2, 37.3, 37.6 (cyclohexyl, 2- position), 40.4 (Nb{(N-cy)2C(N(CH3)2)}), 49.8, 59.9 (broad, Nb{N(CH3)2}), 55.4, 56.2, 57.6, + 57.7 (cyclohexyl, N-C), 165.6, 169.5 (Nb{(N-cy)2C(NMe2)}). EI-MS (70 eV) m/z: 665 (M - + + + NMe2 2 %), 458 (M - guanidinate, 8), 206 ( cy-cdi, 38), 163 (cy-cdi -C3H7 ,59) 124 (cy-cdi + + + + -cy, 33), 83 (cy 65), 55 (C4H7 100), 43 (C3H7 , 72), 41 (C3H5 , 62).

[Nb(NEt2){(N-cy)2C(NEt2)}2(N-t-Bu)] (C4). The synthesis of C4 followed exactly the same procedure as for C3. Instead of [Nb(NMe2)3(N-t-Bu)] as the metal containing starting compound, [Nb(NEt2)3(N-t-Bu)] (1.55 g, 4.18 mmol) was used in the synthesis. 1.55 g (7.98 mmol) dicyclohexylcarbodiimide was added. m.p. 197 °C (uncorrected), Yield 72 % (3.02 mmol, 2.4g) based on [Nb(NEt2)3(N-t-Bu)]). C42H83N8Nb (792.27): C 63.61, H 10.55, N

14.13; found: C 63.44, H 10.87, N 14.43 %. δH (25 °C, 250 MHz, C6D6) 0.9-1.1 (m, 12 H, 4 of

6 CH3 groups of Nb{(N-cy)2C(N(CH2CH3)2)} and Nb{N(CH2CH3)2}), 1.20-2.20 (m, cyclohexyl and the remaining two triplets of Nb{(N-cy)2C(N(CH2CH3)2)}), 1.55 (s, 9 H,

Nb{NC(CH3)3}), 2.9-3.2 (m, 8 H, Nb{(N-i-Pr)2C(N(CH2CH3)2)}), 3.2-3.50 (m, 4 H, cyclohexyl, N-C-H, and 1H, Nb-N(CH2CH3)), 4.27, 4.88, 4.91 (3 x d of q, 3 H, Nb-

N(CH2CH3)). δC (25 °C, 62.5 MHz, C6D6) 13.6, 14.4, 15.4, 15.6 (Nb{(N- cy)2C(N(CH2CH3)2)} and NbN(CH2CH3)) 26.1, 2 x 26.6, 26.7, 26.8, 3 x 26.9, 27.2, 27.3,

27.4, 27.6 (cyclohexyl, 3- and 4-position), 33.7 ((Nb{NC(CH3)3}), 34.3, 34.7, 34.8, 36.2,

36.6, 37.2, 37.7, 38.8 (cyclohexyl, 2-position), 41.7, 43.2 (Nb{(N-cy)2C(N(CH2CH3)2)}),

48.6, 59.2 (NbN(CH2CH3), 55.9, 56.3, 57.6, 58.9 (cyclohexyl, N-C), 166.8, 170.2 (Nb{(N- + cy)2C(NEt2)}). EI-MS (70 eV): m/z 514 (M - guanidinate, 11 %), 279 (calcd. 278) + + + + (guanidinate , 10), 206 (cy-cdi , 32), 163 (cy-cdi – C3H7, 52), 124 (cy-N=C=N-H , 45), 83 + + + + (cy , 83), 55 (C4H7 , 100), 43 (C3H7 , 85), 41 (C3H5 , 75).

177 Chapter 10 Experimental

10.9 Crystallographic data

In this section, the crystallographic data of the following compounds are presented (see below). For the data that was already published, the CCDC - number is given. The labeling of the structures that can be found in the CCDC database (Cambridge Crystallographic Data Centre) do not necessarily match the labeling in this work.

S3’ not yet registered S8 not yet registered A1 CCDC: 282096 A4 CCDC: 282097 A5 not yet registered B1 CCDC: 266742 B2 CCDC: 611058 B3 CCDC: 611059 B4 CCDC: 611062 B5 CCDC: 611060 B7 CCDC: 611061 C1 not yet registered C2 not yet registered

178 Chapter 10 Experimental

10.9.1 Crystallographic data of S3’ and S8

Table 27. Crystallographic data of S3’ and S8. S3’ S8

Empirical formula C42Cl3H54N6Nb2O C10H27N4Nb Formula mass 986.53 296.27 T [K] 111(2) 111(2) Crystal system monoclinic trigonal

Space group P21/c R(-3) a [Ǻ] 16.547(6) 11.208(19) b [Ǻ] 15.358(15) 11.208(19) c [Ǻ] 21.044(18) 21.16(2) α [°] 90 90 β [°] 120.13(5) 90 γ [°] 90 120 V [Ǻ3] 4625(6) 2302(6) Z 4 6 Density (calcd.) [g cm-3] 1.417 1.282 μ(Mo-Kα) [mm-1] 0.764 0.766

Tmax./Tmin. 0.957/0.899 0.930/0.853 F(000) 2023 936 Crystal size [mm] 0.09 x 0.06 x 0.02 0.12 x 0.08 x 0.05 θ range [°] 2.95 – 25.08 3.64 – 25.99 Completeness to θ [%] 99.5 99.6 -19≤h≤15 -13≤h≤13 Index ranges -18≤k≤17 -13≤k≤13 -25≤l≤24 -26≤l≤25 Reflections collected 37047 10657 Independent reflections 8186 1006 Data/restraints/parameters 8186/7/491 1006/0/60 Goodness-of-fit on F2 1.193 1.124

R indices [I > 2σ(I)] R1 0.0898 0.0338

wR2 0.1699 0.0749

R indices (all data) R1 0.1264 0.0420

wR2 0.1884 0.0786 Largest diff. peak/hole [e Ǻ-3] 3.895/-1.063 0.634/-0.851

179 Chapter 10 Experimental

10.9.2 Crystallographic data of A1, A4 and A5

Table 28. Crystallographic data of A1, A4 and A5. A1 A4 A5

Empirical formula C13H36N4SiTa C10H20LiN3Si C14H44N14O1Ta2 Formula mass 636.71 217.32 786.53 T [K] 105(2) 203(2) 108(2) Crystal system monoclinic monoclinic triclinic

Space group P21/c P21/c P-1 a [Ǻ] 9.3189(7) 9.063(7) 11.4714(9) b [Ǻ] 33.5381(18) 19.277(8) 11.9075(7) c [Ǻ] 13.6538(4) 8.008(3) 12.5753(9) α [°] 90 90 86.575(5) β [°] 102.595(6) 105.113(10) 65.631(7) γ [°] 90 90 66.801(6) V [Ǻ3] 4164.6(5) 1364.0(10) 1427.28(17) Z 8 4 2 Density (calcd.) [g cm-3] 1.504 1.058 1.83017 μ(Mo-Kα) [mm-1] 5.366 0.146 7.692 F(000) 1888 472 760 Crystal size [mm] 0.20 x 0.15 x 0.08 0.4 x 0.35 x 0.25 not determined θ range [°] 3.03 – 27.56 2.33 - 25.07 3.48 – 27.50 Completeness to θ [%] 94.9 99.4 98.2 -11≤h≤11 -10≤h≤10 -14≤h≤14 Index ranges -43≤k≤43 -11≤k≤22 -15≤k≤15 -17≤l≤17 -9≤l≤9 -16≤l≤16 Reflections collected 32885 7796 26558 Independent reflections 9121 2408 6490 Data/restraints/parameters 9121/0/385 2408/0/155 6490/18/269 Goodness-of-fit on F2 1.104 1.019 1.154

R indices [I > 2σ(I)] R1 0.0667 0.0511 0.0478

wR2 0.1155 0.1135 0.1177

R indices (all data) R1 0.0860 0.0988 0.0613

wR2 0.1213 0.1315 0.1238 Largest diff. peak/hole [e Ǻ-3] 3.819/-2.136 0.235/-0.320 6.637/-2.825

180 Chapter 10 Experimental

10.9.3 Crystallographic data of B1-B5 and B7

Table 29. Crystallographic data of B1-B3. B1 B2 B3

Empirical formula C24H55N8Ta C27H61N8Ta C30H67N8Ta Formula mass 636.71.79 678.79 720.87 T [K] 105(2) 108(2) 105(2) Crystal system orthorhombic orthorhombic orthorhombic

Space group Pbca Pbca Pbca a [Ǻ] 9.5129(11) 10.7124(7) 11.4691(11) b [Ǻ] 18.099(2) 18.2835(10) 18.1889(18) c [Ǻ] 34.801(4) 34.0026(18) 34.854(3) α [°] 90 90 90 β [°] 90 90 90 γ [°] 90 90 90 V [Ǻ3] 5991.8(12) 6659.8(7) 7270.8(12) Z 8 8 8 Density (calcd.) [g cm-3] 1.412 1.354 1.317 μ(Mo-Kα) [mm-1] 3.694 3.328 3.053

Tmax./Tmin. 0.603/0.497 0.967/0.787 0.643/0.589 F(000) 2624 2816 3008 Crystal size [mm] 0.13 x 0.09 x 0.02 0.21 x 0.06 x 0.01 0.16 x 0.10 x 0.07 θ range [°] 3.16 - 25.31 2.99 - 25.00 2.92 – 25.00 Completeness to θ [%] 99.8 99.8 98.2 -11≤h≤11 -12≤h≤12 -13≤h≤13 Index ranges -21≤k≤21 -21≤k≤19 -21≤k≤21 -41≤l≤41 -40≤l≤40 -39≤l≤40 Reflections collected 92622 48057 48479 Independent reflections 5460 5852 6292 Data/restraints/parameters 5460/0/315 5852/0/325 6292/0/369 Goodness-of-fit on F2 1.242 1.393 1.058

R indices [I > 2σ(I)] R1 0.0455 0.0869 0.0707

wR2 0.0707 0.1086 0.1447

R indices (all data) R1 0.0686 0.1041 0.1197

wR2 0.0759 0.1138 0.1663 Largest diff. peak/hole [e Ǻ-3] 3.142/-1.103 2.699/-1.589 4.998/-1.103

181 Chapter 10 Experimental

Table 30. Crystallographic data of B4, B5 and B7. B4 (CVD99) B5 (CVD101) B7 (CVD76)

Empirical formula C29H65N8Ta C36H71N8Ta C42H83N8Ta Formula mass 706.84 796.96 881.11 T [K] 111(2) 113(2) 108(2) Crystal system monoclinic triclinic monoclinic

Space group P2(1)/n P-1 P2(1)/c a [Ǻ] 11.0993(12) 10.1139(9) 14.4945(9) b [Ǻ] 19-395(4) 16.8089(14) 17.4746(8) c [Ǻ] 15.960(2) 23.796(2) 21.6152(14) α [°] 90 80.815(7) 90 β [°] 91.702(9) 88.860(7) 98.182(5) γ [°] 90 78.771(7) 90 V [Ǻ3] 3434.2(9) 3916.8(6) 5045.2(5) Z 4 4 4 Density (calcd.) [g cm-3] 1.367 1.351 1.160 μ(Mo-Kα) [mm-1] 3.230 2.841 2.211

Tmax./Tmin. 0.529/0.298 0.833/0.465 0.733/0.556 F(000) 1472 1664 1856 Crystal size [mm] 0.25 x 0.17 x 0.11 0.18 x 0.08 x 0.03 0.16 x 0.11 x 0.07 θ range [°] 2.76 – 25.00 2.60 – 25.00 3.01 – 27.56 Completeness to θ [%] 99.8 99.7 99.9 -13≤h≤13 -12≤h≤12 -17≤h≤15 Index ranges -23≤k≤23 -19≤k≤19 -22≤k≤19 -18≤l≤18 -28≤l≤27 -28≤l≤28 Reflections collected 42514 53636 50240 Independent reflections 6019 13711 11646 Data/restraints/parameters 6019/0/358 13711/6/811 11646/0/460 Goodness-of-fit on F2 1.097 1.229 1.113

R indices [I > 2σ(I)] R1 0.0406 0.0662 0.0562

wR2 0.0977 0.0960 0.1119

R indices (all data) R1 0.0440 0.0861 0.0797

wR2 0.1000 0.1016 0.1221 Largest diff. peak/hole [e Ǻ-3] 2.954/-1.558 1.771/-0.725 3.882/-1.493

182 Chapter 10 Experimental

Figure 92. Molecular structures of B1 and B2 in the solid state.

183 Chapter 10 Experimental

Figure 93. Molecular structures of B3 and B4 in the solid state.

184 Chapter 10 Experimental

Figure 94. Molecular structures of B5 and B7 in the solid state.

185 Chapter 10 Experimental

10.9.4 Crystallographic data of C1 and C2

Table 31. Crystallographic data of C1 and C2. C1 C2

Empirical formula C24H55N8Nb C30H67N8Nb Formula mass 548.67 632.83 T [K] 108(2) 108(2) Crystal system monoclinic orthorhombic

Space group P21/c Pbca a [Ǻ] 19.7356(8) 11.4815(9) b [Ǻ] 18.2221(4) 18.2528(18) c [Ǻ] 18.3713(8) 34.814(3) α [°] 90 90 β [°] 113.094(4) 90 γ [°] 90 90 V [Ǻ3] 6077.3(4) 7295.9(11) Z 8 8 Density (calcd.) [g cm-3] 1.199 1.152 μ(Mo-Kα) [mm-1] 0.421 0.359

Tmax./Tmin. 0.943/0.913 0.976/0.931 F(000) 2368 2752 Crystal size [mm] 0.14 x 0.10 x 0.06 0.14 x 0.08 x 0.02 θ range [°] 2.66 – 27.50 3.08 – 25.00 Completeness to θ [%] 99.7 99.8 -24≤h≤25 -13≤h≤13 Index ranges -19≤k≤23 -21≤k≤21 -23≤l≤23 -39≤l≤41 Reflections collected 61092 56871 Independent reflections 13962 6417

Rint 0.0512 0.1846 Data/restraints/parameters 13962/0/629 6417/0/352 Goodness-of-fit on F2 1.034 1.211

R indices [I > 2σ(I)] R1 0.0375 0.0964

wR2 0.0814 0.01305

R indices (all data) R1 0.0604 0.1845

wR2 0.0915 0.1584 Largest diff. peak/hole [e Ǻ-3] 1.966/-0.487 0.634/-0.851

186 Appendix

Appendix

A Content lists of figures and tables A-1 List of figures A-2 List of tables

B Own publications and contributions to conferences B-1 Publications B-2 Oral presentations B-3 Poster presentations B-4 Additional publications (not based on results from this PhD thesis)

C Curriculum vitae

D References

187 Appendix

A Content lists of figures and tables

A-1 List of figures

Figure 1. Trends in the number of new patents for NbN and TaN materials. Left: Number of new patents per year; Right: Number of patents accumulated...... 2 Figure 2. Structures of a) the cubic δ-TaN and b) the hexagonal δ-TaN (view from the top)...... 5 Figure 3. Reported niobium nitride phases, arranged by increasing nitrogen content from left to right...... 6 Figure 4. Changes in the MOSFET-structure. Replacement of aluminium by copper and the introduction of a TaN-diffusion barrier. Furthermore, replacement of polycrystalline Si by TaN as gate electrode material...... 9 Figure 5. Reactive sputtering of TaN. Nitrogen (blue) is incorporated into the TaN-film (blue-yellow)...... 12 Figure 6. a) Scheme of the reactions involved in a CVD process; b) Arrhenius plot of the growth rate as function of the inverse substrate temperature (1/T). Three regimes can be identified. 1: growth, controlled by surface reactions; 2: growth, controlled by diffusion; 3: depletion of the precursor in the diffusion zone above the substrate...... 14 Figure 7. Idealized ALD process showing one cycle of deposition. In reality, less than one monolayer is formed and normally undesired thermal decomposition of the precursor occurs...... 17 Figure 8. Thermal decomposition of PDEAT during distillation...... 21 Figure 10. MO-Precursors that have been tested for the MOCVD of NbNx thin films...... 29

Figure 11. Proposed reactions for the ALD of TaNx using a) TaCl5 and ammonia or b) ammonia and gaseous zinc...... 30 Figure 12. Synthetic routes for S1-S3, which are the intermediate compounds for the synthesis of S4-S9...... 34 Figure 13. Synthetic route for S4-S9. The second step of the two-step synthesis is shown. 35

Figure 14. Molecular structure of S8 in the solid state. The C3-rotation axis goes along the C(3)-N(2)-Nb(1) axis...... 37 Figure 15. Molecular structure of S3 and S3’ in the solid state. The structure of S3 has already been reported. The carbon atoms of the pyridine ligands of S3’ are omitted for reasons of clarity...... 38 Figure 16. Left: Weight loss of compounds S5, S7 and S9 in µg per 5 seconds as a function of temperature (heating rate: 5 °C/min). The sudden increase in weight loss marks the beginning of thermal decomposition. In the case of S4, S6 and S8, this step cannot be observed (right side)...... 40 Figure 17. Complete TG/DTA analysis of the two congeners S4 (a) and S8 (b). Comparison of the TG-curves of the pairs S4 / S8 (c) and S6 / S9 (d)...... 41 Figure 18. The two ways for the synthesis of Htdmh. I: Involvement of MeLi is required (W. L. Gladfelter); II: Straightforward synthesis via liberation of HCl and consequent formation of a hydrazonium salt as an insoluble byproduct...... 42

188 Appendix

Figure 19. Ligands used for the synthesis of precursors mentioned either in this work or in closely related publications...... 45 Figure 20. Reaction equations for the formation of A1-A3 by ligand exchange reactions between S1-S3 and Htdmh...... 47

Figure 21. 1H-NMR spectra of A1 and A2 (25°C, C6D6, 250 MHz)...... 49 Figure 22. Molecular structure of A1 in the solid state. Hydrogen atoms are omitted for reasons of clarity...... 50 Figure 23. TG/DTA spectra of A1-A3, recorded at a heat rate of 5 °C/min and 300 sccm nitrogen flow. TG spectrum of A1-A3 and TBTDET for comparison (bottom right)...... 51 Figure 24. Reaction pathway of the decomposition reaction of TBTDET, induced by Hdmh...... 52 Figure 25. Decomposition reaction of TBTDET with Hdmh. Ratio of TBTDET (left), the intermediate compound (middle) and HNEt2 (right) to C6D6 (=1) as a function of reaction time. The ratios were determined by comparing the integrals of the C6D6 peaks with the triplets of the NEt2-groups of the three compounds...... 53 Figure 26. Proton NMR-spectra of the reaction of TBTDET and one equivalent of Hdmh after 20 min, 70 min, 700 min and 30 hours. The peaks for TBTDET, the intermediate compound, free Hdmh and HNEt2 are marked...... 53 Figure 27. Molecular structure of A5 in the solid state (atoms are labeled)...... 55 Figure 28. Bond distances and bond angles of A5 in the solid state (deviations are omitted for reasons of clarity)...... 55 Figure 29. ChemDraw-scheme of A5, including the bond orders of the Ta-O and Ta-N bonds...... 56 Figure 30. Molecular structure of A4 in the solid state. Hydrogen atoms are omitted for reasons of clarity...... 59 Figure 31. Ionic and neutral representatives of guanidines. A large variety of derivatives is known (R = H, Me, i-Pr, cyclohexyl, t-Bu, phenyl). In this work, the monoanionic guanidinate ligand was used...... 61 Figure 32. The two resonance forms of a monoanionic guanidinate ligand...... 61 Figure 33. Synthetic route for guanidinate complexes by salt metathesis reactions...... 63 Figure 34. Mechanism for the insertion of a carbodiimide derivative into a metal amido- bond (R1, R2, R3 = alkyl-groups)...... 63 Figure 35. Reactions of carbodiimides with S4-S7 (S1: R1, R2 = Me, R4 = t-Bu; S2: R1 = Me, R2 = Et, R4 = t-Bu; S3: R1, R2 = Et, R4 = t-Bu; S4: R1, R2 = Et, R4 = n-Pr).66 Figure 36. The two carbodiimides of type a feature an α-hydrogen, thus enabling the nitrogen to coordinate to the Ta-center. In the structure type b (t-Bu-, SiMe3-cdi) steric hindrance, evoked by the methyl groups at the substituents of the carbodiimide cannot be avoided by rotation of the substituent...... 67 Figure 37. The two chiral forms of the mixed amido/imido/guanidinato complexes. The specific bonds that show a hindered rotation are marked with arrows...... 69 Figure 38. a) Full 1H-NMR-spectrum of compound B1 and b) an enlarged section of the spectrum. The doublets of the i-Pr-groups are numbered from 1-8...... 70 Figure 39. NMR spectra of B1 at low and high temperatures in different solvents...... 70

189 Appendix

Figure 40. 1H-NMR spectrum of B2...... 71 Figure 41. Proton NMR spectrum of B3. Left side: full spectrum including the assignments of the α-hydrogen atoms. Right side: enlarged section of the spectrum, showing all doublets (D) and triplets (T) of the CH3-groups. Two triplets are not resolved...... 71

Figure 42. Proton NMR spectra for B4 and B8 (RT, C6D6). The α-hydrogen atoms are assigned by the colored dots and squares...... 72 Figure 43. 2D-HMQC spectrum of B3. The proton-NMR data are allocated at the x-axis, while the carbon-NMR data are allocated at the y-axis of the spectrum...... 73 Figure 44. NMR spectrum of the mono-inserted product of the reaction between S5 and one i-Pr-carbodiimide. Addtionally, small amounts of B2 (twofold inserted product) and S5 can be also observed. The peaks for the protons of the CH2-group (Ta- N(Me)CH2CH3) cannot be clearly assigned...... 73 Figure 45. Reaction of the amido/imido complexes S4-S6 (R1, R2 = Me/Et) with one equivalent of i-Pr-cdi. Attempts to crystallize the mono-inserted product lead to the formation of the solid di-substituted complexes...... 74 Figure 46. Crystals of B1 in five-fold magnification. The different colors of particular crystals are generated by polarized light that is refracted at the boundaries within the crystals. These boundaries clearly show that the crystals are not single crystalline, but agglomerates of conjoined smaller crystals...... 75 Figure 47. Comparison of the structural features of the guanidinato ligand in complex X1 and B1. While X1 is clearly present in form of structure type A, the structure of the ligand in complex B1 is in between the two mesomeric forms A and B. The out of plane distortion angles of the C-NMe2 moiety are given (150° and 177°) as well as the twisting angles of the amido moiety versus the endocyclic Ta-N-C- N group (Newman projection)...... 77 Figure 48. Ortep/Povray plots of the structures of B1 and B2. The planes, spanned by Ta(1), N(6), N(5) and C(16) are displayed in both molecules. Substituents, e.g. i-Pr- groups are removed for reasons of clarity...... 80 Figure 49. Molecular structure of B1 in the solid state...... 81 Figure 50. Clarification of the coordination sphere of the six nitrogen atoms around the tantalum center. Left: Ortep/Povray plot of a cutout of B1. Right: The geometrical figure corresponds to a distorted octahedron. The labeling of the nitrogen atoms is consistent for all compounds B1-B8...... 81 Figure 51. Synthesis of the complexes C1-C4. The assignment of R1-R3 can be found in table 11...... 83 Figure 52. Ortep/Povray plot of the molecular structure of C1 in the solid state. The N(6)- Nb(1)-N(5)-C(16) plane is colored in yellow. The distortion of the endocyclic planes (green) and the planes, spanned by the exocyclic amido groups (red) are indicated...... 85 Figure 53. TG/DTA spectra of B4 and B8. The stars indicate the endothermic peaks for a phase change. In addition, the melting point and the onset of decomposition of B4 and B8 are assigned...... 88 Figure 54. TG-DTA spectrum of B1 as a function of time. The heating rate was 5 °C per minute. The absence of the endothermic peak during the second period of

190 Appendix

heating can be clearly observed. No weight loss was observed, i.e. the loss of solvent can be excluded...... 89 Figure 55. Isothermal studies of the compounds B1-B6. Compounds, synthesized by insertion of iso-propyl carbodiimide (B1-B4) are significantly more volatile (higher mass loss), than the cyclohexyl-substituted complexes (B5 and B6)..... 90 Figure 56. Device for testing the decomposition behavior of B1-B3. The bubbler as well as the glas joints were heated by heating tapes, while a quartz glas tube was heated to 600 °C in a tube shaped oven. The length of the tube was 40 cm in order to ensure complete decomposition of the precursor...... 91 Figure 57. 1H-NMR of the decomposition products of B3 at 600 °C, ingested by C6D6. Free diethylamine as well as i-Pr-cdi can be observed. The peaks, marked with a star can probably be assigned to decomposition products, still containing diethyl and iso-propyl groups...... 92 Figure 58. Scheme of the selfbuilt horizontal cold wall reactor (substrate size: 1.4 x 2.0 cm2)...... 95 Figure 59. Scheme of the horizontal cold wall reactor in Erlangen. The reactor is constructed for two 150 mm wafers...... 97 Figure 60. Scheme of the Aixtron 200 RF reactor...... 97 Figure 61. Left: Picture of the Aixtron 200 RF MOCVD reactor. The reactor part in operation is shown in the right picture...... 98 Figure 62. Glass bubblers for a) the Aixtron 200 RF reactor and b) the reactor in Erlangen (large wafer instrument). In order to ensure a minimum filling height, glass pearls can be added to the precursor to reduce the required amount of precursor...... 99 Figure 63. XRD-spectra of films deposited by means of MOCVD using S5 at different evaporation temperatures and a substrate temperature of 600 °C...... 101 Figure 64. a) Cross sectional and b) top view on a section of the sample S5-2. The columnar growth of the crystallites can clearly be seen...... 102 Figure 65. SEM-recordings of the particles on the samples S5-2 and S5-3. Pictures a, b and d show the top of a particle from the top, while c shows a partially dissected particle. One can clearly see the cone-shaped form of the particle (the arrows indicate the growth directions)...... 103

Figure 66. SNMS spectrum of a deposition in the Aixtron 200 RF reactor using S6 and NH3 as precursor and reactive gas, respectively (Tsubstr = 600 °C). The film consists of app. 70-80 nm, deposited during the experiment time and appr. 160 nm deposited during the warm up phase in which condensed precursor in the lines caused the growth...... 105 Figure 67. SEM - picture of a) a cross section and b) an on-top view of sample S6-3’’. The other, thinner samples show no good resolution...... 108

Figure 68. XRD spectra of films deposited at various temperatures using S6 ([Ta(NEt2)3(N- t-Bu)]) in the Aixtron 200 RF reactor. The peaks are slightly broadened at lower substrate temperatures and the peak positions deviate from the peaks expected for bulk TaN...... 109

Figure 69. XRD spectra of films deposited at various NH3-flow rates (Tsubstrate = 650 °C; Precursor: S6; Aixtron 200 RF reactor). Crystallinity of the films does not differ

191 Appendix

significantly at different amounts of ammonia that are added during deposition. 109

Figure 70. a) SNMS-spectrum of sample S6-8 (50 sccm NH3, Tsubstr = 650 °C) and b) the change in film composition as a function of the ammonia flow rate...... 111 Figure 71. Growth rate vs. reactor pressure in experiments using A3 at substrate temperature of 600 °C. The growth rate was determined by the weight gain of the samples and a density, considered to be 13.7 g/cm3...... 116 Figure 72. a) Surface AFM (rms = 1.6 nm) and b) SEM-cross section of a film, deposited at 700 °C using A3 and additional ammonia...... 117 Figure 73. RBS-measurements of samples, deposited without (a, 600 °C) and with (b, 700 °C) additional ammonia...... 117 Figure 74. XRD spectra of depositions using B2. Very high crystallinity is observed at 800 °C. Below 650 °C no peaks can be observed...... 122 Figure 75. Cross sectional SEM-analysis (a,b) and SNMS analysis (c) of a film deposited at 750 °C using B2 as precursor. The high uniformity of the film is displayed in the SEM recordings...... 124 Figure 76. SNMS analysis of a film, deposited using B2 as precursor on the Aixtron 200 FE reactor at 600 °C. It can be assumed that a mixture of Ta3N5 and Ta2O5 was formed...... 126 Figure 77. Energy diagram for an MOS-capacitor structure. The unit consists of p-doped silicon (with constant work-function, ФS and band gap), the insulation oxide and the metal gate material (here: TaN) that can have miscellaneous work functions (ФM)...... 128 Figure 78. a) XRD of films deposited at 600-700 °C using S8. The reflexes are slightly shifted in comparison to the theoretical positions for cubic NbN. This can be caused by the presence of cubic niobium carbide. b) / c) SEM pictures (600 °C, precursor: S8). No particle formation could be observed...... 131

Figure 79. SNMS-analysis of a film using S8 ([Nb(NMe2)3(N-t-Bu)]), deposited at 700 °C. The oxygen concentrations at a sputter depth of 100 and 2750 nm are displayed. 132 Figure 80. SNMS-analyses of niobium nitride films, deposited using C1 at a) 500 °C and b) 600 °C, respectively. The oxygen concentrations at directly below the surface and close to the silicon substrate are marked...... 135 Figure 81. Picture of the ASM F 120 ALD reactor in Bochum...... 136 Figure 82. Scheme of the ASM F 120 reactor. a) The whole tube-system; only three of the six glass tubes are displayed. b) Enlarged scheme of one of the tubes with the inner and outer tube and the precursor boat. c) switching mechanism of the valves...... 137 Figure 83. Schemes of the two reactor types for the ASM F 120 reactor (left: setup I, right: setup II)...... 138 Figure 84. One film, having one of the highest uniformities is shown as an image (left, Pulse(precursor) = 1.2 s; 2000 cycles, 300 sccm N2). The blue sides of the wafer correspond to a film thickness of appr. 80 nm. On the right side, the 3- dimensional thickness profile of the film is displayed...... 141

192 Appendix

Figure 85. Dependence of the uniformity of the films on the variation of certain parameters (precursor S5). The standard deviation and its percentage of the average thickness of the film are listed. A variation of the ammonia flow rate (not displayed) also did not lead to higher uniformity...... 142 Figure 86. 3-dimensional plot of the film thicknesses of a) TaN-3 and b) TaN-2. Film thickness was determined at the white and black spots...... 144 Figure 87. Dependence of the growth rate on the pulse time of the precursor. No saturation takes place...... 145 Figure 88. Reaction scheme depicting the syntheses of the complexes A1-A3 by transamination reactions (one amido group is replaced by one hydrazido ligand) and of the guanidinato complexes B1-B8 and C1-C4 by insertion reactions (two equivalents of carbodiimide are inserted into the metal nitrogen bond of the amido group)...... 148 Figure 90. Scheme for the reflections of the incident X-ray beams at the planes within the crystals and equation 4 (d = dhkl)...... 156 Figure 91. Scheme of a powder diffractometer in Bragg-Brentano geometry...... 157 Figure 92. Molecular structures of B1 and B2 in the solid state...... 183 Figure 93. Molecular structures of B3 and B4 in the solid state...... 184 Figure 94. Molecular structures of B5 and B7 in the solid state...... 185

193 Appendix

A-2 List of tables

Table 1. Phases of tantalum nitride and their structure types. The phases, that have been deposited via chemically based gasphase methods (not necessary the quoted references) are highlighted...... 4 Table 2. Experiments for the ALD of TaN thin films using metal halides...... 30 Table 3. Experiments for the ALD of TaN thin films using metal organic compounds...... 32 Table 4. Experiments for the ALD of TaN thin films using metal organic compounds...... 33 Table 5. Yields of the reactions for the formation of S4-S9 from our experiments, compared to the experiments from previous works. [120-124] ...... 36 Table 6. Thermal parameters of the compounds S4-S9 (n.d. = not determined; n.v. not clearly visible) ...... 39 Table 7. Selected bond lengths and angles of the crystal structure of A1...... 50 Table 8. Overview of the synthesized guanidinato complexes of tantalum...... 67 Table 9. Selected data for the crystal structures of B1-B5 and B7...... 75 Table 10. Selected bond length and bond angles of B1-B5 and B7...... 82 Table 11. Overview of the synthesized guanidinato complexes of niobium...... 83 Table 12. Selected bond length and bond angles of C1 and C2...... 86 Table 13. Thermal parameters of the compounds B1-B8 and C1-C4 (n.v. = not clearly visible). The results for the melting point as well as the onset of decomposition have to be taken with care (large errors are possible)...... 87 Table 14. Compounds that were used for MOCVD experiments including a short summary of the results...... 93 Table 15. Parameters and results of the depositions using S5 as precursor. Film thickness is determined via SEM-measurements or weight gain of the films (in combination with the density of fcc-TaN (13.7 g/cm3)) ...... 100 Table 16. Parameters of the depositions using S6 as precursor in the Aixtron 200 RF reactor. Repeated experiments are marked with an apostrophe. The experiments S6-3 and S6-3’ are listed twice due to their relevance for both experimental rows (bold entries, substrate temperature (first row) and NH3-flow (second row))...... 107 Table 17. Results of the depositions using S6 as precursor in the Aixtron 200 RF reactor. The results for the thicknesses are afflicted with large errors of appr. ± 10 nm. Therefore thicknesses were defined, based on the trend that was concluded from the less precise results of weight gain-, SEM- and SNMS measurements...... 107

Table 18. Results for the depositions of other groups using TBTDET (S6, [Ta(NEt2)3(N-t- Bu)]), TAIMATA ([Ta(NEt2)3(NCMe2Et]) or ([Ta(N(Me)(t-Bu))3(N-t-Bu)]).... 112 Table 19. Composition of the films, deposited using B2 as precursor in two different reactor setups...... 126 Table 20. Results and parameters of the depositions using C1 as precursor in the self-built reactor (n.d. = not determined). Results for one film using B2 as precursor are listed for comparison of the compositions...... 133

194 Appendix

Table 21. Results and parameters of the depositions using trimethylaluminum (TMA) and water (T(substrate) = 300 °C), primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates...... 142 Table 22. Results and parameters of the depositions using S6 and ammonia, primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates (20 nm SiO2); T(precursor) = 55 °C.1500 cycles...... 143 Table 23. Parameters and results of the depositions using S9 and ammonia, primary flow = 700 sccm N2, secondary flow = 100 sccm N2 on Si(100) substrates (20 nm SiO2); T(precursor) = 60 °C. Purge times: 3 s (after precursor pulse) and 10 s (after ammonia pulse). Thickness measurements were performed by ellipsometry...... 144 Table 24. Compounds that were used for MOCVD and ALD including a short summary of the results...... 150 Table 25. Reactions of S6 with Hdmh. The error in the masses is ± 3 mg...... 169

Table 26. Reactions of TaCl5 and [TaCl3(N-t-Bu)py2] with lithiated hydrazine derivatives.170 Table 27. Crystallographic data of S3’ and S8...... 179 Table 28. Crystallographic data of A1, A4 and A5...... 180 Table 29. Crystallographic data of B1-B3...... 181 Table 30. Crystallographic data of B4, B5 and B7...... 182 Table 31. Crystallographic data of C1 and C2...... 186

195 Appendix

B Own publications and contributions to conferences

B-1 Publications

[I] A. Baunemann, M. Lemberger, A. J. Bauer, H. Parala, R. A. Fischer, Chem. Vap. Deposition 2006, DOI: 10.1002/CVDE.200606514. “MOCVD of TaN using the all nitrogen coordinated precursors: [Ta(NEtMe)3(N-t-Bu)], [Ta(NEtMe)(N-t-Bu){C(N-i- Pr)2(NEtMe)}2] and [Ta(NMeEt)2(N-t-Bu){Me2N-N(SiMe3)}].”

[II] A. Baunemann, M. Winter, K. Csapek, C. Gemel, R. A. Fischer, Eur. J. Inorg. Chem. 2006, DOI: 10.1002/ejic.200600653. “Mixed Amido/Imido/Guanidinato complexes of tantalum: Effects of ligand substitution on thermal properties.”

[III] A. Baunemann, Y. Kim, M. Winter, R. A. Fischer, Dalton Trans. 2006, 121. “Mixed hydrazido amido/imido complexes of tantalum, hafnium and zirconium: potential precursors for metal nitride MOCVD.”

[IV] A. Baunemann, D. Rische, A. Milanov, Y. Kim, M. Winter, C. Gemel, R. A. Fischer, Dalton Trans. 2005, 3051. “Tantalum complexes with all nitrogen coordination sphere: mixed amido-, imido-, guanidinato complexes of tantalum and their thermal behaviour.”

[V] A. Baunemann, K. Csapek, M. Winter, C. Gemel, R. A. Fischer, in progress. “Transferring a concept: Mixed amido/imido/guanidinato complexes of Nb as precursors for the MOCVD of niobium nitride.”

[VI] M. Lemberger, A. Baunemann, A. J. Bauer, Proceedings WODIM - Workshop on Dielectrics on Microelectronics 2006, Santa Tecla, Italy 2006, submitted. “Chemical Vapor Deposition of Tantalum Nitride Films for Metal Gate Application using TBTDET and Novel Single-Source MOCVD Precursors.”

B-2 Oral presentations

[I] “Guanidinato complexes of tantalum and niobium: Effects of ligand substitution on thermal properties.” by A. Baunemann, D. Rische, A. Milanov, R. A. Fischer, 231st ACS National Meeting, Atlanta, GA, 26.-30. March 2006.

[II] “From Molecules to Materials - Precursor Chemistry for the ALD and MOCVD of Tantalum Nitride.” by A. Baunemann, Anorganisch Analytisches Kolloquium, January 2006.

B-3 Poster presentations

[I] A. Baunemann, R. A. Fischer, J. Niinistö, M. Puttkonen, L. Niinistö, ALD 2004 conference, Helsinki, Finland, 2004. “Atomic Layer Deposition of Tantalum Nitride Applying a Mixed Amino/Imino Tantalum Precursor.”

196 Appendix

[II] A. Baunemann, Y. Kim, R. A. Fischer, Materials Discussion 7, London, England, 2004. “Mixed imido/hydrazido tantalum complexes as potential precursors for the deposition of TaN thin films via MOCVD.”

[III] A. Baunemann, D. Rische, Y. Kim, H. Parala, A. Bauer, M. Lemberger, R. A. Fischer, EUROCVD 15, Bochum, 2006. “Chemistry of mixed ligand all nitrogen coordinated Ta, Hf and W precursors for metal nitride MOCVD.”

B-4 Additional publications (not based on results from this PhD thesis)

[I] A. Baunemann, M. Hellwig, A. Varade, R. K. Bhakta, M. Winter, S. A. Shivashankar, R. A. Fischer, A. Devi, Dalton Trans. 2006, 3485-3490.

[II] A. Baunemann, R. Thomas, R. Becker, M. Winter, R. A. Fischer, P. Ehrhart, R. Waser, A. Devi, Chem. Commun. 2004, 1610.

[III] Y. Kim, A. Baunemann, H. Parala, A. Devi, R. A. Fischer, Chem. Vap. Dep. 2005, 11, 294-297.

[IV] D. Rische, A. Baunemann, M. Winter, R. A. Fischer, Inorg. Chem. 2006, 45, 269-277.

[V] A. P. Milanov, R. K. Bhakta, A. Baunemann, M. Winter, A. Devi, Inorg. Chem. submitted.

[VI] R. Thomas, E. Rije, P. Ehrhart, R. Bhakta, A. Baunemann, A. Milanov, U. Patil, A. Devi, R. Waser, J. Electrochem. Soc. submitted.

197 Appendix

C Curriculum vitae

Persönliche Angaben

Staatsangehörigkeit: deutsch Geburtsdatum: 23. Juni 1978 Geburtsort: Düsseldorf Familienstand: ledig

Ausbildung/Zivildienst 10/2003 bis 12/2006 Promotion in anorganischer Chemie an der Ruhr-Universität Bochum Thema: „MOCVD und ALD von Tantal- und Niobiumnitrid: Precursorchemische Untersuchungen”

02/2005 Nebenfachprüfung in dem Fach „Finanzierung und Investition“ an der Fakultät für Wirtschaftswissenschaften der Ruhr-Universität Bochum

10/1998 bis 05/2003 Studium der Chemie an der Ruhr-Universität Bochum ƒ Vordiplom (09/2000) ƒ Diplom (05/2003)

11/2002 bis 05/2003 Diplomarbeit in anorganischer Chemie am Lehrstuhl für Anorganische Chemie II der Ruhr-Universität Bochum (verfasst in englischer Sprache) Thema: „Synthesis and the precursor-chemical characterization of organo- metal precursors for gate-oxide materials”

02/2002 bis 06/2002 Auslandssemester an der University of California at Los Angeles, Department of Chemical Engineering

08/1997 bis 09/1998 Zivildienst bei der „Freizeitgemeinschaft e.V. Behinderte und Nichtbehinderte“ in Hilden; Betreuung von behinderten Grundschülern

08/1988 bis 06/1997 Besuch des Dietrich-Bonhoeffer Gymnasiums in Hilden

Stipendien und Preise Promotionsstipendium des Fonds der Chemischen Industrie (04/2004 - 05/2006) Stipendiat der Studienstiftung des deutschen Volkes (03/2001 - 06/2003) E-fellows Internetstipendium (seit 05/2001) Studienabschlussstipendium der Ruth und Gert Massenberg Stiftung Wilke Preis für hervorragende Studienleistungen durch die Ruhr-Universität Bochum

198 Appendix

Zusatzqualifikationen 09/2003 bis 06/2005 Zertifikat „Wirtschaft für Nichtökonomen", ausgestellt von der Ruhr- Universität Bochum und der Industrie- und Handelskammer NRW

ƒ Grundvorlesungen in BWL und VWL ƒ Seminare zu den Themen Recht, Marketing und Personalmanagement 10/2005 bis 02/2006 Teilnahme an der Vorlesung „Business to Business Marketing” von Prof. Dr. Mario Rese (Wirtschaftswissenschaften, Veranstaltung im Hauptstudium)

Praktika/Berufserfahrung 04/2005 bis 06/2005 Praktikum bei der Bayer AG, Leverkusen im Bereich Business Consulting

06/2003 bis 08/2003 Praktikum bei der Firma Celanese AG, Kronberg im Bereich Strategic Planning 09/2002 bis 12/2006 Assistent für Erstsemester-Studierende

10/2001 bis 09/2002 Studentische Hilfskraft am Lehrstuhl für Anorganische Chemie II, Ruhr- Universität Bochum (Erstellung von Praktikumsversuchen)

10/2001 bis 03/2002 Leitung eines Orientierungstutoriums für Studienanfänger

Sprachen Englisch (fließend)

Außeruniversitäre Aktivitäten/Sonstiges 01/2000 bis 10/2006 Aktives Mitglied im Jungchemikerforum Bochum (Sprecher ab 07/2000)

05/2000 bis 05/2003 Mitglied im Fachschaftsrat und in Gremien der Fakultät Chemie (Fakultätsrat, Prüfungsausschuss)

199 Appendix

D References

[01] M. H. Tsai, S. C. Sun, H. T. Chiu, C. E. Tsai, S. H. Chuang, Appl. Phys. Lett. 1995, 67, 1128-1130. [02] The International Technology Roadmap for Semiconductors 2005 Edition - Interconnect, Semiconductor Ind. Assoc., Santa Clara, CA, 2005, 25. [03] H. Rose, Ann. Physik. Chem. 1857, 100, 146-148. [04] A. E. van Arkel, Physica 1924, 4, 286-301. [05] A. E. van Arkel, J. H. De Boer, Z. Anorg. Allg. Chem. 1925, 14, 345-350. [06] Gmelins Handbuch der anorganischen Chemie, Tantal, Teil B1, Vol. 8 (Eds.: K. Swars), Verlag Chemie, Weinheim, 1970, 61–82. [07] Anonymous, Nachr. Chem. Tech. 1969, 17, 281-283. [08] G. Brauer, K. H. Zapp, Z. Anorg. Allgem. Chem. 1954, 277, 129-139. [09] N. Schönberg, Acta Chem. Scand. 1954, 8, 199-203. [10] A. N. Christensen, Acta Chem. Scand., Ser. A 1976, 30, 219-224. [11] G. Brauer, K. H. Zapp, Naturwissenschaften 1953, 40, 604. [12] L. Shi, Z. Yang, L. Chen, Q. Y. Qian, Solid State Comm. 2005, 133, 117. [13] D. Gerstenberg, C. J. Calbick, J. Appl. Phys. 1964, 35, 402-407. [14] G. Brauer, E. Mohr, A. Neuhaus, A. Skokan, Monatsh. Chem. 1972, 103, 794. [15] N. Terao, Jpn. J. Appl. Phys. 1971, 10, 249-259. [16] A. Y. Ganin, L. Kienle, G. V. Vajenine, Eur. J. Inorg. Chem. 2004, 3233. [17] J. Straehle, Z. Anorg. Allg. Chem. 1973, 402, 47-57. [18] C. Stampfl, A. J. Freeman, Phys. Rev. B 2005, 71, 024111. [19] C. Stampfl, A. J. Freeman, Phys. Rev. B 2003, 67, 064108. [20] G. V. Samsonov, T. S. Verkhoglyadova, Dokl. Akad. Nauk SSSR 1962, 142, 608-611. [21] G. V. Samsonov, T. S. Verkhoglyadova, Proc. Acad. Sci. USSR Chem. Sect. 1962, 142-147, 74-76. [22] R. Sanjines, M. Benkahoul, M. Papagno, F. Levy, D. Music, J. Appl. Phys. 2006, 99, 044911. [23] Gmelins Handbuch der anorganischen Chemie, Niob, Teil B1, Vol. 8 (Eds.: R. J. Meyer), Verlag Chemie, Weinheim, 1970, 91–127 [24] G. M. Demyashev, V. R. Tregulov, R. K. Chuzhko, J. Cryst. Growth 1983, 63, 135. [25] G. M. Demyashev, V. R. Tregulov, A. A. Gavrich, J. Cryst. Growth 1988, 87, 33. [26] A. F. Hollemann, E. Wiberg, N. Wiberg, Lehrbuch der Anorganischen Chemie, 101st edition, Walter de Gruyter, Berlin, 1995, 1430. [27] G.-I. Oya, Y. Onodera, J. Appl. Phys. 1974, 45, 1389.

200 Appendix

[28] M. S. Wong, W. D. Sproul, X. Chu, S. A. Barnett, J. Vac. Sci. Technol. A 1993, 11, 1528. [29] X. Yu, Q. Lai, G. Li, J. Xu, M. Gu, J. Mat. Sc. Lett. 2002, 21, 1671-1673. [30] The International Technology Roadmap for Semiconductors 1999 Edition, Semiconductor Ind. Assoc., Santa Clara, CA, 1999, 176. [31] H.-C. Chung, C.-P. Liu, Surf. Coat. Technol. 2006, 200, 3122. [32] D. Adams, G. F. Malgas, N. D. Theodore, R. Gregory, H. C. Kim, E. Misra, T. L. Alford, J. W. Mayer, J. Vac. Sci. Technol. B 2004, 22, 2345. [33] D.R. Lide in Chemical Rubber Company handbook of chemistry and physics, 79th ed. (Eds.: R. Boca), CRC Press, Florida, 1998. [34] A. E. Kaloyeros, E. Eisenbraun, Annu. Rev. Mat. Chem. 2000, 30, 363. [35] N. A. Gjostein in Diffusion in metals, Am. Soc. Met., Westerville, 1973. [36] J. S. Becker, S. Suh, S. Wang, R. G. Gordon, Chem. Mater. 2003, 15, 2969. [37] B. H. Lee, K. Yong, J. Vac. Sci. Technol. B 2004, 22, 2375. [38] J. S. Becker, R. G. Gordon, Appl. Phys. Lett. 2003, 82, 2239. [39] P. Ho, R. Rajagopalan, H. Chong, H. Chung, J. Yu, Semicond. Internat. 2004, 61. [40] L. Peters, Semicond. Internat. 2003, 26, 50. [41] S.-J. Im, S.-H. Kim, K.-C. Park, S.-L. Cho, K.-B. Kim, Mat. Res. Soc. Symp. Proc. 2000, 612, D6.7.1. [42] H.-L. Park, K.-M. Byun, W.-J. Lee, Jpn. J. Appl. Phys. 2002, 41, 6153. [43] T. Hara, Y. Yoshida, H. Toida, Electrochem. Solid-State Lett. 2002, 5, G36. [44] R. Huebner, M. Hecker, N. Mattern, V. Hoffmann, K. Wetzig, H. Heuer, C. Wenzel, H.-J. Engelmann, D. Gehre, E. Zschech, Thin Solid Films 2006, 500, 259. [45] Y.-S. Suh, G. P. Heuss, V. Misra, D.-G. Park, K.-Y. Lim, J. Electrochem. Soc. 2003, 150, F79. [46] C. E. Ramberg, E. Blanquet, M. Pons, C. Bernard, R. Madar, Microelectron. Eng. 2000, 50, 357. [47] G. D. Wilk, R. M. Wallace, J. M. Anthony, J. Appl. Phys. 2001, 89, 5243. [48] S. G. Park, Y. K. Lee, S. B. Kang, H. S. Jung, S. J. Doh, J.-H. Lee, J. H. Choi, G. H. Kim, G. H. Choi, U. I. Chung, J. T. Moon, Electron Device Meeting 2003, Technical Digest, IEEE Intern. 2003, 13.6.1-13.6.4. [49] W. P. Bai, N. Lu, A. Ritenour, M. L. Lee, D. A. Antoniadis, D.-L. Kwong, IEEE Electr. Dev. Lett. 2006, 27. [50] I. De, D. Johri, A. Srivastava, C. M. Osburn, Solid-State Electron. 2000, 44, 1077. [51] M. Kadoshima, K. Akiyama, K. Yamamoto, H. Fujiwara, T. Yasuda, A. Toriumi, J. Vac. Sci. Technol. B 2005, 23, 42. [52] K.-L. Fang, B.-Y. Tsui, J. Appl. Phys. 2003, 93, 5546.

201 Appendix

[53] J. K. Schaeffer, S. B. Samavedam, D. C. Gilmer, V. Dhandapani, P. J. Tobin, J. Mogab, B.-Y. Nguyen, J. B. E. White, S. Dakshina-Murthy, R. S. Rai, Z.-X. Jiang, R. Martin, M. V. Raymond, M. Zavala, L. B. La, J. A. Smith, R. Garcia, D. Roan, M. Kottke, R. B. Gregory, J. Vac. Sci. Technol. B 2003, 21, 11. [54] Y. Gotoh, H. Tsuji, J. Ishikawa, J. Vac. Sci. Technol. B 2003, 21, 1607. [55] C. Ren, H. Y. Yu, X. P. Wang, H. H. H. Ma, D. S. H. Chan, M.-F. Li, Y.-C. Yeo, C. H. Tung, N. Balasubramanian, A. C. H. Huan, J. S. Pan, D.-L. Kwong, IEEE Electr. Dev. Lett. 2005, 26, 75. [56] C.-L. Cheng, K.-S. Chang-Liao, T.-C. Wang, T.-K. Wang, H. C.-H. Wang, IEEE Electr. Dev. Lett. 2006, 27, 148. [57] D. R. Lide (Ed.), CRC Handbook of Chemistry and Physics, 82nd ed., CRC Press LCC, 2001-2002, 12. [58] B. D. Josephson, Rev. Mod. Phys. 1974, 46, 251-254. [59] B. Abdo, E. Segev, O. Shtempluck, E. Buks, Phys. Rev. B 2006, 73, 134513. [60] V. E. Shaternik, S. Y. Larkin, T. A. Khachaturova, Physica C. 2006, 435, 96. [61] A. Kawakami, R. Terajima, S. Imai, Z. Wang, IEEE Trans. Appl. Superconductivity 2005, 15. [62] A. Ishikawa, T. Takata, J. N. Kondo, M. Hara, K. Domen, J. Phys. Chem. B 2004, 108, 11049. [63] H.-J. Cho, D.-G. Park, I.-S. Yeo, J.-S. Roh, S. W. Park, Jpn. J. Appl. Phys. 2001, 40, 2814. [64] H.-T. Chiu, C.-N. Wang, S.-H. Chuang, Chem. Vap. Deposition 2000, 6, 223. [65] R. F. Cava, W. F. Peck Jr., J. J. Krajewski, Nature 1995, 377, 215. [66] C.-A. Jong, T. S. Chin, Mat. Chem. Phys. 2002, 74, 201. [67] K. J. Lee, S. H. Yang, USP 20010005612, 2001. [68] K.-C. Joo, USP 20030100162, 2003. [69] Y. Shacham-Diamond, J. Electron. Mater. 2001, 30, 336. [70] H. Shen, R. Ramanathan, Microelectr. Engineering 2006, 83, 206. [71] C.-S. Shin, Y.-W. Kim, D. Gall, J. E. Greene, I. Petrov, Thin Solid Films 2002, 402, 172. [72] K. Shepherd, J. Kelber, Appl. Surf. Sci. 1999, 151, 287. [73] N. Li, D. N. Ruzic, R. A. Powell, J. Vac. Sci. Technol. B 2004, 22, 2734. [74] Chemical Vapor Deposition – Principles and Applications (Eds.: M. L. Hitchman, K. F. Jensen), Academic Press Limited, London, 1993. [75] A. Wohlfahrt, PhD-thesis, Ruhr-University Bochum, 2002. [76] A. Sherman in Chemical Vapor Deposition for Microelectronics (Eds. R. F. Bunshah, G. E. McGuire), Noyes Publications, Park Ridge, 1987. [77] T. Suntola, J. Antson, USP 4058430, 1977.

202 Appendix

[78] T. Suntola, Mat. Sci. Rep. 1989, 4, 261. [79] M. Leskela, M. Ritala, Angew. Chem. Int. Ed. 2003, 42, 5548. [80] M. Ritala, M. Leskelä, in Handbook of Thin Film Materials, (Eds. H. S. Nalwa), Academic Press, New York, 2002, Vol. 1, 103-159. [81] R. L. Puurunen, Chem. Vap. Deposition 2003, 9, 249. [82] A. Furuya, H. Tsuda, S. Ogawa, J. Vac. Sci. Technol. B 2005, 23, 979. [83] K. Hieber, Thin Solid Films 1974, 24, 157. [84] A. Y. Ganin, L. Kienle, G. V. Vajenine, Eur. J. Inorg. Chem. 2004, 3233. [85] A. C. Newport, J. E. Bleau, C. J. Carmalt, I. P. Parkin, S. A. O'Neill, J. Mater. Chem. 2004, 14, 3333. [86] T. Takahashi, H. Itoh, T. Yamaguchi, J. Cryst. Growth 1979, 46, 69. [87] A. E. Kaloyeros, X. Chen, T. Stark, K. Kumar, S.-C. Seo, G. G. Peterson, H. L. Frisch, B. Arkles, J. Sullivan, J. Electrochem. Soc. 1999, 146, 170. [88] X. Chen, H. L. Frisch, A. E. Kaloyeros, J. Vac. Sci. Technol. B 1999, 17, 182. [89] D. C. Bradley, M. H. Gitlitz, Journal of the Chemical Society, [Section] A 1969, 980. [90] D. M. Hoffmann, Polyhedron 1994, 13, 1169. [91] R. Fix, R. G. Gordon, D. M. Hoffman, Chem. Mater. 1993, 5, 614. [92] E. R. Engbrecht, Y.-M. Sun, S. Smith, K. Pfiefer, J. Bennett, J. M. White, J. G. Ekerdt, Thin Solid Films 2002, 418, 145. [93] K.-N. Cho, C.-H. Han, K.-B. Noh, J.-E. Oh, P. Su-Hyoun, C.-S. Park, S.-I. Lee, M. Y. Lee, J. G. Lee, Jpn. J. Appl. Phys. 1998, 37, 6502. [94] M. H. Chisholm, I. P. Rothwell, in Comprehensive Coordination Chemistry, (Eds.: G. Wilkinson, R. D. Gillard, J. A. McCleverty), Pergamon, Oxford, 1987, Vol. 2, 161. [95] D. C. Bradley, I. M. Thomas, Can. J. Chem. 1962, 40, 1355. [96] Y. Takahashi, N. Onoyama, Y. Ishikawa, S. Motojima, Chem. Letters 1978, 525. [97] G.-C. Jun, S.-L. Cho, K.-B. Kim, H.-K. Shin, D.-H. Kim, Jpn. J. Appl. Phys. 1998, 37, L30. [98] S.-I. Cho, K.-B. Kim, S.-H. Min, J. Electrochem. Soc. 1999, 146, 3724. [99] X. Zhao, N. P. Magtoto, J. A. Kelber, Thin Solid Films 2005, 478, 188. [100] Y. Senzaki, A. K. Hochber, J. A. T. Norman, Adv. Mater. Opt. Electron. 2000, 10, 93. [101] J.-S. M. Lehn, P. Van der Heide, Y. Wang, S. Suh, D. M. Hoffman, J. Mater. Chem. 2004, 14, 3239. [102] H. Machida, A. Hoshino, T. Suzuki, A. Ogura, Y. Ohshita, J. Cryst. Growth 2002, 237-239, 586.

203 Appendix

[103] Y. Oshita, A. Ogura, A. Hoshino, S. Hiiro, H. Machida, J. Cryst. Growth 2000, 220, 604. [104] K. C. Jayaratne, G. P. A. Yap, B. S. Haggerty, A. L. Rheingold, C. H. Winter, Inorg. Chem. 1996, 35, 4910. [105] C. H. Winter, K. C. Jayaratne, J. W. Proscia, Mat. Res. Soc. Symp. Proc. 1994, 327, 103. [106] C. H. Winter, T. S. Lewkebandara, K. C. Jayaratne, USP 5591483, 1997. [107] J. E. Bleau, C. J. Carmalt, S. A. O'Neill, I. P. Parkin, A. J. P. White, D. S. Williams, Polyhedron 2005, 24, 463. [108] W. A. Herrmann, N. W. Huber, P. Härter, M. Denk, F. Dyckhoff, Chem. Ber. 1992, 125, 117. [109] S. Suh, D. M. Hoffman, Inorg. Chem. 1996, 35, 5015. [110] J. M. Decams, S. Daniele, L. G. Hubert-Pfalzgraf, J. Vaissermann, S. Lecocq, Polyhedron 2001, 20, 2405. [111] J. Imahori, T. Oku, M. Murakami, Thin Solid Films 1997, 301, 142. [112] T. Laurila, K. Zeng, J. K. Kivilahti, J. Molarius, T. Riekkinen, I. Suni, Microelect. Engineering 2002, 60, 71. [113] K. Sugiyama, S. Pac, Y. Takahashi, S. Motojima, J. Electrochem. Soc. 1975, 122, 1545. [114] X. Liu, J. R. Babcock, M. A. Lane, J. A. Belot, A. W. Ott, M. V. Metz, C. R. Kannewurf, R. P. H. Chang, T. J. Marks, Chem. Vap. Deposition 2001, 7, 25-28. [115] L. Hiltunen, M. Leskelä, M. Mäkelä, L. Niinisto, E. Nykänen, P. Soininen, Thin Solid Films 1988, 166, 149. [116] M. Ritala, P. Kalsi, D. Riihelä, K. Kukli, M. Leskela, J. Jokinen, Chem. Mat. 1999, 11, 1712. [117] P. Alen, M. Juppo, M. Ritala, T. Sajavaara, J. Keinonen, M. Leskela, J. Electrochem. Soc. 2001, 148, G566. [118] P. Alen, T. Aaltonen, M. Ritala, M. Leskelae, T. Sajavaara, J. Keinonen, J. C. Hooker, J. W. Maes, J. Electrochem. Soc. 2004, 151, G523. [119] M. Juppo, M. Ritala, M. Leskela, J. Electrochem. Soc. 2000, 147, 3377. [120] H. Kim, C. Lavoie, M. Copel, V. Narayanan, D.-G. Park, S. M. Rossnagel, J. Appl. Phys. 2004, 95, 5848. [121] H. Kim, A. J. Kellock, S. M. Rossnagel, J. Appl. Phys. 2002, 92, 7080. [122] J. W. Hong, K. I. Choi, Y. K. Lee, S. G. Park, S. W. Lee, J. M. Lee, S. B. Kang, G. H. Choi, S. T. Kim, U.-I. Chung, J. T. Moon, Proc. IEEE International Interconnect Technology Conference, 2004, 9-11. [123] O. Van der Straten, Y. Zhu, K. Dunn, E. T. Eisenbraun, A. E. Kaloyeros, J. Mater. Res. 2004, 19, 447.

204 Appendix

[124] O. Van der Straten, Y. Zhu, E. Eisenbraun, A. E. Kaloyeros, Mat. Res. Soc. Symp. Proc. 2002, 716, B11.3.1. [125] J.-S. Park, H.-S. Park, S.-W. Kang, J. Electrochem. Soc. 2002, 149, C28. [126] J.-S. Park, Electrochem. Solid St. 2001, 4, C17. [127] M. Ritala, T. Asikainen, M. Leskelä, J. Jokinen, R. Lappalainen, M. Utriainen, L. Niinistö, E. Ristolainen, Appl. Surf. Sci. 1997, 120, 199. [128] P. Alen, M. Ritala, K. Arstila, J. Keinonen, M. Leskela, Thin Solid Films 2005, 491, 235. [129] W. A. Nugent, Inorg. Chem. 1983, 22, 965. [130] H.-T. Chiu, S.-H. Chuang, C.-E. Tsai, G.-H. Lee, S.-M. Peng, Polyhedron 1998, 17, 2187. [131] W. A. Nugent, R. L. Harlow, Inorg. Chem. 1979, 18, 2030. [132] W. A. Nugent, R. L. Harlow, J. Chem. Soc. 1978, 579. [133] X. Lei, J. A. T. Norman, USP 6,522,209, 2003. [134] H.-T. Chiu, J.-C. Lin, S.-H. Chuang, J. Chin. Chem. Soc. 1998, 45, 355. [135] Y. W. Chao, P. A. Wexler, D. E. Wigley, Inorg. Chem. 1989, 28, 3860. [136] A. V. Korelev, A. L. Rheingold, D. S. Williams, Inorg. Chem. 1997, 36, 2674. [137] K. Prout, J.-C. Darant, Acta Crystallogr. Sect B: Struct. Crystallogr. Cryst. Chem. 1979, 35, 2882. [138] U. Wannagat, F. Höfler, Monatshefte Chemie 1966, 97, 56. [139] U. Wannagat, F. Höfler, Monatshefte Chemie 1966, 96, 976. [140] K. W. Vogt, P. A. Kohl, J. A. Abys, AIChE J. 1995, 41, 2282. [141] E. Bourret-Courchesne, Q. Ye, D. W. Peters, J. Arnold, M. Ahmed, J. J. C. Irvine, R. Kanjolia, L. M. Smith, S. A. Rushworth, J. Cryst. Growth 2000, 217, 47. [142] K. W. Vogt, L. A. Naugher, P. A. Kohl, Thin Solid Films 1995, 256, 106. [143] U. Wannagat, F. Höfler, Monatshefte Chemie 1966, 97, 74. [144] J. Pahle, M. Czerniak, A. Seeley, D. Baker, J. Cryst. Growth 2004, 272, 810. [145] B. Luo, C. J. Cramer, W. L. Gladfelter, Inorg. Chem. 2003, 42, 3431. [146] J.-S. M. Lehn, D. M. Hoffman, Inorg. Chim. Acta 2003, 345, 327. [147] E. M. R. Kiremire, G. J. Leigh, J. R. Dilworth, R. A. Henderson, Inorg. Chim. Acta 1984, 83, L83. [148] E. Kolowa, P. J. Poleka, J. S. Reid, J. S. Chen, M. A. Nicolet, Appl. Surf. Sci. 1991, 53, 373 [149] S. Q. Wang, MRS Bull. 1994, 19, 30. [150] H. K. Hall Jr., J. Am. Chem. Soc. 1957, 79, 5441. [151] R. L. J. Hinman, Org. Chem. 1958, 23, 1587.

205 Appendix

[152] Z. Wu, H. Cai, X. Yu, J. R. Blanton, J. B. Diminnie, H.-J. Pan, Z. Xue, Organometallics 2002, 21, 3973 [153] H. Sachdev, Eur. J. Inorg. Chem. 2002, 2681. [154] N. Metzler, H. Noth, H. Sachdev, Angew. Chem., Int. Ed. 1994, 33, 1746. [155] K. Bode, U. Klingebiel, M. Noltemeyer, H. Witte-Abel, Z. Anorg. Allg. Chem. 1995, 621, 500 [156] B. Germund, H. Noth, H. Sachdev, M. Schmidt, Chem. Ber. 1996, 129, 1335. [157] P. J. Bailey, S. Pace, Coord. Chem. Rev. 2001, 214, 91. [158] M. P. Coles, P. B. Hitchcock, Eur. J. Inorg. Chem. 2004, 2662-2672 [159] F. Feil, S. Harder, Eur. J. Inorg. Chem. 2005, 4438-4443 [160] P. J. Bailey, K. J. Grant, L. A. Mitchell, S. Pace, A. Parkin, S. Parsons, J. Chem. Soc. Dalton Trans. 2000, 1887. [161] I. Pattison, K. Wade, B. K. Wyatt, J. Chem. Soc. (A) 1968, 837. [162] P. J. Bailey, L. A. Mitchell, S. Parsons, J. Chem. Soc. Dalton Trans. 1996, 2839. [163] M. K. T. Tin, G. P. A. Yap, D. S. Richeson, Inorg. Chem. 1999, 38, 998. [164] M. K. T. Tin, T. Natesan, G. P. A. Yap, D. S. Richeson, J. Chem. Soc. Dalton Trans. 1999, 2947. [165] M. K. T. Tin, G. P. A. Yap, D. S. Richeson, Inorg. Chem. 1998, 37, 6728. [166] J. M. Decams, L. G. Huber-Pfalzgraf, J. Vaissermann, Polyhedron 1999, 18, 2885. [167] D. Rische, A. Baunemann, M. Winter, R. A. Fischer, Inorg. Chem. 2006, 45, 269. [168] B. S. Lim, A. Rahtu, J.-S. Park, R. G. Gordon, Inorg. Chem. 2003, 42, 7951. [169] C. B. Wilder, L. L. Reitfort, K. A. Abboud, L. McElwee-White, Inorg. Chem. 2006, 45, 263. [170] B. Srinivas, C.-C. Chang, C.-H. Chen, M. Y. Chiang, I.-T. Chen, Y. Wang, G.-H. Lee, J. Chem. Soc. Dalton Trans. 1997, 957. [171] C. N. Rowley, G. A. DiLabio, S. T. Barry, Inorg. Chem. 2005, 44, 1983. [172] A. P. Kenney, G. P. A. Yap, D. S. Richeson, S. T. Barry, Inorg. Chem. 2005, 44, 2926. [173] A. P. Milanov, R. K. Bhakta, A. Baunemann, M. Winter, A. Devi, Inorg. Chem. submitted. [174] A. Baunemann, Diploma Thesis, Ruhr-University Bochum, 2003. [175] A. Milanov, unpublished results. [176] A. Devi, W. Rogge, A. Wohlfart, F. Hipler, H.-W. Becker and R. A. Fischer, Chem. Vap. Deposition 2000, 6, 245. [177] T. Leistner, in: H. Ryssel (ed.), Erlanger Berichte Mikroelektronik 2005, 2, Shaker, Aachen, Germany

206 Appendix

[178] J. N. Musher, R. G. Gordon, J. Mater. Res. 1996, 11, 989. [179] For details see: M. Lemberger, Dissertation, Erlangen (in progress) [180] S. M. Sze in Physics of Semiconductor Devices, Whiley, New York, 1981. [181] W. S. Sheldrick in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 691. [182] G. Friedbacher in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 667 [183] G. Friedbacher in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 663. [184] G. Friedbacher in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 653. [185] Kurt Weber in Lehrbuch der Experimentalphysik – Optik, (Ed.: H. Niedrig), Walter de Gruyter, Berlin, 1993, 9th ed., 491. [186] G. Friedbacher in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 679. [187] G. Friedbacher in Analytical Chemistry, (Eds.: R. Kellner, J.-M. Mermet, M. Otto, H. M. Widmer), Wiley-VCH, Weinheim, 1997, 644. [188] L. J. van der Pauw, Philips Technical Review 1958/1959, 20, 220. [189] T. T. Tidwell, Angew. Chem. 2001, 113, 343. [190] W. Schlenk, A. Thal, Chem. Ber. 1913, 46, 2840.

207 Appendix

208 Appendix

Ich erkläre hiermit, dass ich die vorliegende Dissertation selbst verfasst und mich dabei keiner anderen als der von mir ausdrücklich bezeichneten Quellen und Hilfen bedient habe. Ich erkläre hiermit des Weiteren, dass ich an keiner anderen Stelle ein Prüfungsverfahren beantragt bzw. die Dissertation in dieser oder anderer Form bereits anderweitig als Prüfungsarbeit verwendet oder einer anderen Fakultät als Dissertation vorgelegt habe.

Bochum, den

Arne Baunemann

209