<<

View metadata, citation and similar papers at core.ac.uk P~u~ ~d~ brought to lll-¥Syou by R~IEWCORE provided by Elsevier - Publisher Connector

Product Categories ~mpanies ~ghted ~ blue ~ering ~ advc~scrs. ~ ~her ~o~afi~ ~ ~ ~~ ~ ~ Adve~isers Index on p~ 1 * = Dism~ut~ ~ mpresenmfive

Index of Produ~ Categories 8. Proce~ing ser~ces 1. Bulk crystal souse matefi~s 9. Chip assemb~ & packaging equ~ment 2. Bulk crystal growing equipment 10. Chip assemb~ & packaging mated~s 3. Subs~ates 11. Andl~ry produc~ & services 4. Epi depos~on equipment & mated~s 12. Metro~gy eq~pment 5. Epita~ wafer foundry 13. Te~ eq~pment ~ Wafer proce~ing eq~pment 1~ De~ce deign 7. Wafer proce~ing mater,s 15. Sode~e~ consuffan~ & resea~h

1. Bulk crystal A~en~ 2. Bulk crystal *~: ~ ~m~ ATMI ~o/S & C A~oc~s source materials *~u~ ~ ~ growing ~mbda Phys~ GmbH Gallium MaTecK GmbH equipment Lo#tech ~d ATMI Metaleurop SA M~me~ Inc ~#e-Picher Tec~o~es PPM Pure Me~s GmbH C~stal p~lers- LEC ~vem Inst~ments ~d ~ + T G~ ~ & ~ U~ted ~e~ & Che~cM Co~ C~ Equ~ment Co~ M~a ~ems & Che~cMs GEO Gal~um U~t~ Monofi~c ~con~c~o~ ~y~ ~ M~atech ~d Gold Canyon ~sou~es Inc W~er Tec~olo~ Ltd M~Meurop SA M~rocont~ Oectro~c *~u~ ~ud ~e~cs ~m Rowl~d MR ~con ~c M~ro~on Co~ IQE ~ Modm~ Co~ MaTecK GmbH Germa~um C~s~l gro~h ~ems MR ~con Mc Me~europ SA ~e-P~r ~c~o~s - VGF M~ Co~ ~R Sem~on Inc M~ng m~d C~micM Pr~ucts *Marke~ Intern~n~ N Buc~rAG ~ce Process PPM ~re Metes GmbH Me~leurop SA ~c~olo~ Novo~ Inc C~ gro~h sy~ems P R Hoffm~ Machine Pmduc~ U~ted M~e~ & Che~cal (2~ - Other Wa~r Technology Dd PPM ~re MetMs GmbH ~rsys ~c~olo~ Ltd C~st~od In¢ ~ ~tes ~) ~ ~i~am Row~nd Peter Wolters CMP-Sy~eme GmbH & Co il-~ mate~a~ Wa~d~ eq~pmen~ In~um sawing, gdnd~ p~is~ P~cess ~c~olo~ ~e-~cher Tec~olo~es ~co~um ing, handfing & mar~ng Shu~lewo~h Inc M~a~urop SA ATMI *Ml~nce S~es ~u~ Ltd ~co Produ~on~Und H~de~ Min~g and C~cM Pro~s ~#~her Tec~ol~es ~ied ffigh Tech Produc~s Inc South Bay Technolo~ Inc PPM ~ MetMs GmbH *~u~ ~ud He~mcs ~k ~es Mc Spee~am-IPEC Ltd U~co~ ~ndu~r Ind~m ~o~t~n ~efica ~u~m~ Indus~ S~ems S~ Sem~onductor Pro~ction ~e~ IQE ~ ~omme ~utomat~n Systems BV Ja~ ~ ~ Ltd U~ted M~e~ & C~c~ Co~ The R~ Internation~ Co~ Cabot C~ Jap~ ~ ~ To~o Se~u Co Ltd Other C~M GmbH Ja~ ~~o Ma~ ~K ~efica Mc ~D ATMI ~stec ~noM~ T~d~g MaTecK GmbH ~t~ Tec Mfg ~c CD*st~ lnc C~ - C~o~nics MetMeurop SA Un~d Monodic *~u~ ~ud ~cs C~ Equ~ment Co~ Min~ and ChemicM Products ~conduc~ Jap~ ~ ~ Ltd Diamo~ W~ Technology PPM ~ MetMs GmbH WED Ltd ~sco Co~ ~ck Co.co MetMs ~d Jap~ ~ Co~ ~nat~ International U~co~ ~condu~or MaTecK GmbH E~ M~achit 3. Substrates ~e~ Met~europ SA En#s Co~ U~ted ~e~ & Che~c~ Co~ PPM ~re Met~ GmbH GaAs substrate~ EU~S W~er ~c~o~, Dd ~ck Cominco M~s ~d sem~ns~afing *Wi~am Rowland ~e Ro~fi ~rnafional Co~ FSI ImernationM Inc A~m~c ~ ~ U~co~ H~pfic ~t~ G~ Genaui#d~ ~T Inc Pho~horous U~co~ ~con~or ~e~au N~be~ GmbH CMK Ltd MaTecK GmbH ~e~ G~ "l~chno~ies Inc C~ ~ppo~ Systems ~c PPM ~ MetMs GmbH U~ted ~e~ & Che~cM Co~ HCT S~ING SYSTEMS SA CD~s~d Inc U~ted ~ne~ & C~cM Co~ Wafer ~no~ Ltd ~DB ~chn~ies ~d Dowa ~ng Co Dd

ADVANCED SEM~ONDUCTORS BUYERS' GUIDE 2oo~/2oo2 ;_.,____ Product Categories

ELI\IAMalachit Dowa Mining Co Ltd Picopolish EMF Ltd Nova Electronic Materials Inc ELMAMalachit SiCrystal AG Freiberger Compound Materials Picopolish lGroupe Amaud Electronics SOITEC Furukawa Electric Co Ltd Ramet Ltd III/V Reclaim Sterling Semiconductor Inc lGroupc Arnaud Electron3cs SemiMetrics Ltd Sullivan Cable Ltd Sumitomo Electric Industries Ltd Intercrystal Ltd Technologies and Devices *lDB Technologies Ltd Semiconductor Division IQE plc hltemational Inc ml) III/V Reclaim Sumitomo Electric USA JapanEnetgy WI9 Ltd umicore I%xtmOptlc Materials Intercrystal Ltd Electronic Materials Group JapanEnergy carp Wafer Technology Ltd International Wafer Service Sumitomo Metal Mining Co Ltd JapanEneqy/Nikko Materials IQE plc Electronics Division *MarkeTech International Sapphire substrates *ITS: Intertrade Scientific Ltd Tianjin Electronic Materials MaTecK GmbH Crystal Systems Inc M/A-COMIII-V Materials Group Research Institute Metaleurop SA ESCETE Single Crystal ‘MarkeTech International United Monolithic *M&NetTechnology Ltd Technology BV MaTecK GmbH Semiconductors MT1 Carp First Reaction “Mi-NetTechnology Ltd ‘Universitywafetcom Picopolish lGroupe Amaud Electronics Mitsubishi Chemical VeneziaTecnologie S.p.A. EN1 Ramet Ltd Honeywell Electronic Materials Maintech Inc Optoelectronics Division Group Sumitomo Electric Industries ‘MarkeTech International MT1 Carp Wafer Technology Ltd Ltd Semiconductor Division MaTecK GmbH Nova Electronic Materials Inc West Amxkues (Europe) Ltd Sumitomo Electric USA MTI Carp Picopolish Electronic Materials Group InP substrates: Novotech Inc Ramet Ltd umicore ElezctmqJtic Materials semi-insulating PB-Technik SemiMetrics Ltd ‘Universitywafetcom Atomeqic C&metals Carp Saint-Gobain Crystals & Showa Denko KK VeneziaTecnologie S.p.A - EN1 AXT Inc Detectors Smnitomo Electric Industries Ltd Group CrystaComm Inc The Roditi International Corp Semiconductor Division Wafer Technology Ltd Crystallod Inc Rubicon Technology Inc Sumitomo Electric USA WestAs=iates@urope)L.td Dowa Mining Co Ltd ‘Universitywafetcom Electronic Materials Group ELMAMalachit GaP substrates Sumitomo Metal Mining Co Ltd ‘tiupe Amaud Electronics Atomergic ChemetaIs Corp GaN, AIN or ZnO Electronics Division III/V Reclaim Atramet Inc wide-bandgap substrates Tianjin Electronic Materials LnPact - AXT Inc ATM1Epitaxial Services, Research Institute Intercrystal Ltd ELMAMalachit III-V Division *Universitywafer.com IQE plc Epigap Optoelektronik AXT Inc VeneziaTecnologie S.p.A - FiNI E=BY 0 Ltd lGmupe Amaud Blectronics Cermet Inc Group Japan Emw Cap Intercrystal Ltd ESCETE Single Crystal Wafer Technology Ltd Japan Energy/NikkoMate&Is *MarkeTech International Technology BV West Associates (Europe) Ltd M/A-COMIII-V Materials Group MaTecK GmbH KymaTechnologies Inc ‘MarkeTech International ‘Mi-Net Technology Ltd *MarkeTech International GaAs substrates: MaTecK GmbH semiconducting MaTecK GmbH MTI Carp *Mi-NetTechnology Ltd Nova Electronic Materials Inc *M&NetTechnology Ltd Atomerglc Chemetals Carp MTI Carp Ramet Ltd MTI Carp AXT Inc Picopolish Shin-Etsu Handotai Co Ltd Parke Mathematical CMK Ltd Ramet Ltd Showa Denko KK Laboratories Inc Crystal Specialities Inc Sumitomo Electric Industries Ltd Sumitomo Metal Mining Co Ltd PROWTech Inc Crystallod Inc Semiconductor Division Electronics Division Rubicon Technology Inc Dowa Mining Co Ltd Sumitomo Electric USA Sumitomo Electric USA ELMAMalachit Electronic Materials Group Sic wafers Electronic Materials Group Freiberger Compound Materials Umicore BlectroOptic Materials Cree Inc Technologies and Devices lGroupe Amaud Electronics ‘Universitywafexcom ESCETE Single Crystal IutemationaI rnc (TDI) Hitachi Cable VeneziaTecnologie S.p.A - ENI Technology BV *Universitywafer.com *IDB Technologies Ltd Group lGroupe Arnaud Electronics * Wafer Technology Ltd III/V Reclaim Wafer Technology Ltd ‘IDB Technologies Ltd West Associates (Europe) Lxd Intercrystal Ltd ‘West Assodaes (Europe) Ltd II-VI Inc International Wafer Service IQE plc GaSb substrates IQE pk InP substrates: “MarkeTech International Atomergic Chemetals Corp ‘MarkeTech International semiconducting MaTecK GmbH Firebird Semiconductors Ltd MaTecK GmbH Atomergic C&metals Carp *M&NetTechnology Ltd ‘Groupe Amaud Electronics Metaleurop SA AXT Inc MTI Carp IQE plc ‘Mi-NetTechnology Ltd CrystaComm Inc Okmetic Oyj J X Crystals Moritani & Co Ltd Crystallod Inc Novotech Inc ‘MarkeTech International

ADVANCED SEMICONDUCTORS BUYERSGUIDE 2001/2002 Product Categories

MaTecK GmbH Sumitomo Sitix Other substrates Riber SA ‘Mi-Net Technology Ltd Tianjin Electronic Materials Atomerglc ChemetaIs Corp SVT Associates Inc MTl Carp Research Institute Cermet Inc Therm0 VG Semicon Sumitomo Electric Industries Ltd Topsil Semiconductor Materials Crystal GmbH Unaxis Balxers Ltd Semiconductor Division A/S Crystallod Inc Veeco Instruments Inc *Universitywafer.com Umicore Semiconductor Crystar VeecoApplied Epi Inc Wafer Technology Ltd Processing Eagle-Picher Technologies Epi deposition reactors unisil carp ESCETE Single Crystal InSb substrates and components - MOCVD Virginia Semiconductor Inc Technology BV Atomergic Chemetals Carp AIXTRON Wacker Siltronic Firebird Semiconductors Ltd Firebird Semiconductors Ltd Apex Co Ltd *Groupe Amaud Electronics %roupe Amaud Electronics Silicon-On-Insulator, cs uean Systems *lDB Technologies Ltd IQE plc Silicon-On-Sapphire etc CVD Equipment Corp Intercrystal Ltd *MarkeTech International ATM1 Epitaxial Services, DCA Instruments *International Wafer Service MaTecK GmbH III-V Division EMCORE Carp Isonics cot-p lMi-Net Technology Ltd Crystal Systems Inc EMF Ltd *Universitywafer.com Epitech Jai= E=SY (vK> Ltd Hanvac Corp M/A-COM III-V Materials Group Wafer Technology Ltd Epitronics Corp MR Semicon Inc Maintech Inc ESCETE Single Crystal Nippon Sanso ‘MarkeTech International InAs substrates Technology BV Thomas swan Sdentiflc MaTecK GmbH Atomerglc Chemetals Carp Ibis Technology Corp Equipment Ltd ‘Mi-Net Technology Ltd *Groupe Amaud Electronics *International Wafer Service unaxis USA Inc MTl Carp IQE plc Isonics Corp ‘MarkeTech International Maintech Inc Okmetic Oyj Epi deposition reactors MTl Carp *MarkeTech International Ramet Ltd and components - CVD *Universitywafer.com MEMC Electronic Materials Research and PVD Materials AETThermal Inc Wafer Technology Ltd *Mi-Net Technology Ltd Rubicon Technology Inc AIXFRON Nippon Steel Corp SemiMetrics Ltd Apex Co Ltd Ge substrates, blanks etc Nova Electronic Materials Inc Sico Produktions-Und Handels Applied Materials Atomergic Chemetals Corp Okmetic Oyj Sumitomo Electric USA ASM America AXT Inc Rubicon Technology Inc Electronic Materials Group ASM Europe BV Eagle-Picher Technologies SemiMetrics Ltd Sumitomo Metal Mining Co Ltd ASM International NV BMFLtd Sico Produktions-Und Handels Electronics Division ASM Microchemistry Ltd ESCETE Single Crystal SOITEC Tactron Elektronik GmbH Centrotherm Technology BV The Roditi International Corp The Roditi International Corp Halbleitertechnologie GmbH Groupe Amaud Electronics l Ylniversitywafetcom Virginia Semiconductor Inc csCleanSystems *IDB Technologies Ltd Wafer Technology Ltd CVD Equipment Corp *International Wafer Service II-VI substrates William Rowland Ltd DCA Instruments ‘Marke’lech International Atomergic Chernetals Carp Epigress AB MaTecK GmbH Crystallod Inc 4. Epitaxial Equipment Consulting Services Metaleurop SA Eagle-Picher Technologies Genus Inc deposition . ‘M&Net Technology Ltd ESCETE Single Crystal Hanvac Corp MTl Carp Technology BV equipment & Kokusai Electric *Groupe Amaud Electronics Novotech Inc materials Mattson Technology Inc Rubicon Technology Inc Honeywell Electronic Materials Moore Technologies umlcore Electm-Optic Materials Intercrystal Ltd Epi deposition reactors Riber SA Umicore Semiconductor JapanEnergy 0 Ltd and components - LPE Tystar carp Johnson Matthey Electronics Processing Centrotherm unaxis Balzers Ltd LasIRvis Optoelectronic *Universitywafer.com Halbleitertechnologie GmbH unaxls USA Inc Components west Associates (Europe) Ltd CVD Equipment Corp Wavemat Inc ‘MarkeTech International William Rowland Ltd LPE S.p.A. MaTecK GmbH Unaxis Balzers Ltd Epi deposition reactors Silicon substrates ‘Mi-Net Technology Ltd and components - Other Unaxis USA Inc AtomergIc Chemetals Corp MTI Cot-p AIXTRON Isonics Corp Optical Semiconductors Inc Epi deposition reactors ASM Microchemistry Ltd *MarkeTech International QinetiQ Ltd and components - MBE CS Clean systems MEMC Electronic Materials Ramet Ltd cs clean syswms DCA Instruments Mitsubishi Materials Silicon Corp SemiMetrics Ltd DCA Instruments Hanvac Corp Nippon Steel Umicore Semiconductor H C Starck Ltd Rlber SA Novotech Inc ProCesSlttg OSEMI Inc unaxisBalzersLtd Okmetic Oyj ‘Universitywafercom Oxford Applied Research utlaxis USA Inc ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2ooa/2002 q: NIT,ONA,Product Categories

Epitaxy sources - CaAs-based OMMIC Suntek Compound metalorganic Group III HEMT/pHEMTs OSEMI Inc Semiconductor Co Akzo Nobel High Putlty ATM1 Epitaxial Services, Picogiga United Epitaxy Company Metakqamcs III-V Division Procomp Informatics Ltd Visual Photonics Epitaxy Co DCA Instruments Compound Semiconductor Raytheon RF Components Nitride EMFiXd Manfacturing Co Ltd South Epitaxy Corp ATM1 Epitaxial Services, EsprixTechnologies EMCORE Carp specttolabinc III-V Division Epichem Inc Epitaxial Technologies LLC Suntek Compound Cermet Inc MOCHEM Epitech Technology Corp Semiconductor Co ElMFLtd Riber SA EpiWorks Inc United Epitaxy Company Ltd IQE plc Strem Chemicals Inc Giga Epitaxy Technology Corp United Monolithic Nanotron Technologies Inc Global Communication Semiconductors Epitaxy sources - Group V OSEMI Inc Technology Corp Akzo Nobel High Purity GaAs-based MHEMT QinetiQ M Hitachi Cable Ltd Metalorganlcs Global Communication SVT Associates Inc Inforcomm Semiconductor Corp DCA Instruments Technology Corp Technologies and Devices Intelligent Epitaxy Technology Electron Transfer Technologies Hitachi Cable Ltd International rnc C_TDl) IQE plc EMFLtd Intelligent Epitaxy Technology Tekcore Co Ltd LinkComm Wireless Inc Epichem Inc IQE plc United Epitaxy Company MBETechnology Pte Ltd lGroupc Amaud Electtonlcs LinkComrn Wireless Inc Xlith Extreme Lithography OMMIC MOCHEM MBE Technology Pte Ltd OSEMI Inc Antimonides OMICRON Associates Millennium Communication Picogiga Epitaxial Technologies LLC Riber SA OMMIC Procomp Informatics Ltd and Haas Company OSEMI Inc QinehlQLtd Raytheon RF Components MtZt&lgdCS Raytheon RF Components South Epitaxy Corp Silicon carbide solkatfonicchemicals United Monolithic specteolabltlc ATM1 Epitaxial Services, Strem Chemicals Inc Semiconductors Sumitomo Electric Industries Ltd III-V Division veecc+Applied Epi hlc Cree Inc Semiconductor Division SiGe-based HBT Epitaxy sources - MBE TLC Precision Wafer OSEMI Inc ATM1 Epitaxial Services, Arconium SemiSouth Laboratories LLC Technology Inc III-V Division Atome@c Chemetals Carp United Epitaxy Company Ltd Sterling Semiconductor Inc IQE plc DCA Instruments United Epitaxy Company Ltd United Monolithic W&Q M ElectronTransferTechnologies Inc X&h Extreme Lithography Semiconductors United Epitaxy Company lGroupe Amaud Electronics Visual Photo&s Epitaxy Co AIGaAsIGaAs LEDs JapanBtlergyW~ Xlith Extreme Lithography InP-based HEMT Advanced EpitaxyTechnology JaP= hergy arp ATM1 Epitaxial Services, Bandwidth Semiconductor, LLC Japan Energy/Nikko Materials GaAs-based HBT III-V Division Mining and Chemical Products Hitachi Cable Ltd ATM1 Epitaxial Services, Epitaxial Technologies LLC OMICRON kssodates IQE plc III-V Division EpiWorks Inc Riber SA Knowledge*on Inc Compound Semiconductor Hitachi Cable Ltd Therm0 VG Semicon MBE Technology Pte Ltd Manfacturing Co Ltd Intelligent Epitzxy Technology United Mineral & Chemical Corp PROWTech Inc EMCORE Carp IQE plc VeecoApplied Epi Inc QinetiQ M Epitaxial Technologies LLC MBE Technology Pte Ltd South Epitaxy Corp Epitaxy sources - Other Epitech Technology Corp OSEMI Inc EpiWorks Inc spectrolab Inc ATM1 Xlith Extreme Lithography Sumltomo Electric Industries Ltd Ferdinand-Braun-Institut ElectronTransfer Technologies Semiconductor Division Giga Epitaxy Technology Corp InP-based HBT Isonics Corp Uniroyal Optoelectronics Global Communication ATM1 Epitaxial Services, III-V Mining and Chemical Products United Epitaxy Company Ltd Technology Corp Division MOCHEM Hitachi Cable Ltd Epitech Technology Corp OMICRON Associates GaP LEDs Inforcomm Semiconductor Corp EpiWorks Inc Elma Intelligent Epitaxy Technology Intelligent Epitaxy Technology 5. Epitaxial Knowledge*on Inc IQE plc IQE plc PROWTech Inc wafer foundry Kingmax Optoelectronics Knowledge*on Inc United Epitaxy Company Ltd GaAs-based MESFETs Knowledge*on Inc Kopin Carp ATM1 Epitaxial Services, Kopin Corp LinkComm Wireless Inc AllnGaP LEDs III-V Division Kopin Taiwan MBE Technology Pte Ltd Advanced Epitaxy Technology Epitaxial Technologies LLC LinkComm Wireless Inc Millennium Communication Epistar Corp EpiWorks Inc MBE Technology Pte Ltd OSEMI Inc Epitech Technology Corp Giga Epitaxy Technology Corp Millennium Communication Spectrolab Inc IQE plc

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2001/2002 Product Categories

Knowledge*on Inc Intelligent Epitaxy Technology 6. Wafer Photoresist Millennium Communication IQE plc coat/bake/develop PROWTech Inc Kingmax Optoelectronics Inc processing AI0 carp spectrolabInc Knowledge’on Inc equipment AFT TECSTAR Inc LuxNet Corp ASML Track United Epitaxy Company Ltd Millennium Communication Lithography exposure Axcelis Technologies Inc Visual Photonics Epitaxy Co Optowell sources B.L.E. Laboratory Equipment OSEMI Inc Cymer Inc Blue M Electric InCaN LEDs Procomp Informatics BV Group Brewer Science Cree Inc PROWTech Inc Lambda Physik AG BV Group Epitech Technology Corp South Epitaxy Corp OSRAM GmbH FSI International Inc IQE plc spectroIabInc Quintel Corp Rite-Track Knowledge*on Inc Suntek Compound Ushio America Inc SC Technology Procomp Informatics Semiconductor Co *Sedis PROWTech Inc TECSTAR Inc Lithography exposure SoIid State F.quIpment Carp South Epitaxy Corp United Epitaxy Company tools - optical Siiss MIa.oTec ASM Lithography Tekcore Co Ltd Visual Photonics Epitaxy Co Telemark Uniroyal Optoelectronics ASM U~~WP@’ (TEL) Spedal AppIicatIons United Epitaxy Company Photodetectors Ushio Europe BV Canon ATM1 EpitaxiaI Services, Yield Engineering GaAs-based edge- III-V Division BV Group emitting lasers Bandwidth Semiconductor, LLC KFXIEM-OMO Photoresist stripping Bandwidth Semiconductor, LLC Epitaxial Technologies LLC Europe BV and ashing Ferdinand-Braun-Institut EpiWorks Inc Nikon Precision Europe GmbH ACSI EpiWorks Inc Gfgacommcotp OAI APT Gisaco~corp InteIIigent Epitaxy Technology Quintel Corp ATM1 IntelIIgent Epitaxy Technology IQE plc Ultratech Stepper Inc Axcelis Technologies Inc IQE plc Kingmax Optoelectronics Inc AXIC Inc Lithography exposure Kingmax Optoelectronics Inc Knowledge’on Inc Canon USA Inc tools - electron beam Millennium Communication LuxNet Corp Mfg Co Ltd JEOL OSEMI Inc MBE Technology Pte Ltd ENI,An Emerson Company Leica Microsystems Lithography QinetlQ Ltd Millennium Communication Equipment Consulting Services Tokyo Seimitsu Co Ltd Sigm Plus Optowell Gasonics Inc XIith Extreme Lithography spectrolabInc PROWTech Inc Mattson Technology Inc United Epitaxy Company *MDC Materials Development Sigm Plus Lithography exposure South Epitaxy Corp tools - x-ray Megatech Ltd InP-based edge-emitting SAMCO International Inc spectroIabIllc JMAR Precision Systems Inc lasers SC Technology Sumitomo Electric Industries Ltd JMAR/SAL Nanolithogtaphy Inc Bandwidth Semiconductor, LLC Semiconductor Division Semitool Compoiund Semiconductor Suntek Compound Mask-making equipment SolId State EquIpment Carp Technologies Ltd Semiconductor Co Bid Service Surface Technology Systems plc EpiWorks Inc United Epitaxy Company B.L.E. Laboratory Equipment Technics Inc Gisacommcorp Visual Photonics Epitaxy Co Etec,An Applied Materials Telemark Intelligent Epitaxy Technology XIith Extreme Lithography Company Tepla AG IQE plc Leica Microsystems Lithography Tesam Inc Kingmax Optoelectronics Inc Photovoltaic Ultratech Stepper Inc ULVAC Millennium Communication ATM1 Epitaxial Services, Verteq Inc OSEMI Inc III-V Division Mask aligners Wet processing/Cleaning Sigm Plus IQE plc Ev GXwp AFT South Epitaxy Corp Sigm Plus Holtronic Technologies SA ASTEC GmbH SpectroIab Inc spectfolabhlc KBTFM-OMO ATMI Sumitomo Electric Industries Ltd SVT Associates Inc OAI Dainippon Screen Mfg Co Ltd Semiconductor Division TECSTAR Inc Quintel Corp United Epitaxy Company Ltd Siiss MIcroTec EC&now Systems Inc Visual Photonics Epitaxy Co II-VI FSI International Inc EpiTech Corp Wafer bonding Gasonics Inc VCSELs QinetiQ M Dynatex International Kaijo carp Bandwidth Semiconductor, LLC EV Group Matech EpiWorks Inc Other Logitech Ltd Pall carp Ferdinand-Braun-Institut Nanotron Technologies Inc Quintel Corp Rite Track Gigacommcorp Structured Materials Industries Siiss MiaoTec SC Technology

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2oo1/2002 :

c Seniitool *Equipment Consulting Services Smart Scientific Limited UHV Design SEZAG Hanvac Corp SMI Europe ULVAC Solid State Equipment Carp J.I.PELEC Tempress Systems UnaxIaB&ersLtd Telemark Koyo Lindberg Ltd Thermcraft Inc utlaxls USA Inc Tokyo Electron (TEL) Koyo Therm0 Systems Co Ltd Tokyo Electron (T: Veeco Instruments Inc Verteq Inc Mattson Technology Inc Tystar Corp Modular Process Technology ULVAC Evaporation Ion implanters BOC Edwards Carp Westdeutsche Quarzschmelze Applied Materials Total Fab Solutions Denton Vacuum - Europe ATM1 Iystar carp CVD Hanvac Corp Axcelis UnaxisBalzemLtd Al% Thermal Inc Telemark Ibis Technology Corp Unaxis USA Inc AIKTRON Temescal, part of BOC Coating ULVAC Anelva Corp Technology Varian Semiconductor Furnaces/ovens Applied Materials UHV Design Equipment Advanced Ceramics Carp ASM Europe ULVAC GmbH Advanced Crystal Sciences Inc ASM International NV UlXlX&BalzersLtd Ion beam equipment Alliance Sales (Europe) Ltd ASML Thermal unaxis USA Inc AXIC Inc ASM America ATM1 Charles Evans &Associates ASM Europe BV AXIC Inc Electroplating Commonwealth Scientific (UK) ASML Thermal Centrotherm Applied Materials CSM Industries Axcelis Technologies Inc CVD Equipment Carp ATM1 Dage Electronic Europa- AKIC Inc Equipment Consulting Services Ebara Vertriebs GmbH Bearing Engineers Inc Genus Inc Semitool Europe Ltd Enhanced Technology Products Blue M Electric Hanvac Corp Semitool Inc ENMET Analytical Instruments Brounley Associates Inc JLPELEC Novellus FE1 Company Centrotherm Kokusai Electric Unaxis Balzem Ltd Hanvac Corp Halbleitertechnologie GmbH Koyo Therm0 Systems Co Ltd HCStarekLtd Spin-on deposition Crystal Support Systems Inc Logitech Ltd Hiden Analytical Inc Rite Track Crystec Technology Trading Mattson Technology Inc High Voltage Engineering Tokyo Electron CSM Industries NEXX Systems LLC Europa Novellus CVD Equipment Corp CMP (Chemical Hitachi Scientific SAMCO International Inc CVT Ltd Mechanical Polishing) Ircon Inc Semitool Europe Ltd *Decontrade SA Applied Materials *ITS: Intertrade Scientific GmbH Semitool Inc Eagle-Picher Technologies ATM1 JEOL Surface Technology Systems plc Engelhard-Clal Micrion Corp Tokyo Electron (TEL) Cybeq Equipment Consulting Services Ebara Nordiko USA Inc Trikon Technologies Inc FHR Anlagenbau GmbH Lam Research Corp Oxford Applied Research Tystar Corp Hanvac Corp Logitech Ltd Oxford Instruments Plasma UHV Design High Temperature Engineering MR Semicon Inc Technology ULVAC Huntington Mechanical Pall carp PSP Vacuum Technology Ltd utlaxts USA Inc Laboratories Inc Raith GmbH Peter Wolters CMP-Systeme ‘IDB Technologies Ltd RF Power Products Sputter/PVD SoBd State Equipment Corp SENTECH Instruments GmbH *ITS: Intertrade Scientific Ltd Anelva Carp Speedfam-IPEC SMI Europe J.1.P ELEC Applied Materials Strasbaugh Spectrum Sciences Inc Koyo Lindberg Ltd Denton Vacuum - Europe Tokyo Seimitsu Co Ltd Technics Inc Koyo Therm0 Systems Co Ltd Equipment Consulting Services Tepla AG Lindberg/Blue M FHR Anlagenbau GmbH Dry etching ULVAC GmbH Mattson Technology Inc Hanvac Corp Anelva Corp unaxis USA Inc Megatech Ltd HCStarkLtd Applied Materials Veeco Instruments Inc MILLIPORE Megatech Ltd MKS Instruments, Moritani & Co Ltd NFXX Systems LLC AsTEKPnxluets Rapid Thermal MR Semicon Inc Novellus AXIC Inc Processing/Annealling Novatron SA Oxford Instruments Crystal Mark Inc Al3 Thermal Inc OSRAM GmbH Plasma Technology CSCleatlSystems Applied Materials Reinraumetechnik Lam Sairem Equipment Consulting Services ASM International NV Semitool Europe Ltd SENTECH Instruments GmbH FHR Anlagenbau GmbH ASML Thermal Semitool Inc STS Gasonics Axcelis Technologies Inc Sizary Inc Telemark Hanvac Carp Blue M Electric Sizary Ltd Tokyo Electron (TEL) Lam Research Corp CVD Equipment Carp Slee Technik GmbH Trikon Technologies Mattson Technology Inc

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2001/2002 Product Categories

MDC Materials Development EpiSoft Tystar Corp NSK-RHP Deutschland GmbH NEXK Systems LLC FE1 Company ULVACTechnologies Inc Persys Technology Ltd Oxford Instruments Plasma Festo Corp US Data PSP Vacuum Technology Ltd Technology Granville-Phillips Company VG Gas Analysis Systems R&D Ingenierie SAMCO International Inc Hiden Analytical Inc Zellweger Analytics Shuttleworth Inc SENTECH Instruments GmbH Horiba Europe GmbH Staubli Unimation Surface Technology Systems plc HORIBA Ltd RF power supplies Structured Materials Industries Advanced Energy Industries Inc Tegal Corp ICOS Vision Systems NV Thomson Industries Inc Tokyo Electron (TEL) INFICON Inc Comdel UHV Design Trikon Technologies Integrated Designs Inc EN1 Cryogenics and chillers ULVAC Intellemetrics Ltd Htittinger Ble&.ronik GmbH Cryomech Inc UnaxisBalzersLtd *ITS: Intertrade Scientific MKS~,AsreXPmducts CryoPhysics Ltd LJnaxIs USA Inc JAWoollamCoInc Muegge CTI-Cryogenics Veeco Instruments Inc Jobin Yvon Sairem DeMaCo Holland BV Wavemat Inc Kinetics Fluid Systems Ireland Wafer carriers/cassettes FSI International Inc Kinetics Thermal Systems Wet etching Entegris IGC-APD Cryogenics KLA-Tencor Corp AFT GEL-PAK, LLC Kinetics Thermal Systems LayTec GmbH ASTEC Insaco Incorpomted Lake Shore Cryotronics Inc Ieda-Mass Ltd B.L.E. Laboratory Equipment Poco Graphite Inc MDC Materials Development Leica Microsystems Inc Matech The Roditi International Corp Megatech Ltd Lighthouse Worldwide Solutions Pail carp GmbH MMR Technologies Inc MDC Materials Development SC Technology Rubicon Technology Inc SMI Europe Mega Systems & Chemicals Semitool Ultra Tee Mfg Inc Southland Cryogenics Megatech Ltd SEZ AG Telemark MKS Instruments Itlc Wafer handlers SoIid State Equipment Carp MKS Instruments, On-Line (automation) Telemark Vacuum: gauges, pumps, PfOdUCtS Aseco Corp leak detection etc Tokyo Electron GEL) Moritani & Co Ltd Asys GmbH & GKG Automatic A&N Carp Verteq Inc MST Micro-Sensor-Technologie Systems Alcatel High Vacuum Process control & Noah Precision Inc B.L.E. Laboratory Equipment Technology monitoring (plasma Electronics Brooks Automation Inc Ametek Precision Instruments diagnostics etc) On-Line Technologies Inc FHRAnlagenbau GmbH Europe GmbH ABB Extrel Pacific Scientific SARL Division MRSI Anelva Corp Aera Corp Particle Measuring Systems OAI APD Cryogenics, I.G.C. Affinity Industries Inc Pfeiffer Vacuum Ltd UHV Design (Europe) Ltd AI0 carp PhlRps Analytical AXIC Inc Air Liquide Electronics Systems Polytec Motors, drives, Beco Manufacturing Co Inc Alliance Sales (Europe) Ltd Process Technology engineering 81robotics Bernt GmbH Amerimade Technology Inc Realtime Performance Europe Brooks Automation Inc Bionics Instrument Europe BV Anatel Corp RKI Instruments Inc Dage Electronic Europa- BOC Edwards Ando Carp SAES Pure Gas Inc Vertriebs GmbH Busch Semiconductor Anorad Carp SC Technology Dynact Inc Cabum-MDC Applied Control Technology Semifab Inc Elma Engineering Ceramaseal Applied Materials SENTECH Instruments GmbH EpiSoft CTI - Cryogenics AS1 Advanced Semiconductor Shuttleworth Inc EURIS CVT Ltd Instruments GmbH Slee Technik GmbH Festo Corp DeMaCo Holland BV ATM1 SMI Europe Formus AG (DeutscNand) Denton Vacuum Atomika Solid State Measurements Inc G&N Genauigkeits Duniway Stockroom Corp Avantes BV sopra Maschinenbau Nurnberg Ebara AXIC Inc Structured Materials Industries Hillelian Concepts Inc Edwards High Vacuum Bernt GmbH Superb Instrumentation Huntington Mechanical International Bionics Instrument Europe BV SVT Associates Inc Laboratories Inc EpiSoft BOC Edwards Tefen UK Ltd *ITS: Intertrade Scientific Ltd Evac Bronkhorst High-Tech BV Telemark JMAR Precision Systems Inc Festo Corp Brooks Automation Inc Temescal, part of BOC Coating LUDL Electronic Products Ltd GranviIle-Phillips Company Brooks Instrument BV Technology MDC Materials Development Hiden Analytical Inc CT1 - Cryogenics Tesam Inc MicroVision Corp Huntington Mechanical CVD Equipment Corp Thomas Swan Scientific Moritani & Co Ltd Laboratories Inc East Coast Optical Corp Bquipment Ltd Multitest Inc HVA EMF Ltd Trace Analytical New Focus IGC-APD Cryogenics

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2001/2002 Product Categories N

IVFICON Inc John Crane Belfab Kinetics Process Piping Israel SAES Getters Inland Vacuum Industries Megatech Ltd Matheson Tri-Gas, SAES Pure Gas Inc Institute of Vacuum Technology Messer Nippon Sanso GmbH Semi-Gas Division Southland Cryogenics J.I.I? ELEC MKS Inamlmeznt.s Illc Mega Systems & Chemicals Wellman CJB Ltd John Crane Helfab Nor-Cal Products Inc Megatech Ltd cwest Assodates (Europe) Ltd Leda-Mass Ltd OSMONICS INC Messer Nippon Sanso GmbH Gas scrubbers/toxic gas Leybold Poco Graphite Inc MILLIPORE handling, abatement Mass-Vat Inc Scanwel Ltd MKS IIx4tmmenta Inc Air Products & Chemicals Inc Megatech Ltd Southland Cryogenics MKS Instnlmults, Applied Control Technology Messer Nippon Sanso GmbH Structured Materials Industries On-Line Producta ATM1 EcoSys Carp MKS Iostrumenta Inc Swagelok Co Moritani & Co Ltd ATM1 Mykrolis Carp Teco Pneumatic Inc Mott Carp Bionics Instrument Europe BV Nor-Cal Products Inc Vacuum Generators Mykrolis Corp BOC Edwards Vacuum VAT Nichimen America Inc Crystec Technology Trading Pfeiffer Vacuum Ltd Nippon Sanso Gas/fluid control/handling cs Clean Systema Precision Plus Vacuum Parts Inc Novatron SA components & systems CVD Equipment Corp Riber SA OSMONICS Inc Advanced Energy Industries Inc DAS GmbH Richardson Electronics Ltd Pall Europe Ltd Aera Delatech Inc SAES Getters Praxair Semiconductor Materials Edwards High Vacuum AG Gas Technology Ltd Rockwood Electronic Materials Scanwel Ltd International Air Liquide Electronics Systems QUALIFLOW -Kratos ENMET Analytical Instruments Air Products & Chemicals Inc Semi-Gas Systems Ltd Smart Scientific Limited Flowmeca Alfa Laval Flow Cardinal Southland Cryogenics Southland Cryogenics GTI Technologies Inc Systems STEC Structured Materials Industries IBM Technologies Alliance Sales (Europe) Ltd Stainless Design Concepts Corp SVT Associates Inc Kanlcen Techno Co Ltd AP Tech Structured Materials Industries Televac Messer Nippon Sanso GmbH Applied Control Technology Swagelok Co ULVAC Moore Technologies Arc Machines Inc vstar Corp Vacuum Generators Moritani & Co Ltd ATM1 Yamada America Inc VarianInc Vacuum Technologies MST Micro-Sensor-Technologie Bernt GmbH VAT Persys Technology Ltd BOC Edwards Dl/water filtration veeco lnamlmellta xnc RKI Instruments Inc Bronkhorst High-Tech BV Cuno Ltd FSI International Inc Sensidyne Inc Seals, flanges, valves, Brooks Instrument BV Hager + Elsasser Southland Cryogenics pipework CalTechnix SA *ITS: Intertrade Scientific Ltd Stainless Design Concepts Corp A&N Carp Camline Datensysteme GmbH MILLIPORE Thomas swan Sclentlflc Advanced Fluid Systems Ltd Chell Instruments OSMONICS INC Equipment Ltd Aera Corp Coastal Instruments Pall weat Asaoclatea (Europe) Ltd AGRU Kunststofftechnik GmbH Creative Pathways Inc Process Technology Amerimade Technology Inc CVD Equipment Corp Computer modelling/ Russian Research Institute of ASTI Cybor Corp simulation Microelectronic Technology Automated Industrial Systems Draeger Tescom GmbH Accent Optical Technologlea Beco Manufacturing Co Inc Eagle-Picher Technologies Gas purifiers/filtration Ferdinand-Braun-Institut Xlith Extreme Lithography BOC Edwards Electron Transfer Technologies Aeronex Bruno Dockweiler GmbH Empak Inc BOC Edwards Cabum-MDC Ltd ENMJX Analytical Instruments Cambridge Precision Quartz 7. Wafer Ceramaseal Equipment Consulting Services Crystec Technology Trading processing CVT Ltd Festo Corp WIpLtd Douglas Engineering Co Flowlink SA Johnson Matthey - GIYT materials DuPont Dow Elastomers LLC Flowmeca Johnson Matthey Photoresist & developer EVAC Fluidsystem (UK) Ltd Environmental Products Air Products Ferrotec Garlock Sealing Technologies Matheson Tri-Gas, Arch Chemical Festo Corp Greene,Tweed & Co Ltd Semi-Gas Division Brewer Science Ltd Flowmeca Hager + Elsaesser Megatech Ltd Honeywell Advanced Garlock Sealing Technologies Hale Hamilton Valves Ltd Messer Nippon Sanso GmbH Microelectronic Material Greene,Tweed & Co Ltd Horiba MKS InatNmulta Inc JSR Electronics NV Hale Hamilton Valves Ltd INFICON Inc Moritani & Co Ltd MicroChem Corp *ITS: Intertrade Scientific Ltd Huntington Mechanical Mykrolis Corp Shipley Laboratories Inc Kinetics Chempure Systems Pall HVA Kinetics Faeth GmbH Power + Energy Inc Photomasks *ITS: Intertrade Scientiftc Ltd Kinetics Fluid Systems Ireland Praxair Semiconductor Materials DuPont Photomasks Inc

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE roffl/2002 Product Categories _

Hoya Corp Europe Branch Merck KGAA Morgan Matroc Ltd Semimetrics Ltd Photronics Inc MicroChem Corp Morgan Semiconductor Products Sico Produktions-IJnd Handel5 ULVAC Pmxair MTI Carp Silicon Materials Service BV Rockwood Electronic Materials Performance Materials Inc Tactron Elektronik GmbH Sputter targets Solkatronlc Chemicals Poco Graphite Inc Umicore semiconductor Atomergic Chemetals Carp Strem Chemicals Inc Polymer Corp Processing BOC Edwards Research and PVD Materials Commonwealth Scientific (UK) Evaporation materials Rubicon Technology Inc Materials recycling CSM Industries Atomerglc Chemetals Carp Sico Produktions-Und Handels ATM1 CT1 - Cryogenics Williams Advanced Materials SINTEC CVD LIMITED CMK Ltd Dage Electronic Europa- Tactron Elektronik GmbH Mining and Chemical Products Vertriebs GmbH Gases Techni Verre UK Carp Air Liquide DeMaCo Holland BV The Carborundum Company Air Products plc, Engelhard-Clal The Roditi International Corp Used/re-manufactured Electronics Group ENI,An Emerson Company Uptime Semiconductor Service equipment ATM1 FHR Anlagenbau GmbH Company Alliance Sales (Europe) Ltd Gencoa Ltd Atomergic Cheme-tak Carp AXIC Inc BOC Edwards Honeywell Electronic Materials 8. Processing Bid Service CScleanSystenls HVA Blue M Electric Electron Transfer Technologies J.1.P ELEC services Comdisco Electronics Group Isonics carp Johnson Matthey Electronics Crystec Technology Trading MOCHEM Ion beam services Marketech International GmbH Hiden Analytical Inc MaTecK GmbH Messer Nippon Sanso GmbH IBM Analytical Services Edwards High Vacuum Megatech Ltd Praxair Semiconductor Materials IBS - Ion Beam Services International *M&Net Technology Ltd SAES Pure Gas Inc Xlith Extreme Lithography Equipment Consulting Services Solkatronic Chemicals Morgan Semiconductor Products *IDB Technologies Ltd Voltaix Inc Moritani & Co Ltd Ion implant services Reinmumetechnic Lanz Not-d&o USA Inc Quartz, ceramics, ATM1 Rite Track Oxford Applied Research graphite, silicon carbide Dage Electronic Europa- Semitec Semiconductor Pfeiffer Vacuum Ltd (pBN crucibles etc) Vertriebs GmbH Equipment GmbH Poco Graphite Inc Advanced Ceramics Hiden Analytical Inc Southland Cryogenics Pmxair Semiconductor Materials Illtematlonal Colp Techlink Pure Tech Inc Analytical services Aldrich Chemical Company Inc Tystar Corp Ramet Ltd ATM1 Atome@c Chemetals Corp Ultra Tee Mfg Inc Research and PVD Materials Btuker AXS GmbH Boedeker Plastics Inc SAES Getters SpA CMK Ltd Bullen Ultrasonics Inc Environment: toxic gas Sairem ‘Groupe Arnaud Blectronics Cambridge Precision Quartz handling etc Scanwel Ltd Hiden Analytical Inc Carbone of America ATM1 Scientific Vacuum Systems Loughborough Surface Analysis Ceradyne Inc Bionics Instrument Europe BV Smart Scientific Limited MAX International Engineering Coors Electronic Package Co BOC Edwards Surface Technology Systems Ltd Ocean Optics Inc Corning Inc Cool Fog Systems Inc Technics Inc PROBION Craftech Industrial CSCleansy%tems ULVAC Reliability Analysis Laboratory CSM Industries Dage Semiconductor Services VeneziaTecnologie S.p.A - EN1 SAES Pure Gas Inc CVD Equipment Corp DAS GmbH Group CVD Products Inc ENMET Analytical Instruments Williams Advanced Materials Wafer recycling and EMpLtcl polishing Hager + Elsaesser Chemicals Engelhard-Clal American Silicon Product (ASP) IBM Technologies Air Liquide ESCETE Single Crystal Atlantic Metals &Alloys Inc ‘ITS: Intertrade Scientific Ltd Air Products Technology BV CMK Ltd Moritani & Co Ltd Arch Chemical Furon SA Dage Electronic Europa- vest Associates (Europe) Ltd Ashland GC + T Gusset Consulting & Vertriebs GmbH ATM1 Trading AG Dage Semiconductor Services Contract chip packaging Atomergic Chemetals Carp Goodfellow Cambridge Ltd Exsil Inc & packaging design Eagle Picher Technologies Graphite Die Mold ‘Groupe Amaud Blectronlcs Atlantic Technology (UK) Ltd EKC Technology Ltd Greene,Tweed & Co Ltd III/V Reclaim Carsem Semiconductor BMFLtd ‘Groupe Amaud Rlectronics *ITS: Intertrade Scientific Ltd Coors Electronic Package Co General Chemical Corp Hi-Tech Ceramics Novotech Inc cs2 Honeywell Electronic Materials Honeywell Electronic Materials Picopolish Ho11Technologies Co Mallingkrodt Baker Inc Marketech International Purewafer Ltd Pat Tech GmbH MaTecK GmbH *Mi-Net Technology Ltd Rockwood Electronic Materials Quik Pak ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 20042002 q, Product Categories

9. Chip assembly PalomarTechnologies GmbH 10. Chip assembly Crystec Technology Trading Quik-Pak Dage Semiconductor Services & packaging SPT Roth Ltd & packaging EURIS equipment materials General Chemical Corp Electroplating Interworld Wafer thinning ATM1 lead frames MDC Materials Development Semitool Europe Ltd Allied High Tech Products Inc ASM Assembly Products Megatech Ltd Semitool Inc Aptek Industries Inc ASM International NV Metron Technology Unaxis Balzem Ltd Disco Corp Dage Electronic Europa- Process Technology Quik-Pak GTI Technologies Inc Bumping/flip-chip Vertriebs GmbH Logitech Ltd S&K Products International Inc Alphasem AG Picopolish Packaging substrates SMI Europe ASE Tepla AG ASM Assembly Products TMT Inc August Technology Corp Tokyo Seimitsu Co Ltd Dage Electronic Europa- vstar Corp Datacon Ultra Tee Mfg Inc Vertriebs GmbH ESEC Group ‘Groupe Arnaud Electronics Cleanroom design BV Group Wafer scribing, sawing Johnson Matthey Electronics & construction F&K Delvotec and dicing Kulicke & Soffa Industries Inc M+W Zander Facility lGroupe Amaud Electronics Allied High Tech Products Inc Qti-Pak Engineering GmbH Kulicke & Soffa Industries Inc Disco Corp MAX International Miihlbauer AG Dynatex International Solder paste/epoxies NEXX Systems LLC Cleanroom equipment/ GTI Technologies Inc Ablestik Laboratories Pat Tech furniture Kulicke & Soffa Industries Inc CSM Industries Palomar Technologies GmbH ACR Automation in Cleanroom Logitech Ltd Johnson Matthey Electronics Speedline Technologies Advance Tabco Quik-Pak Quik-Pak Speedline Technologies AGRU Kunststofftechnik Tokyo Seimitsu Co Ltd Williams Advanced Materials CAMALOT Division Amerimade Technology Inc TSK ST1 (Semiconductor Bond wire Anatel Corp Ultra Tee Mfg Inc Technologies & Instruments) American Fine Wire Applied ControlTechnology Die bonding/attach UnaxlsBalzersLtd lGroupe Amaud Electronics Arc Machines Inc Alp hasem AG unaxls USA rllc W C Heraeus B &W Projects Inc ASM International NV Johnson Matthey Electronics Bid Service Ovens/furnaces ASM Pacific Assembly Products Kulicke & Soffa Industries Inc Blue M Electric Alphasem AG Datacon Muller Feindmht Cambridge Precision Quartz Blue M Electric ESEC Group Polytec CFM Technologies Inc Speedline Technologies Quik-Pak F&K Delvotec Cool Fog Systems Inc Speedline Technologies Williams Advanced Materials lGroupe Amaud Electronics Daw Technologies Inc CAMALOT Division Kulicke & Soffa Industries Inc ForbeGiubiasco SA Encapsulant MRSI Hager + Elsaesser Molding Ablestik Laboratories Miihlbauer AG Interworld ASE Quik-Pak Ion Systems Quik-Pak ASM Pacific Assembly Products ‘ITS: Intertrade Scientific Ltd RD Automation Boschman Technologies BV Solder Lighthouse Worldwide Speedline Technologies Maschinenfabrik Lauffer GmbH Dage Electronic Europa- Solutions Speedline Technologies SPT Roth Ltd Vertriebs GmbH Lindberg/Blue M CAMALOT Division Westlake Plastics Co Quik-Pak Megatech Ltd SPT Roth Ltd Williams Advanced Materials Metron Technology Siiss MlcroTec Encapsulation/sealing Meissner + Wurst GmbH & Co B&G International 11. Ancillary MSS Clean Technology Ltd Wire bonding Maschinenfabrik Lauffer GmbH Nordiko USA Inc ASM International NV Nisene Technology Group products & NTA Industries Inc ASM Pacific Assembiy Products (B&G International) services Pacific Scientific SARL Division Datacon Quik-Pak Particle Measuring Systems Dage Electronic Europa- Solid State Equipment Carp Cleaning equipment Vertriebs GmhH & materials Plascore Inc ESEC Group Trim 81Form ACSI (Advanced Chemical Process Technology F&K Delvotec ASE Systems International) PROMIS Systems Corp (UK) Ltd lGroupe Arnaud Electronics ASM International NV ACSI Inc Reinraumetechnic Lam Kaijo Corp ASM Assembly Products Amerimade Technology Inc Scientific Vacuum Systems Kulicke & Soffa Industries Inc Ishii Tool & Englneerlng Corp Aprova Ltd Slee Technik GmbH Microminiature Technology Inc Maschinenfabrik Lauffer GmbH Berkshire International Ltd Southland Cryogenics Miihlbauer AG SPT Roth Ltd Contec Inc Superior Surgical International

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 2001/2002 Product Categories

Factory Automation JEMI UK Ltd Electrical measurement: Greene,Tweed & Co Ltd Equipment John P Kummer AG DLTS, EBIC, C-V, Hall etc Hiden Analytical Inc Brooks Automation Inc Macrotron Systems GmbH Accent Optical Technologies Hitachi Scientific Instruments ESEC MDC Materials Development Concept Systems Horiba Europe GmbH Fraunhofer-IPA Metron Technology CDE Creative Design HORlBA Ltd Lighthouse Worldwide Solutions Moritani & Co Ltd Engineering Inc ICOS Vision Systems NV Shuttleworth Jnc MR Semicon Inc Dage Electronic Europa- Integral Vision N Bucher AG Surface Process Vertriebs GmbH Ionic Systems Inc Manufacturing Execution Technology Dage Precision Industries Ltd ‘ITS: Intertrade Scientific Systems Nor-Cal Products Inc Hologenix Inc JAWoollamCoInc Applied Materials Richardson Electronics Ltd Keithley Instruments Jandel Engineering Ltd Brooks Automation Inc Scanwel Ltd KLA-Tencor JEOL USA Inc CamLine Datensysteme GmbH Sedis Lake Shore Cryotronics Inc Jobin Yvon Ltd MAX International Engineering Semi Dice Inc Lehighton Electronics Inc Johnstech International Corp Group SPS Semiconductor Production Matelect Ltd KIA-Tencor Systems BV Air filtration/purification MDC Materials Development Labsphere Inc Sydec International Lake Shore Cryotronics Inc M+W Zander Facility ‘Mi-Net Technology Ltd United Mineral & Chemical Corp Lehighton Electronics Inc Engineering GmbH MMR Technologies Inc Zevatech Inc Leica Microsystems Inc Pall carp Optoelectronic Research Center Leica Mikroskopie und Systeme SAES Pure Gas Inc OSEMI Inc 12. Metrology Oxford Instruments GmbH Liquid filtration/ Equipment Philips Analytical Lixi Inc purification Polytec Logitech Ltd Sample preparation Mykrolis Corp Reedholm Instruments Co Loughborough Surface Analysis and cleaving Pall carp Scientific Vacuum Systems Matelect Ltd Allied High Tech Products Inc Semilab RT MDC Materials Development Chemical MR Semicon Inc SemiMetrics Ltd ‘M&Net Technology Ltd distribution/storage OSEMI Inc SemiTest Inc Micrion Corp ATM1 Ultra Tee Mfg Inc Solid State Measurements Inc MicroVision Corp BOC Edwards sopra MMR Technologies Inc MOCHEM Optical measurement: DLTS, PL, Raman, Sula Technologies Morgan Semiconductor Semitool ellipsometry etc Technical Software Service Products Cleanroom Accent Optical Technologies The Roditi International Corp Ocean Optics Inc consumables/apparel Avantes VeneziaTecnologie S.p.A. ENI OMICRON Associates OMICRON VAKUUMPHYSIK Berkshire International Ltd AKIC Inc Group Omron Electronics Contamination Control Apparel Dainippon Screen Mfg Co Ltd Materials analysis/ Oxford Instruments QuikPak East Coast Optical Corp Filmetrics Inc characterisatioru Pacific Precision Laboratories inspection equipment Safety equipment Hologenix Inc PhilipsAnalytical ABB Extrel ATM1 JEOL PROBION Aetrium Inc CSCl<lSystems Jobin Yvon Renishaw plc, Spectroscopy Allied High Tech Products Inc Messer Nippon Sanso GmbH MDC Materials Development Products Division RKI Instruments Inc MicroMetric Inc B.L.E. Laboratory Equipment RKI Instruments Inc ‘West Assodates (Burope) Ltd MKS Instruments Inc Bede Scientific Inc Rudolph Technologies MKS Instmments, On-Line Bede SdentiBc Insmlments Ltd Russian Research Institute of Equipment & materials Products Brooks Automation Inc Microelectronic Technology distributors Ocean Optics Inc Caburn-MDC Ltd RVSI Electronics Alliance Sales (Europe) Ltd OSEMI Inc CAMECA SAES Pure Gas Inc Bid Service Philips Analytical Carl Zeiss Inc Microscopy Scanwel Ltd California Eastern Laboratories Rudolph Technologies Division Scientific Vacuum Systems Cambridge Precision Quartz SC Technology Chapman Instruments SELA USA Crystal Support Systems Inc Semilab RT ChemIcon Inc Semilab RT Crystec TechnologyTrading SemiSouth Laboratories LLC CMK Ltd SemiMetrics Ltd Eagle-Picher Technologies GmbH SENTECH Instruments GmbH Dage Precision Industries Ltd SENTECH Instruments GmbH GEL-PAK, LLC sopra Digital Instruments,Veeco Solid State Measurements Inc ‘Groupe Amaud Electronics SulaTechnologies Metrology Group Sonix Inc Hillelian Concepts Inc Telemark EpiSoft Sonoscan Inc *ITS: Intertrade Scientific Ltd Tokyo Electron (TEL) ESCETE Single Crystal sopla ITTE: Intertmde Technology Ultra Tee Mfg Inc Technology BV Southland Cryogenics (Europe) Ltd veeco Insmlments Blc Ferrofluidics Structured Materials Industries

ADVANCED SEMICONDUCTORS BUYERS’GUIDE 2001/2oo2 ,

tm .;i,iyAk Product Categories -

Xxtron Elektronik GmbH Olympus America Inc Bede sdentlBc Ifxtluments Ltd Metrology Group

‘I&dyne Analytical InStrumentS OMICRON Associates Blake Industries Inc East Coast Optical Corp TM Microscopes OMICRON VAKUUMPHYSM Bruker AX.5 GmbH EpiSoft T’MT Inc Optem International Cascade Scientific Ltd Hewlett Packard GmbH Lrltra Tee Mfg Inc OSRAM GmbH Dage Electronic Europa- Hiden Analytical Inc Veeco Instruments Inc Oxford Instruments Vertriebs GmbH HiIIelian Concepts Inc Veeco Metrology Group Paciftc Precision Laboratories Dage Precision Industries Ltd Hitachi Scientific Instruments \eneziaTecnologie S.p.A. Philips Analytical Ferrofluidics Hologenix Inc WED Ltd Quescant Instrument Corp HCT SHAPING SYSTEMS SA Horiba Europe GmbH Raith GmbH Inel HORIBA Ltd Microscopy (optical) Renishaw plc, Spectroscopy &ax GmbH Hypres Inc Accent Optical Technologies Products Division *ITS: Intertrade Scientific GmbH Iticon Inc Acumen Inc RJ Lee Instruments Ltd Lixi Inc intax GmbH AkinCe Sales (Europe) Ltd Scanwel Ltd *M&Net Technology Ltd Ionic Systems Inc Allied High Tech Products Inc SELA USA Moritani & Co Ltd *ITS: Intertrade Scientific Ltd August Technology Corp Semilab RT OSEMI Inc Jobin Yvon Avantes BV SemiMetrics Ltd Philips Analytical Keithley Instruments Cambridge Precision Quartz Sonix Inc Richardson Electronics Ltd KLA-Tencor Corp Carl Zeiss Inc Microscopy sopra Schaeffer Technologies Lake Shore Cryotronics Inc Division SPS Semiconductor Production sopra LasIRvis Optoelectronic Chemlcon Inc Systems BV Veeco Instruments Inc Components CRTechnology STl (Semiconductor Lehighton Electronics Inc Dage Electronic Europa- Wafer probing Technologies & Instruments) Leica Microsystems Inc Vertriebs GmbH Cascade Microtech Technical Instruments Co Lighthouse Worldwide Solutions Dage Precision Industries Ltd Cerprobe Corp TM Microscopes Lindberolue M Digital Instruments,Veeco Electroglas Ultra Tee Mfg Inc Materials Development Corp Metrology Group intax GmbH Veeco M-logy Group MDC Materials Development ESCETE Single Crystal Integrated Technology Corp *M&Net Technology Ltd Technology BV JEM America Corp Microscopy (acoustic) MKS hlstnlments Inc FE1 Company Labsphere Inc Sonix MMRTechnologies Inc GSI Lumonlcs MDC Materials Development Sonoscan MS1 Electronics Inc Hitachi Scientific Instruments Micromanipulator Nor-Cal Products Inc Hologenix Inc Microscopy Micro-Probe Inc Ocean Optics Inc Horiba Europe GmbH (SEM/TEM/STM/AFM etc) Reedholm Instruments Co OMICRON Associates ICOS Vision Systems NV Allied HighTech Products Inc Silas MicroTec OMICRON VAKUUMPHYSIK *IDB Technologies Ltd Applied Materials Tokyo Seimitsu Co Ltd Omron Electronics Instruments SA Inc DME Danish Microengineering TSK America On-Line Technologies Inc Ionic Systems Inc FE1 Wentworth Laboratories OSEMI Inc *ITS: Intertrade Scientific Hitachi Scientific Instruments OSMONICS Inc JEOL UK intax GmbH Instrumentation Oxford Applied Research JEOL USA Inc JEOL Anatel Corp Arbin Instruments Oxford Instruments JIMAR Precision Systems Inc LEO Electron Microscopy Ltd Avantes BV Particle Measuring Systems Jobin Yvon OMICRON Associates J3ede Sdentitic Inc Philips Analytical Leica Microsystems Lithography RHKTechnology Be& Scientic hlsmlmellts Ltd Renishaw plc, Spectroscopy KBTEM-OMO Tokyo Seimitsu Co Ltd Kinetek Corp Beka Associates Ltd Products Division Ultra Tee Mfg Inc KLA-Tencor Bernt GmbH RHK Technology Veeco Instruments Inc Labsphere Inc Bionics Instrument Europe BV RKI Instruments Inc Leda-Mass Ltd Optical inspection Blake Industries Inc Roper Scientific/Acton Leica Microsystems Inc August Technology Corp BOC Edwards Research LEO Electron Microscopy Ltd Brooks Automation Inc Bronkhorst High-Tech BV SAES Getters LUDL Electronic Products Ltd JEOL Burleigh Instruments Inc Scanwel Ltd hlaterials Development Corp KBTEM-OMO CAMECA SC Technology MDC Materials Development Leica Microsystems Lithography Cerprobe Europe Ltd SENTECH Instruments GmbH Microcontrol Electronic Nikon Carp ChemIcon Inc sopra Micro-Metric Inc RD Automation Climet Instruments Co Spiricon Inc MicroVision Corp STI Coherent Tutcore Ltd Technical Software Service Nanometrics Incorporated Cranfield Precision Inc Telemark Navitar Inc X-ray inspection CTI-Cryogenics Televac Nikon Carp AXIC Inc Dage Precision Industries Ltd TM Microscopes Ocean Optics Inc Bede Scientific Inc Digital Instruments,Veeco Trace Analytical

ADVANCED SEMIC~N~UCTDRS BUYERS’~Ui~~ 2001/2002 Product Categories

UHV Design Aetrium Inc 15. Societies, OSEMI Inc UltraTec Mg lnc ASE RubiconTechnology Inc Zellweger Analytics Aseco Carp consultants & sopra Credence IMemory Products research Structured Materials Industries Temperature control/ Delta Design Inc SVT Associates Inc measurement Ismeca SA Society/Association VeneziaTecnologie S.p.A. Advanced Energy Industries Inc JEM America Corp Association of Vacuum Xlith Extreme Lithography Aetrium Inc Matelect Ltd Equipment Manufacturers Affinity Industries Inc Universities/Government Muehlbauer AG International Blue M Electric research organisations Pier Electronics Institution of Electrical Engineers BOC Edwards CEA Reedholm Instruments Co JEMI-France CI Systems CNR-MASPEC STI (Semiconductor JEMI UK Ltd Cool Fog Systems Inc Ferdinand-Braun-Institut Technologies & Instruments) Semiconductor Equipment CryoPhysics Ltd Institute of Electronics, Assessment Delta Design Inc Academy of Sciences of Belaruc Optoelectronic Semiconductor Safety East Coast Optical Corporation testers/handlers Moscow Institute of Electronic Association - Europe Engelhard-Clal Accent Optical Technologies Technology (MIET) VDMA Fachverband EpiSoft Advantest America Inc Optoelectronic Research Center PRODUCTRONIC Ircon Inc Labsphere Inc Univeristy of California *ITS: Intertrade Scientific Ocean Optics Inc Consultants at Santa Barbara Johnson Matthey Electronics Reedholm Instruments Co AnsoftCorp Europe Contract analysis/services Kinetics Thermal Systems STI (Semiconductor ATM1 &de Scientitlc Instruments Lid Lake Shore Cryotronics Inc Technologies & Instruments) Black & Veatch LLP BOC Edwards Lighthouse Worldwide Solutions Veeco Metrology Group Clean Room Consulting GmbH Chell Instruments Lindberg/Blue M Commonwealth Scientific (UK) ChemTrace Corp MDC Materials Development Burn-in/environmental Crystallod Inc test Crystal Support Systems Inc Megatech Ltd EMFLtd Accent Optical Technologies Dage Electronic Europa-Vertriebs MMR Technologies Inc Enhanced Technology Products Advantest America Inc Eldorado Technical Services Inc MS1 Electronics Inc Fraunhofer Institute for Solar Blue M Electric Neslab Instruments Energy Systems Epichem Inc Dage Electronic Europa- Noah Precision Inc Future Horizons Flowmeca Inc Vertriebs GmbH Nor-Cal Products Inc Gateway Modeling lGroupe Amaud Electronics Delta Design Inc Omron Electronics GC+TGuszetConsuking&Tmding Hungarian Academy of Lindberg/Blue M Oxford Instruments Hillelian Concepts Inc Sciences, Division of Process Technology Loranger International Corp Integrated Circuit Engineering Microwave Devices RHK Technology Multitest Electronic Systems Inc KLA-Tencor IBM Analytical Services Semifab Inc PANAMETRICS INC Loughborough Surface Analysis IBS - Ion Beam Services Structured Materials Industries Southland Cryogenics Luwa Lepco Ionic Systems Inc SVT Associates Inc Temptronic Corp MAX International Engineering JAWoollamCoInc Tactron Elektronik GmbH Tesam Inc N Bucher AG Surface Process Lighthouse Worldwide Solutions Temptronic Corp Trio-Tech International Technology Loughborough Surface Analysis The Roditi International Corp Web Technology Inc OSEMI Inc Matelect Ltd Tystar Corp Yamaichi Electronics QiaetiQ Ltd Materials Analysis Group UHV Design RJM Semiconductor LLC Parke Mathematical Failure analysis Uptime Semiconductor Service Spectrum Technologies Laboratories Inc Accent Optical Technologies Strategy Analytics Ltd Physical Electronics GmbH Other AXIC Inc Structured Materials Industries PSP Vacuum Technology Ltd August Technology Corp Loughborough Surface Analysis Tefen UK Ltd SAES Getters (GB) Ltd Hiden Analytical Inc Philips Analytical Venezia Tecnologie S.p.A. Scanwel Ltd Rudolph Technologies Raith GmbH Xlith Extreme Lithography Shiva Technologies Inc Semilab RT Siiss MicroTec Structured Materials Industries STI (Semiconductor Ultra Tee Mfg Inc Contract research Uptime Semiconductor Service Technologies & Instruments) UnaxisBalzersLtd AS1 -Advanced Semiconductor Unaxis USA Inc Instruments GmbH Market research 13. Test Crystallod Inc ElectroniCast Corp 14. Device IMEC Equipment JEMI UK Ltd design/modelling JEMI UK Ltd Spectrum Technologies IC testers & handlers Loughborough Surface Analysis Strategy Analytics Accent Optical Technologies EDA Software MicroChem Corp Advantest America Inc AnsoftCorp Europe Nanopowder Enterprises Inc Financial analysis AEHR Test Systems Gateway Modeling Inc NEXX Systems LLC Strategy Analytics

ADVANCED SEMICONDUCTORS BUYERS’ GUIDE 20oi/2002 ,

tm