<<

V8/ Issue I /2013 Advanced Service 6 : 12 Predict, Avoid 35 Detects Arcing Looking Ahead to and Minimize and Boosts Yield Continued Leadership Process Excursions

F a b Solutions

Solutions for Factory and Equipment Efficiency

Engineering a better customer experience F a b N anochip Solutions FAB SOLUTIONS Survey Says: You Can Do Better—And We Are Executive publisher Dana Tribula Contents [email protected] publisher Peggy Marcucci A Letter from Charlie Pappis [email protected]

EDITOR-IN-CHIEF 1 Liz Baird A Letter from Applied Materials is a place where the voice of the customer comes through loud and clear. Charlie Pappis [email protected] Each year we conduct a comprehensive customer satisfaction survey so you can tell us how we’re doing. We are now preparing for the 2013 survey, but last summer we contacted more than 1400 2 CONTRIBUTING EditoRS Swimming customers globally and got a response rate of 70%. Much of what we heard from you made us proud: in Data Gary Dagastine CHI ARL E PAPPIS across the board our customer satisfaction and loyalty ratings have gone up significantly. But there David Lammers Gu ero p Vic President Jill O’Nan were still some pain points you asked us to address. and General Manager, In this issue of Nanochip Fab Solutions, you’ll learn what Applied is doing to engineer a better Applied Global Services Design customer experience. This companywide effort encompasses technology, services, training, and Jane Olson Graphic Design process improvements. For example, you’ll read about our new TechEdge advanced support solutions that combine sensors, software and specialized services to solve the most complex manufacturing problems. With TechEdge Prizm you can quickly access data from all your CD-SEM tools so you can analyze 6 NANOCHIP is published by Advanced Service Applied Materials, Inc. problems and performance by tool, layer, recipe, product or process. And TechEdge Excursion Detects Arcing and Boosts Yield 12 © Copyright Applied Materials, Inc., 2013 Control provides automated near-real-time notification of process issues and deviations to help Toshiba: you avoid unscheduled downtime and scrap. www.appliedmaterials.com Looking Ahead to We also discuss our development efforts in arc detection in PVD chambers, using data- Continued Leadership collection hardware and software and advanced algorithms to reliably detect arc events while minimizing false positives. The result is a double-win: increased tool uptime and reduced wafer scrap and yield loss. Nanochip Fab Solutions is now delivered 15 in an environmentally-friendly online version. New technology and advanced support services are only part of Applied’s efforts. Our customer Specialty Devices Printed copies are available upon request. Filling Foundries’ service story describes how we are preparing Applied customer engineers to handle increasingly Legacy Fabs For a free subscription, or to complex problems in the field quickly and accurately, boosting system uptime and reducing costs. add colleagues to the mailing list, Availability of parts was a key issue identified in our last customer satisfaction survey, and High-Performance please send an email to Parts Teams: [email protected] in this issue you’ll read about the process and performance improvements we’ve made there. Bridging the Speed/ with the following information: When customers need new, high-performance parts to enable improvements in particle control Performance Gap • Name or uniformity, we engage with them to engineer and validate the parts with a very short cycle time. • Title When customers need parts not currently stocked in inventory, Applied’s new “idle parts program” • Company • Business address gauges availability before orders are placed, providing an accurate timeframe for delivery and 18 • Business email* enabling customers to better plan their operations. *Sorry—no general accounts, e.g., Gmail, AOL, Yahoo Rounding out this issue are two articles that let you hear from well-known industry leaders in their own words. The first is a conversation with prominent Toshiba executive Kiyoshi Kobayashi, discussing that company’s future as a 3D NAND leader, and the future of Japan as a leading Enhanced Tools and Training All trademarks so designated or provider. The second article is an interview with Gary Dickerson, who took over as Reshape the otherwise indicated as product names Applied’s president last year. Gary touches on the role of mobility in reshaping the semiconductor Customer Experience 20 or services are trademarks of industry and on the challenges facing the display and solar segments. He also offers this insight into Applied Materials, Inc. in the U.S. and PLUS: how he does business: “My philosophy is that to win, you must understand what customers need other countries. All other product and 25: FABulous Tools: service marks contained herein are and then be there first with solutions that have valuable, sustainable differentiation.” 26: Case Study: Recipe Optimization Improves Film Uniformities, Maximizes CVD Chamber Uptimes trademarks of their respective owners. The stories in this issue of Nanochip demonstrate how we live this philosophy every day as 28: Raising the Bar: Predictable Parts Deliveries we work with customers to earn their trust and continuously improve their experience with 30: New Abatement Solutions Help Achieve GHG Targets 32: Agent of Change: An Interview with Gary Dickerson Applied Materials. We hope it’s a satisfying read. 35: Predict, Avoid and Minimize Process Excursions 41: The Last Word Nanochip 1 with electrons in the material being the data to improve everything from a Applied is the only one to bundle scanned. That sends back signals, chip’s performance and power to yield. it with a multi-core machine. That which are mapped by the equipment. “Since you’re already taking machine contains 1 TB of RAM, The more critical dimensions that the image, it’s a question of how which is large even by supercomputer need to be mapped, the greater you make full use of that data,” standards. the amount of data that must be said John Allgair, senior member Applied’s new data mining processed and stored. of the technical staff and Fab 8 software, called TechEdge Prizm, patterning metrology manager at provides CD-SEM tool/recipe/ Sour ce of the problem GlobalFoundries. “We’ve been process issue identification, issue able to do traditional measurements diagnosis, and tool fleet matching. “Everyone wants to use in-line of a feature or a line width. We’ve That delivers the abstraction layer characterization because you can also seen some progress to 2D necessary for critical insights within see what you’re measuring,” said information or being able to add a a reasonable period of time. What Swimming Carol Gustafson, metrology sector contour around a feature, so you get used to take days or weeks now manager at IBM. “Other tools can’t the entire feature instead of a point. can be done in seconds, and that’s show what it looks like. With CD- But it would be nice if we also could particularly important because SEM you get numbers and images. get height information with that. metrology increasingly is moving into A characterization lab can’t produce Right now you can partially get there the realm of distributions rather than the quality of information that we with signal modeling or by tilting, or a hard numbers (see figure 1). I n Data can, but it’s a lot of data. And in the combination of both.” Consider line-edge roughness development phase, integrators That’s more data, though. Add (LER), for example, where fixed and engineers like to look at in-chip in more mask layers and increased numbers no longer exist. Rather than information because it expands the density and the amount of data goes just reporting the data, Prizm also number of targets you can see.” up yet again. provides the context necessary to “A lot of data” is an understate- utilize that data in a meaningful way. ment. Even IBM, which is credited Solutions “When you’re dealing with line-edge What’s happening with inventing data mining, won’t roughness, there is not just one tackle this problem without help. Both Applied Materials and number,” said Paul Llanos, product at the extreme end of “In an area like this within have been working to provide development manager for Prizm IBM, at a 300mm wafer fab, with solutions to the data overload the data overload world data mining software at Applied. partners going in and out all the time problem in CD-SEM. Of the two, By and why IT’s so important along with their customers, IT likes to keep a high view of the world,” Ed Sperling for Big data management said Gustafson. “But at 22nm we 4

have more mask levels, and we 3.5

have to measure more things. That 3 Nowhere is this more evident (CD-SEM). Its files are measured in also gives us the opportunity to do 2.5 So many warnings about Hours more metrology, and that increases 2 than in the semiconductor metrology tens or hundreds of gigabytes. Just Required data overload have been world, where files are measured in being able to decipher this much data the amount of data because now 1.5 issued over the past decade gigabytes. At each new process node, requires a higher level of abstraction. we’re dealing with the complexity 1 0.5 as the number of transistors and There is no equipment powerful of developing and understanding that people have stopped 0 features increase—and the number of enough to process it within a an OPC cycle or budgeting in litho paying attention. The numbers measurements increase—so does the reasonable time frame, and no way to patterning and yields issues. There are also more locations to look at Raw file search amount of data that has to be stored retrieve it quickly. In data terminology, Rework verificationProcess centering are so astronomical that Meas errors root cause Meas params analysis what’s going on.” Production image review and processed. it has to be mined just to be useful. Development image review increases tend to lose meaning. IBM isn’t alone in trying to navi- At the extreme edge of this A scanning electron microscope Current methods estimate With TechEdge Prizm barrage of data is critical dimension- takes measurements by sending out gate this sea of data. What’s new, scanning electron microscopy an electron beam, which interacts though, is trying to make sense out of Figure 1. Current methods versus analysis with TechEdge Prizm.

2 Nanochip Nanochip 3 lithographic image, and like data. What we’ve done is get smarter have industry standards on formatting 193nm lithography, the entire about aggregating the data, so you can these days. That’s good, because while TechEdge Prizm Makes CD Analysis manufacturing industry has been get a more granular look at the wafer— the resolution is okay at 22nm, at 14nm As Easy As “Point And Click” Swimming searching for a better solution. This what makes it run well versus poorly.” certain levels are going to struggle. In is easier said than done, however. (See figure 2.) particular, the critical levels are going to CD-SEM so far has proved to be the This kind of data is being used in start to fall apart. And as we continue I n Data Imagine how much easier, faster and least damaging technology, which other portions of the manufacturing to shrink, measurements will become renders it the most trusted choice process, as well. “When we first rolled more critical and more complicated.” less costly it would be to analyze a at current process nodes. Other this out, it was only the CD-SEM She’s not alone in seeing that. critical dimension (CD) if you could “You can apply different algorithms technologies can take measurements engineers who had access,” said GlobalFoundries’ Allgair said simply point to a piece of data on a and get different numbers. Even in more accurately, but frequently at Dana Tribula, vice president and chief there are serious questions about what metrology chart, immediately pull production, it requires more work for the expense of the features being marketing officer for Applied Global happens beyond 14nm. “CD-SEM is up a scanning electron microscope optimizing measurement strategies.” measured. Services at Applied Materials. “After still our most frequently used of any (SEM) image of the device or wafer LER was never an issue at older “At 20nm the data is not as seeing how Prizm could mine so much tool out there, but if you look at the at that location, and quickly make nodes because the measurement clean as it was at older nodes,” said data so quickly, we now have more papers submitted this year, there are side-by-side comparisons with other technology was sufficient to take Llanos. “For challenging levels such than 100 engineers who use it on a concerns about its evolution and the relevant CD-SEM images, data, and readings that were accurate enough. as via-in trench, you can rebuild the regular basis all over the fab.” improvements that will be possible.” wafer maps. But as feature sizes continue to target offline to consider different The genesis of a commercial But no matter which technology shrink, even minor fluctuations in LER measurement strategies, but only version of this technology was ultimately wins out, the amount of data The time needed for typical CD analyses, such as determining whether can impact performance for a device if the source data exists and can be less about a product than solving will continue to increase significantly an out-of-spec CD is due to process issues, recipe issues, or tool issues, layer. There is a direct correlation accessed quickly. This capability was problems, though. Applied originally with every new tweak and every new could be slashed from hours or days to minutes, improving yields, process between LER and resolution of a being drowned out by the volume of developed the technology as an technology hurdle. Just managing efficiency, and process/product development cycle times. internal tool, and IBM has been that data will be a growing problem. working with a variant of this Effectively using it will be even tougher. This is exactly what TechEdge Prizm from Applied Materials offers. approach for some time. Designed for use with Applied CD-SEM tools in 300mm production lines, “Prizm puts lots of CD-SEM © 2013 Extension Media and Sperling Prizm is a browser-enabled turnkey software and server hardware solution parameters together for us,” said Media Group, Republished with with powerful data-mining capabilities and an easy-to-use graphical user IBM’s Gustafson. “We can look at permission, Semiconductor interface. It collects, stores and automatically cross-references up to 10 multiple levels at the same time and Manufacturing and Design, years of CD-SEM measurement data and images in a central database. March 21, 2013 multiple levels for the same litho level. Prizm is part of Applied’s innovative TechEdge family of advanced support We also can look at CD-SEM images solutions. TechEdge products combine innovative sensors, software and that show changing aspects, patterns, specialized services to deliver greater predictability and faster time to and SIT quality, and you can look at the parameters and images.” mitigation or resolution of complex problems in the most sophisticated chip manufacturing environments. T he future Prizm allows metrologists and process, system and integration engineers While this is a major step forward to quickly access data from all of their CD-SEM tools from one server so in managing the data, the reality they can analyze problems and performance by tool, layer, recipe, product is that resolution is falling short at or process. It offers preconfigured reports to support diagnosis of typical advanced nodes. Lithography is both problems, including CD-SEM tool issues, recipe performance, and process the key—and the stumbling block—to issues correlated with lithography/etch tools. continued feature shrinks. Approaches such as About The Author TechEdge Prizm is available through an annual renewable license with or scatterometry are promising, but Ed Sperling has been an editor without a service contract. Installation, setup and training are fast and easy, they have their own problems. The and writer for more than a An Applied team installs an Applied-owned server at the customer’s site future also may entail a combination quarter century, and has spent on the customer’s network, connects it to the CD-SEM tools, provides all of what are now highly proprietary the past two decades writing updates and server maintenance, and conducts two days of training for about technology and business technologies. GlobalFoundries each user group. This annual license model allows customers to pay just the submitted a paper at SPIE that issues. He is editorial director license fee for using the software, providing the potential for a high return focused on combining measurements for Semiconductor Manufacturing on investment and fast payback, plus the flexibility to use operating funds from multiple tools. and Design, editor in chief instead of having to justify a capital expenditure. “The more tools interact the of System-Level Design, and better,” said Gustafson. “The editorial director of Low-Power For additional information, contact [email protected] question is whether the vendors are Engineering. Contact him at willing to do that or whether IT will [email protected] Figure 2. Applied’s TechEdge Prizm enables users to analyze problems by tool, layer, recipe, product or process. have to make it happen. At least we

4 Nanochip Nanochip 5 Deeper collaborations are growing between manu- Chamber damage from impact can be to the deposition with adjustable sample rates, to meet varying needs. facturers and OEM service providers to meet these ring and ESC. Wafer damage can result in scrap of the For PVD applications, probe voltage is used to capture needs. These relationships tend to be characterized by a entire wafer or yield impact to one or more die, depending wafer arc events. focus on yield, and time to yield, so as to drive overall fab on magnitude. Such an FDC system must have the flexibility to optimize productivity, rather than the historical “fix when broken” In some cases these incidents aren’t identified the arc detection models for all critical process-recipe steps. focus on specific tools. until numerous wafers have been processed, and so it For example, a unit process typically contains multiple process This is driving a new model for manufacturing is essential to identify arcing at the time of the event. steps with stabilization steps between the transitions. The support—one that couples advanced technology, including However, conventional FDC systems generally don’t ability to define specific algorithms for each process step— sensors and software-based measurement and monitoring capture all wafer arc events for two main reasons. One is and for the transition steps as well—is critical for success. applications, with specially trained, highly experienced OEM that their sample rate isn’t high enough to capture arcs To determine which signals matter and which don’t, the service personnel to engineer and implement solutions. of short duration. Typical DC bipolar arcs occur on a process recipes are characterized for performance over time. Given the tremendous amount of data generated in timescale of ~5 to 600ms, whereas typical data-collection Correlations are developed between (1) on-wafer results and today’s highly networked fabs, this new advanced services frequencies are ≤5Hz. Thus, detection of arc events is observed signals that may be arc events, and (2) in some cases, approach can be used to find the root cause(s) of complex sporadic (see figure 2). between these observed signals and chamber inspections. problems and devise cost effective solutions. The second reason conventional FDC systems are One complication is that depending on the process- and A case in point is a recent project in which Applied unable to capture all arcing events is the signal-to-noise ratio chamber-type, the raw data captured can contain strong engineers worked with a customer to develop solutions of measured process parameters isn’t high enough to capture stationary waves, which can make it difficult to reliably to the problem of arcing in physical vapor deposition anomalies amid typical process conditions. This results not identify arc events. FFTs were applied to identify frequencies (PVD) tools. The team used a modified fault detection and only in missed arc events but significant false positives as Adv anced classification (FDC) system, fast sampling frequencies for well, which can lead to excessive equipment downtime to 5Hz Data better data collection, and sophisticated signal-processing determine whether an arc event actually occurred. techniques such as Fast Fourier Transform (FFT) and service wavelet transforms to improve the signal-to-noise ratio to D QI Ata AC U SITION SYSTEM increase arc capture rate and minimize false positives. Applied Materials developed a high-sample-rate PVD AR CIng PROBLEMS arc detection system and interfaced it with an existing Applied Materials E3 FDC system. The Applied-developed Dec te ts Arcing A DC bipolar arc is an arc between two surfaces at software drivers analyze the signal waveforms, line up different potential. Arcing is a potential problem in almost the data with wafers and recipes, and then generate Signal clipping at 5Hz sample rate showing inadequacy and boosts yield all plasma environments in semiconductor manufacturing notification alarms or tool actions as specified and of low sample rate for capturing all arc events and in certain cases can have a major negative impact on configured by the customer (see figure 3). The system can wafer scrap and yield. On a PVD tool, DC bipolar arcs can collect analog signal data for multiple process parameters, Figure 2. Example of 5Hz data showing that conventional FDC sample rates can Leading-edge semiconductor occur due to (1) wafer misplacement, resulting in contact miss arc events due to inadequate sample frequency. manufacturers find themselves with metal deposition on the deposition ring, (2) excessive deposition on the ring, (3) peeling of deposition on the ring TOOL SIGNAL HIGH-FREQUENCY DATA FDC SYSTEM grappling with three major PROCESSING (for one or more tool parameters) FOR SIGNAL PROCESSING which then touches the wafer, and (4) deposition on the DAQ challenges: the need to ring that touches deposition on the electrostatic chuck perform and integrate many (ESC). Arcing may cause ablation of underlying materials, wafer breakage and/or chamber damage (see figure 1). process steps to achieve desired device performance, the need to reach target yields as fast as possible for profitability, and the need to By transition quickly between SCOTT technology generations to SINGLEVICH satisfy customers. Figure 1. 300mm wafer with DC bipolar arc (grey trace FDC SYSTEM FOR ARC DETECTION, NOTIFICATION, ARC DETECTION SIGNALS FROM WAVELET ANALYSIS AND REPORT DELIVERY (for one or more tool parameters) at wafer edge). The magnitude of these arcs varies, and TO CUSTOMER SPC, MES HOST their extent can range from just the wafer edge to most of the wafer. These arc events may scrap the entire wafer or Figure 3. Example of FDC system for arc detection. It requires high-rate data collection, the ability to assimilate the data in an acquisition system, impact yield on one or more die. signal processing capabilities, knowledge of which excursions matter, and then the ability to perform appropriate preventive action.

6 Nanochip Nanochip 7 Raw Data Signal Trace Adv anced -50 -55 of dominating strong stationary signals. Once they were After wavelet analysis was applied to the raw data -55 service identified, band-stop filters were applied to eliminate them; in with the inserted arc events, the separation of noise, arc -60 -60

Dec te ts Arcing this case, two layers of second-order band-stop filters were signature (“spikes”), and original trace data can be seen in Voltage Voltage and boosts yield applied to the signals, and the resulting data was provided for figure 6. Figure 7 shows the original simulated arc events -65 wavelet analysis. with the resulting analysis below them. -70 -65 0 1000 2000 3000 4000 5000 0 1000 2000 3000 4000 5000 A sampling frequency of 1000Hz can reliably detect short duration arc events down to ~15ms, but if detection Noise Spikes Identified DC IS Rete WAVELET ANALYSIS 5 6 of shorter duration arc events is required, the sampling ∞ Applied based the arc detection system on wavelet A n h 2n — k A k (1) frequency must be increased. Current hardware allows the 4 j+1 [ ]= [ ] j[ ] theory, which has begun to be used as a signal processing k=Σ—∞ sample rate to be increased to 250kHz. 0 tool in several applications, including pattern recognition, Voltage Voltage 2 ∞ acoustics signals, and image processing.[1-5] A powerful tool RE SUltS Dj+1 n = g 2n — k Aj k (2) for non-stationary signals, wavelet analysis can decompose [ ] [ ] [ ] -5 0 Σ— k= ∞ a signal into different time windows and frequency bands via The Applied Materials arc detection system was tested 0 1000 2000 3000 4000 5000 0 1000 2000 3000 4000 5000 g[n] 2 a wavelet scaling function, which creates useful scaled and in a four-chamber production environment for a PVD TaN Level 3 coecients shifted versions of the mother wavelet. application over a 60-day period to validate the original Figure 6. Wavelet analysis for signal with inserted arc events, demonstrating g[n] 2 h[n] 2 The underlying theory is this: A discrete wavelet simulation results. Figure 8 shows an actual arc event in one separation of noise, original signal trace, and arc signature. Top left graph is the transform (DWT) decomposes a signal into approximate of the process recipe steps. g[n] 2 h[n] 2 Level 2 original 1000Hz signal with simulated arc excursion events inserted. The top right coecients (Aj) and detail coefficients (Dj) by the convolution of the While the wavelet approximation coefficients contain graph is the signal extracted from the raw signal using wavelet decomposition. The x[n] h[n] 2 Level 1 signal and the impulse responses of the low-pass (h[n]) the general trend of the original signal, a resampling coecients bottom left is the noise component, and the bottom right is the resulting output for and high-pass (g[n]) filters (see figure 4). At the first level algorithm was used to reduce the sample rate to 100Hz. arc excursion separation. of wavelet decomposition, the raw signal is decomposed (This is referred to as oTrace data.) The resampling Figure 4. The equations show how a discrete wavelet transform composes a signal Spikes Added to Data to A1 and D1. At the second level, A1 is decomposed to A2 reduces the amount of stored data without sacrificing any 6 into approximate (Aj) and detail coefficients (Dj) by the convolution of the signal [6] and D2, respectively, as shown in figure 4. Generally, the important frequency components of the oTrace signal. The and the impulse responses of low-pass (h[n]) and high-pass (g[n]) filters. The 4 approximations (Aj+1) and details (Dj+1) at level j+1 can be spike transformation signal provides for detection of short subscripts ‘j’ and [n] represent the level of wavelet decomposition and the data expressed by convolution equation (1) and equation (2), duration arc events—those that are less than 100ms in

Voltage 2 point passed to the filter, respectively. The block diagram illustrates three-level where h and g are the impulse responses of the low-pass and duration. The spike and oTrace data are shown in figure 9. wavelet decomposition. high-pass filters, respectively. These are discrete equivalents Figure 9 shows the spike data does contain low-level 0 to the scaling function and wavelet. noise, so a 3% dead-band filter was applied to that data to 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Raw Signal Spikes Eliminated from Data Using Wavelet Analysis -50 Applied used the DWT method to isolate the arc signals reduce the noise. The 3% magnitude is obtained from the 6 inserted in the probe voltage measured from the PVD tool. maximum signal strength of the original raw data. -55 Wavelets were used to separate noise, and the base voltage Process ramps and transition steps can generate false 4 signal then was used to isolate the arc signal. Applied positives because they may appear as an arc event unless Voltage -60 Voltage ultimately used a nine-level wavelet decomposition to build properly identified as a known transition step. The Applied 2 an arc detection system for the customer. E3 FDC system can define specific recipe start and stop -65 0 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 windows within a univariate statistical monitoring model 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Raw Signal with Spikes Added In It SIGNAL PROCESSING METHODOLOGY to exclude these transition steps. The univariate models -50 Figure 7. Comparison of inserted arc data added (top) and arc events identified by or statistical control charts are used to analyze the wafer SPIKE 1 SPIKE 2 SPIKE 3 SPIKE 4 A key requirement was the ability to improve the signal- wavelet analysis (bottom). -55 to-noise ratio. To develop the signal-processing methodology -60 needed to do this effectively, Applied used critical parameter Spike Data and oTrace Data Voltage 0.8 -65 data taken at high sample rates from the customer’s tools. Raw Data from FDC System 1.8 Spike data This data was used to develop simulations of a single 0.6 -70 1.6 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 wafer, a known recipe, and an actual process step. Then, 0.4 Number of data points 1.4 Voltage 0.2 -54 four simulated arc excursion events of varying durations 1.2 and magnitudes were inserted in the 1000Hz raw data to 1 0 -56 0 5 10 15 20 25 30 35 40 determine the sensitivity of the arc detection methodology 0.8 Seconds -58 (see figure 5): Voltage 0.6 2 Voltage Arc 1: duration of 40ms and 2.5 volts magnitude 0.4 -60 1 Arc 2: duration of 20ms and 5.5 volts magnitude 0.2 -62 0 0 5 10 15 20 25 Arc 3: duration of 15ms and 3.75 volts magnitude Voltage 0 Number of Data Points with 5Hz Sampling Frequency -0.2 oTrace data Arc 4: duration of 100ms and 5.75 volts magnitude 0 5 10 15 20 25 30 35 40 -1 Sampling theory tells us a 5Hz sample rate isn’t adequate Seconds 0 5 10 15 20 25 30 35 40 Figure 5. Top graph is the raw data signal for a critical parameter and the middle Seconds to reliably detect arc events less than ~400-600ms, and so, graph is the raw data signal with simulated arc excursions inserted. The bottom not surprisingly all simulated arc events at a 5Hz sample rate graph shows the decomposed 5Hz sample from the top graph. Figure 8. Raw 1000Hz signal showing arc excursion event Figure 9. Spike and oTrace data after processing with wavelet algorithm were not reliably detectable (see figure 2). for one recipe step. for the same arc event shown in Figure 8. 8 Nanochip Nanochip 9 Adv anced Criteria Product Goal Arc Event Arc Events Applied E3 statistics for arc detection. This is a critical step in order to Totals identified by The results service define the proper model limits for real arc excursion events customer’s FDC & Dec te ts Arcing and other excursion events that are not yet correlated to Validated with and boosts yield on-wafer results. Hence we have developed two classes Applied Arc Detection of univariate models: one class targeted only for validated Wafer arc detection rate >98% 5 3 (60%) 100% arc events and one class to identify other excursions that require further investigation. It is critical not to count these Wafer arc false positives <2% 0 15 (75%) 0% “other” excursions as false positives. (~150 hrs downtime) Statistic = max spike Arc event 2 The univariate or statistical model alarm limits are Arc detection system availability >98% 99.70% determined for each customer process and recipe using historical data and analysis of actual arc events. Then Figure 12. Four-chamber test results over 60 days, including capture rates, false positives and availability. Customer false Arc event 1 statistical limits are applied for arc detection. positive arc events were validated with Applied arc detection by showing no arc events occurred. The univariate model that identified the arc excursion events in figure 11 is shown in figure 10. As can be seen CUONCL SION in figure 11, the first arc event occurred very close to the Applied used sophisticated data-collection hardware recipe start step. This part of the overall univariate model and software, along with advanced algorithms and deep development strategy is critical to achieve a high arc Five arc events detected by Applied Materials E3 process/tool knowledge, to help the customer create a robust capture rate and minimize false positives by not picking up solution to reliably detect arc events. The Applied system recipe transition steps as arc excursion events. also minimized false positives, thereby improving tool uptime In the customer’s actual production environment, Warning Critical Target by avoiding the need to devote time and other resources to the Applied Materials arc detection system showed investigate false alarms. consistent identification of arc events. It also identified Figure 10. Univariate chart showing detection of the two arc excursion events The Applied arc detection system also provides event- and confirmed false positives seen with the in-house FDC illustrated in Figure 11. alarm notification and can communicate with the customer’s system (see figure 12). Three arc events detected by customer A MES system for tool interdiction, adding significant value by reducing wafer scrap and yield loss. Moreover, its ability to detect short-duration excursions may help identify leading 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 Time STEP 2 indicators prior to large arc events, further reducing defects 5-SECOND WINDOW E3 recipe step and scrap wafers. Arc event detected by Applied E3 Arc event detected by customer A STEP 3 window end

30ms arc event at Thanks to the following individuals for their contributions to this Figure 13. Arcs detected by Applied E3 and arcs detected by customer A. start of recipe step article: Michael Armacost, Paul Ewing, Michael Johnson, and Kommisetti Subrahmanyam

For additional information, contact [email protected]

[1] S. G. Mallat, “A theory of multiresolution signal decomposition: the wavelet representation,” IEEE Trans. on Pattern Analysis and Machine Intelligence, vol. 11(7), pp. 674–693, 1989. False positive reported by Applied Materials E3 [2] K. Jemielniak, J. Kossakowska, and T. Urbanski, “Application of wavelet transform of acoustic emission and cutting force signals for tool condition monitoring in rough turning of Inconel 625,”

Arc event in step window Proceedings of the Institution of Mechanical Engineers, Part B: 120ms Journal of Engineering Manufacture, vol. 225(1), pp. 123-129, 2011. [3] W. Zheng and W. Wu, “Detecting low-voltage arc fault based on STEP 1 False positive reported by customer A E3 recipe step STEP 4 lifting multiwavelet,” Asia-Pacific Conference on Computational window start 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 Intelligence and Industrial Applications, pp. 254-257, November Time - 0:05 - 0:00 0.00:05 0.00:10 0.00:15 2009. False positive by Applied E3 False positive by customer A Time [4] W. J. Li and Y. C. Li. “Arc fault detection based on wavelet packet,” Proceedings of 2005 International Conference on Machine Learn- Figure 14. False positives detected by Applied E3 and false positives detected by ing and Cybernetics, vol. 3, pp. 1783-1788, 2005. Figure 11. The graph illustrates arc excursion events occuring during process steps. It shows a process transition step prior to the [5] Y. Yi, Q. Yu, A. Dong, and D. Zheng, “Study on the fault arc on-line customer A. defined start window for step 3, and arc events inside the defined step 3 window. These events were confirmed by arc marks on detecting based on wavelet singularity detection principle,” ICIE the wafers and the deposition ring, which resulted in the need for a kit and target change in the chamber. The blue line is one 09 proceeding of the 2009 WASE International Conference on wafer with the first arc event. The red line is a second wafer with an arc event. The grey line is a normal wafer. Information Engineering, vol. 2, pp. 151-154, 2009. [6] M. Antonini, M. Barlaud, P. Mathieu, and I. Daubechies, “Image coding using wavelet transform,” IEEE Trans. Image Processing, vol 1(2), pp. 205-220, 1992. 10 Nanochip Nanochip 11 TOSHIBA: Three-dimensional NAND NAND and development teams is one way to overcome the working to bring vertical NAND to scaling challenges now facing the the market. LO EO KIng AH AD . But vertical Kobayashi noted that while NAND structures are quite different physical limits are getting closer from planar architectures, and so for planar NAND, nobody knows TO COntinuED LEADERSHIP the industry is eagerly anticipating whether 2D or 3D architectures will 3D memory products from memory win the race in the next few years. leaders like Toshiba, in the hope they Among the contenders at Toshiba will overcome the twin hurdles of are three NAND-related R&D high yields and excellent reliability. projects for non-volatile storage: Kiyoshi Kobayashi, corporate BiCS, ReRAM, and conventional executive vice president, president scaling of planar NAND. Kobayashi Kiyoshi Kobayashi, Corporate Executive Vice President, and CEO[1] of the Semiconductor said he welcomes the competition, President and CEO of the Semiconductor & Storage Products & Storage Products Company of noting that each memory cell Company of Toshiba Corporation Toshiba Corporation, said, “Finding candidate has slightly different another approach to scaling is a characteristics, which could support Toshiba has also started forming must. For example, lithography is a different applications. Planar NAND alliances with other Japanese com- key scaling challenge, and we have to scaling has economics going for it, panies, including materials suppliers, go beyond the orthodox method of said Kobayashi, adding his view that and these activities will stimulate shortening the exposure wavelength. planar NAND cells may be extended creativity and lead to new applica- But the successful development of 3D to the 10nm node despite what tions, he said. Rather than try to do memories requires progress in many skeptics say. “To make it happen, everything, Kobayashi is creating areas, such as precise deposition of we are working on DSA (direct a priority-driven business model. many materials involving delicate, self-assembly patterning) and other “Along with memory projects, we high-aspect-ratio vertical processes. methods, not relying on EUV too will also concentrate on crucial logic Applied Materials is helping us work much.” products, including measurement, through these issues.” control, and communications, as well That is why Toshiba is active GREATER COLLABORATION as high-frequency products.” on many fronts, investigating Kobayashi said Toshiba has multiple approaches to lithography, INTELLIGENT CONNECTIVITY many highly skilled engineers, patterning, materials, and process but he recognizes the need and As the world moves to cloud A Conversation with Kiyoshi Kobayashi technologies for memories and potential benefits of fostering computing and the “Internet of logic alike. One key initiative is greater collaboration with other Things,” Toshiba is promoting the a novel architecture called bit talented engineers, both within ability to connect intelligent devices cost scalable (BiCS), which has Japan and with non-Japanese with local wireless capabilities, rather a 3D structure of multi-layered Although many things have changed since Toshiba companies. To that end he is than sending everything to distant stacked cells. Toshiba soon will supporting a research and marketing servers in the cloud. begin sampling BiCS NAND flash By invented NAND flash memory in the 1980s, one thing operation in San Jose, California. For example, by merging local prototypes. “I have enormously high For example, with its partner, NAND storage with wireless links, Toru has remained exactly the same: the company’s continuing expectations for BiCS. It’s going to be SanDisk, Toshiba recently Toshiba has developed a product a great product,” Kobayashi said. Watanabe drive to innovate and build on its position as a leading introduced highly advanced called FlashAir, an SDHC memory memory products, including a card with Wi-Fi functionality. Inserted C OMpetition WITHIN and Saho NAND vendor. This has never been truer than it is now, 128-Gbit flash memory produced into a digital camera, for instance, as vertical NAND architectures and other memory Within the NAND arena, intense with 19nm process technology, and it serves both as data storage and Tateno competition exists between process a 32-Gbit bilayer cross point-type as a web server for devices such as technologies begin to enter and upend the market. engineers working to extend planar ReRAM. smartphones, tablets and PCs.

12 Nanochip Nanochip 13 TOSHI BA: between its system-on-chip and 70 yen to the dollar. The Yokkaichi fab LO EO KIng AH AD memory engineering teams. “We saved Toshiba, Kobayashi said, adding TO CONtinuED LEADERSHIP are working on that in our own way. that “most of the time we were in the A Conversation with Kiyoshi Kobayashi The accelerator and SSD (solid black.” state drive) based on NAND will be Kobayashi is optimistic about working at 100- to 10,000 times the ability to profitably run fabs in his Sea p ci lt y A photographer can check the image faster transfer speeds than today’s country, with its talented workforce BY data in the camera wirelessly, without cloud systems in existing data and renowned culture of quality and using a cable. “Each user will start centers. The concept of server and manufacturing excellence. However, to David owning a local web server. That will storage will become unified.” leverage those strengths, he believes be an answer to the information Such innovation depends in they need to overcome regional Lammers devices explosion challenge. Toshiba strongly part on Toshiba’s skill at interface challenges of high costs, including believes that this is going to happen,” technology. Their new products, electricity. “Toshiba Yokkaichi has Kobayashi said. such as FlashAir and unified storage an aggressive energy-conservation While the amount of data products, have adopted new program, and the technology for saving interfaces, which improve the data energy in Japanese factories is moving FILLING FOUNDRIES’ LEGACY FABS wirelessly uploaded and downloaded between the cloud and local users transfer speed and reliability of NAND- at a fast pace. People in Japan are is growing at 100% a year, wireless based systems. “We have a lot to learn willing to invest to realize that.” bandwidth and the capacity of the from Toshiba’s SoC department,” The spate of mergers within communications infrastructure Kobayashi noted. “Developing flash Japan’s semiconductor industry over With an eye to some of the industry’s fastest-growing markets, increases about 40–50% per year, memory products does not necessarily the past few years has attracted world- leading to network overload. Peer- mean looking only at flash memory. wide attention. Kobayashi said he has foundries are increasing their investments in specialty processes. to-peer communications, which Only those companies that understand his doubts whether such mergers are eliminate the need to go up to the interfaces, controllers, and data the most effective answer to the tough While these specialty devices are often made with less-than-leading- cloud, would help solve that issue. protocols can run a profitable business business situation in that country. “A edge technologies, in fully depreciated fabs, they require unique Short-distance peer-to-peer in the end. I believe that is Toshiba’s merger makes the two companies into communications (5–10 meters philosophy of comprehensiveness.” one bigger one. However, they tend to process know-how. And they are being used in some of the industry’s between local users) will require a Kobayashi said Toshiba’s have a type of management that is less great deal of mobile storage capability, success rests on its early emphasis balanced and cohesive. There should most interesting applications, pointing the way to new features on cars, and 3D NAND flash will enable the on NAND, fruitful partnerships with be other options in order for things to small form factors and high storage companies such as SanDisk, and improve in Japan.” smartphones, tablets, and notebook computers. densities required. “That capability is continued productivity improvements Having lived with the difficulties what really matters from now on and at the company’s memory center in facing Japan over the past two is why products like our FlashAir are Yokkaichi, Japan. decades, many in that country are important,” said Kobayashi. pessimistic about Japan’s ability to But 3D NAND will play a YKI OK A CH FAB IS KEY rebound. Kobayashi does not share that view. “I would say that we have critical role at the other end of the Opened in 1992, the Yokkaichi a chance to recover. Everyone is storage spectrum as well, in Big fab has grown to be one of the most approaching Japan’s challenges with Data applications. Toshiba is also competitive NAND production sites patience and the willingness to try working on innovative Big Data in the world, where employment has new things. My view is that whenever memory solutions aimed at cloud increased by 40X over the past two something bad happens, hidden computing, developing synergies decades, to 5,200. behind it is another good opportunity. “High productivity, fast time to And whenever something good market, and operating on a large scale happens, vice versa. So we just have to have been Toshiba’s strengths in the be careful not to neglect the basics.” NAND business. If you have a factory like Yokkaichi, you can survive any Toru Watanabe is regional president time,” said Kobayashi, adding that he of Applied Materials Japan, based in believes investments will step up as the Tokyo. Contact him at toru_watanabe@ value of the yen stabilizes. amat.com. Saho Tateno is a freelance Toshiba has remained profitable writer in Tokyo. despite recent severe swings in the [1]Title at date of interview, March 2013. Toshiba Yokkaichi Fab-5 yen’s exchange rate, from 120 to Photo courtesy of Taiwan Semiconductor Manufacturing Co., Ltd.

14 Nanochip Nanochip 15 Sea p ci lty devices FILLING FOUNDRIES’ LEGACY FABS

stacked approach that allows GLOBALFOUNDRIES is and hermetic sealing for Compound Annual Growth Rates the imaging device to be investing half a billion dollars wafer-level encapsulation. mounted on top of a signal- GLOBALFOUNDRIES also is in its Singapore fabs, driven in Sensors Sensors 16% processing chip. part by increased demand for working with Singapore’s A*STAR 15% While CIS growth in MEMS, power transistors, and Institute of Microelectronics to Opto smartphones and tablets automotive-use ICs. Marketing develop platform technologies Opto 11% 10% has garnered much of the director Paul Colestock said so for specific MEMS applications. ICs Power attention, is leading many ICs are being used under 8% Trans Power 8% an effort to expand the the hood and in the cabin that P ower Transistors Trans use of gesture-recognition cars should be looked at as “a Meet Energy Challenge 6% ICs technology in notebook PCs. mobile device with a car wrapped 2% Systems companies across “Image sensors for gesture around it.” Colestock pointed to 2007-2012 2012-2017F the board are working to reduce Source: IC Insights recognition are yet another devices used in facial recognition power consumption, and more Figure 1. While ICs are expected to show robust growth out to 2017, human interface, in addition systems that track a driver’s eyes efficient power transistors and specialty products as a category will outperform the larger IC market. to voice recognition,” Lineback to help ensure that he or she is Some analysts believe Apple is poised to use fingerprint recognition as a form of security for its mobile systems. power management ICs are said. Some implementations driving safely. Again, specialty processes STMicroelectronics in Europe, playing a major role. Hybrid and Taiwan Semiconductor management ICs, are making IC Insights, said demand for are based on infrared cameras Rakesh Kumar, the are called for, using relatively Toshiba and several others in electric vehicles; smart power on Manufacturing Co., LTD a gradual transition to 300mm these sensors exploded when that “look at you and recognize Singapore-based senior relaxed design rules. Aabid Japan, and Fairchild Semicon- the grid; solar, wind, and other (TSMC) founder and CEO wafer capacity, she added. smartphone vendors started if the user is waving his arms or director of the MEMS program Hussain, senior director of ductor among the U.S.-based alternative energy systems; and Morris Chang told investors LEDs are an area of intense including two cameras in every making some other gesture.” at GLOBALFOUNDRIES, said business development at vendors. New startups are enter- servers used in data centers are recently that while TSMC technological development, cell phone, facing forward Last year Apple bought a the foundry has made a major GLOBALFOUNDRIES, said the ing the field as well, clustered driving the use of new power executives “talk about advanced where older fabs are well suited and backward. And as the leading vendor of fingerprint push in MEMS manufacturing foundry has developed a “lite” into one camp that favors silicon- transistors. technologies most of the time, to the cost and technology video images from the Boston recognition devices, Florida- technologies, starting in bipolar-CMOS-DMOS (BCD) carbide substrates, and another IC Insights predicts that the specialty technologies are requirements of the new forms Marathon bombings revealed, based Authentec, and some 2011. “Our initial focus was process for relatively low-voltage that favors gallium nitride. power transistors will see growing even faster and already of lighting. image sensors are playing an analysts believe Apple is to develop key module and power transistors, and soon will “From a manufacturing an 8% CAGR between 2012 account for a very significant TSMC has long cultivated increasingly important role in poised to use fingerprint integration capabilities that unveil its full BCD process, which point of view, all of these devices and 2017, slightly better than volume of our revenues.” the leading fabless vendors security systems. Cars and recognition as a form of would allow us to provide is capable of higher voltages. have special requirements. They the last five years (2007 to Chang noted a long list of of CMOS image sensors, machine vision systems also are security for its mobile systems, some form of reproducible The major foundries face need certain types of equipment, 2012) when power transistors applications that are propelling including Omnivision (Santa using many more CIS devices, which are often targeted by and reusable building blocks,” intense competition in power or changes to the fab lines. grew by 6% versus 2% for the demand for specialty processes, Clara, California) with annual part of a larger swing away from thieves. he said. Those building blocks transistors from integrated These are areas where older fab semiconductor industry at large including fingerprint recognition, revenues in the $2 billion range. charge-coupled devices (CCDs). Swipes and other forms include cavity SOI wafers, device manufacturers (IDMs) lines, using older equipment, can (see figure 1). motion sensing, near-field com- Chang referred to CMOS image For the 2012–2017 period, IC of user interface gestures poly TSVs for interconnects, ranging from Infineon, NXP, and generate fairly good revenues. munications, and touch sensing. sensor (CIS) products as “an Insights forecasts a doubling have become as much a part Areas that have been in the “These enhanced requirements old friend but still a very exciting in demand for automotive-use of the computing culture backwater have become more for human-machine interfaces technology.” Omnivision’s CIS devices, with roughly six in as the GPS-based location interesting, showing stronger will fuel the growth of TSMC’s revenue increases back that every car. systems that tell users where and more consistent revenue specialty technology businesses up: for the third quarter of fiscal Lineback, who manages the nearest coffee shop or growth than standard digital,” in mixed-signal MEMS, embed- 2013, ended on January 31, 2013, the IC Insights annual report on gardening store might be. Lineback said. ded flash and high voltage,” Omnivision’s sales were $423.5 O-S-D, said some CMOS image Indoor location services are “For a lot of these specialty processes that, he said, “are now million, as compared to $185.2 sensors are moving to 300mm, on the horizon, based on components the die size is not embedded” in the process tech- million in its fiscal 2012 third but “the vast majority are made altitude-sensing MEMS that very big, and 200mm wafers will nologies of 40nm and wider. quarter. Last year, industrywide on 200mm wafers, and those can compute elevations and serve them for a long time,” he Joanne Itow, foundry revenues for all CIS products that are used in stacked packages thereby do a better job of concluded. analyst at Semico Research, said hit $7.1 billion, up 22% from the are all done on 200mm to my providing location information MEMS and LEDs continue to previous year. knowledge.” within a building. Veteran journalist David Lammers, use 200mm and even 150mm Rob Lineback, who tracks Packaging also plays a role, MEMS products are often formerly Tokyo bureau chief for wafers. CMOS image sensors CIS as part of the optoelectronics, as CMOS image sensors are put made on 150mm wafers; some Electronic Engineering Times, and some analog components, sensors, and discretes (O-S-D) together with other chips. , MEMS are just now moving to has covered the semiconductor including high-volume power sector for market research firm for example, has developed a 200mm, Lineback said. Photo courtesy of Taiwan Semiconductor Manufacturing Co., Ltd. industry since 1985.

16 Nanochip Nanochip 17 The question is, what’s The idea is that a focused, has performed well for years nano-composite coating. The the best way to develop and comprehensive understanding and Applied’s refurbishment new design had been proven in implement a replacement parts of a customer’s requirements services helped maintain a low several applications to lead to solution? Some customers feel and problems will result in cost of spares (CoS). However, fourfold lifetime improvements the best approach is to use a superior solution in the as customers extend this for some of Applied’s newest local suppliers because of their shortest amount of time. process to today’s leading-edge chambers. proximity and ability to respond Good collaboration and nodes, tighter requirements Even so, additional quickly. However, this carries the communication is vital to the for process and particle improvements were required risk that the supplier will have success of these efforts, which performance are driving notably to overcome the high running inadequate knowledge of the is why talking engineer-to- higher CoS for this part. temperatures of the Hot Al tool or the advanced process and engineer is so critical. New processes and film chamber in the customer’s tolerance stacks, which can have stacks were causing the legacy application. Several iterations drastic effects on wafer results. A BETTER high-temperature MCA ESC of metallic interfaces between When a local supplier must ELECTROSTATIC CHUCK to wear four times faster, the chuck and the nano- produce multiple revisions DESIGN leading to escalating running composite coating were of a replacement part in a For example, I recently costs. Although die loading in optimized by Applied’s subject trial-and-error effort to meet went to a customer site to advanced technology nodes matter experts, sourced specifications, the benefits of review a High-Performance helps customers reduce overall quickly, and then evaluated quick response melt away and Parts engagement. Several of cost per die, a fourfold increase directly by the customer in costs associated with under- the customer’s processes use in ESC refurbishment costs— their fab to fine-tune chuck utilized tools and split lots a high-temperature minimum- the highest ticket item for this performance (see figure 1). mount. Many customers have contact-area electrostatic chuck chamber—was unsustainable. Applied Materials engineer the (MCA ESC). One application An engineer from the GLOBAL AND LOCAL parts, because of our inherent High-Performance Parts uses Applied’s Hot Aluminum So what makes the in-depth knowledge as the Team worked with the (Al) chambers, which are now High-Performance Parts effort OEM, access to design and customer and Applied’s Metal transitioning into the most new? The essence of the process engineering capability, Deposition Product group to advanced technology nodes. change is a commitment to and broad experience. identify a much lower CoS The majority of these speed and close collaboration, But Applied’s traditional solution. Ultimately, the team chambers were designed including engineer-to- approach in solving component- recommended a new MCA in the 4Xnm nodes era and engineer engagements level problems for customer design with an advanced installed years ago. The ESC and sample sourcing, the requests is to qualify the parts Hiherfg -p ormance flexibility to qualify parts in the in an Applied tool lab—an customer’s fab, and support at environment that is quite the ready to ensure success. different from a high-volume parts teams Applied is also sensitive to production fab. Sometimes this costs and so we strive for takes longer than customers the lowest CoS solutions would like. (measured on a cost-per- Bridging the Speed/ To bridge the gap between wafer basis). speed and satisfaction, The High-Performance Applied has established Parts effort enables Applied to High-Performance Parts Performance Gap deliver both the expertise of the Teams. Their purpose is to OEM and the responsiveness engage in engineer-to-engineer Anyone who’s worked with semiconductor production equipment of the local supplier, so we BY collaborations with customers can more effectively help our long enough knows there are occasions when high-performance, in order to more quickly ADAM KEMPF customers solve their most develop and validate new application-specific replacement parts can be used to modify tools pressing challenges. parts that meet customers’ evolving application-specific for improved results or extend them to more advanced technology For additional information, requirements. Once new contact [email protected] nodes. Doing so can significantly reduce a tool’s total cost of designs are finalized, the team Figure 1. Replacement minimum-contact-area electrostatic chuck, engineered for a customer’s specific application with a nano-composite ownership and minimize capital outlays. sources these parts as quickly as local vendors. coating and other features that help maintain a low cost of spares (CoS).

18 Nanochip Nanochip 19 Enne ha c d Tools and Training

Yet, for semiconductor ability and operating life of by deploying top-notch field their collective capability and manufacturers and their component parts, but it also engineers, cultivated by how that manifests in a great equipment vendors alike, some depends on the stability of the effective recruiting, training and customer experience. People, re Shape things stay the same. Device process and the capabilities of continuing education practices, processes and tools come geometries continue to shrink, the service team. Do main- and efficient use of robust together to ensure our customers’ cost pressures are relentless, and tenance procedures rapidly information systems. most important business issues fast time to market to stay ahead return the tool to a stable state? Dan Connor, VP of Central are solved.” of competitors is crucial. When problems occur, is Service Operations for Applied the customer In the semiconductor the service engineer able to Global Services, said the fab, the other constant is the diagnose them quickly and ac- company has aggressive new importance of time. Install and curately? How do we anticipate initiatives underway to deliver ramp time for new technologies. interruptions and mitigate their on that vision. Preventive maintenance time. impact on production? “From highly specialized experience Time between failures and time The answers to such technical training and improved to repair. Inoperable equipment questions depend on the skills new-product introduction The semiconductor industry is changing. Not just technological change—which has can’t produce wafers and can’t and available tools of service processes, to advanced always been part of the industry landscape—but fundamental business change. For contribute to the fab’s revenue, engineers. Increasingly, with information-sharing resources making equipment uptime one of sophistication growing in tools and rigorous certification example, image sensors, accelerometers and radio transceivers, once manufactured in the most important fab metrics. and processes, it is incumbent programs, we are accelerating Dan Connor, vice president of Tool uptime is not always on equipment suppliers to our ability to respond to changes Central Service Operations for quantities that were little more than a rounding error in the overall semiconductor market, Applied Global Services easy to predict. It is partly not only build world-class in technology. Ultimately, our are now shipped in millions of units monthly in cell phones, tablets and other mobile devices. designed in through the reli- tools, but to support them product is people: It is about

20 Nanochip Nanochip 21 Enne ha c d Tools and Training RES hape The customer experience

T ools and training for related services in order to device nodes and almost M anaging the a changing industry increase maintenance efficiency, unimaginable manufacturing information flood improve output and reduce costs. complexity, CEs must be certified Most chipmakers have an in- With tens of thousands of Applied Materials offers in precision networking and house service engineering group systems in the field, Applied has semiconductor, solar and display software skills to support a to handle system maintenance a vast amount of information manufacturers what is arguably full range of Applied Materials and repairs—from large teams available to a CE, so the challenge the broadest line of front-end tools. “In all, about 2,000 at high-volume megafabs who becomes accessing the precise production and inspection tools Applied CEs annually go through are specialized by tool-type, to information necessary to identify in the industry. The company’s standard training programs for just a few engineers handling a Chuck Tully, head of Training a root cause and deploy a installed base is served globally semiconductor, solar and display wide array of tools in small or solution. As the skills of service by about 3,000 Applied equipment,” Tully said. help pinpoint errant equipment customer does. “A leading-edge and often. The lead time on their specialty operations. But with For example, if a particular engineers evolve and improve, so customer engineers (CEs), who Increased complexity in behaviors before they impact fab might focus on reducing the requests for system support is the increasing complexity in the part has been responsible for must the information tools they possess an impressive range the tool and the fab means the system uptime or product output. particles added by a given etch short. And their production spec fab, production tools operate at frequent problems in the past, turn to for help. Two examples of of experience, background and ability to rapidly diagnose and Applied’s new TechEdge process. A MEMS fab might use is complex because they need to the limits of their specifications, the engineer may be tempted this are Applied’s Best Practices cultural diversity. restore production becomes support solutions are designed a very similar etch, but could be satisfy many differing customer shrinking nodes create new to order a replacement without Network (BPN), and the Applied To keep their skills cutting imperative. Applied Materials is to deliver greater predictability more concerned about chemical requirements,” he said. “We have process challenges, and there is a final verification that it is truly Rapid Knowledge (ARK) tool. edge, Applied offers hundreds responding with the development and rapid problem mitigation. residue or the overall cost of the important processes in place to a growing reliance on automation the cause of the current problem. BPN is an expert knowledge of specialized training courses of advanced troubleshooting The combination of sophisticated process, with particles falling help us plan support resources systems. Skilled service engineers Such misdiagnoses and incorrect base—potentially the largest in that are continuously updated training so that its CEs can refine detection and modeling tools and much lower on the overall to meet these customers’ re- must now be able to integrate parts orders cause significant the industry—that is continuously using the latest media delivery these skills even further. A new specially trained CEs is proving to priority list. An engineer needs to quirements, putting the right hardware, process and software delays and higher costs. Texas- updated with best practices techniques. Chuck Tully, head of training curriculum now being be effective in resolving difficult understand the differences.” expertise in the right place at knowledge to meet the fab’s based CE Mike Olstad, says, for equipment operation and Training at Applied, explained deployed will not only help CEs device performance and yield George Yi, vice president of the right time.” cost and uptime requirements. “Troubleshooting is now much solutions for common and that the training team’s challenge isolate issues faster, it will also problems such as arc detection Asia Field Service Operations at “When the goal is to maxi- So a growing number of more data-driven; we have a complex failure-types. The ARK is to ensure CEs navigate help reduce cognitive biases and excursion control. Chuck Applied, added that foundries, mize availability and minimize manufacturers are turning more much deeper understanding of system is an on-demand, refined the inevitable transitions in that can interfere with effective Tully estimates that 25–35 senior which play an increasingly variability, at both the tool and frequently to the tool OEM for the equipment.” keyword search engine linked technology. With shrinking problem solving. CEs will complete TechEdge large role in the semiconductor the fab level, the CE role begins some, or all, of their equipment- First classes of the new to numerous internal libraries certification programs over the industry, are generally more to resemble that of an applica- troubleshooting program began for rapid retrieval of targeted next year, with each receiving complex to support. “Foundry fab tions or industrial engineer,” Ryan in April 2013, with a target to information as it is needed. about three months of intensive utilization rates change quickly said. Equipment optimization train more than half of all Applied Both of these tools are training. requires much more familiarity CEs by the end of the year. available to CEs across the globe In addition to understanding with the available analytical tools, and around the clock. Together analytics, Conor Ryan, Applied’s and an ability to extract relevant Advn a ced Service they are part of a unique and head of service for North information about potential root Solutions increasingly sophisticated America and Europe, explained causes. “Process engineering collaborative network that Troubleshooting skills are that, increasingly, Applied CEs develops recipes to produce enables effective, secure most effective when combined also must train to stay current on structures on the wafer,” Ryan management and sharing of with a thorough knowledge new processes and equipment explained. “Hardware optimiza- knowledge that can help solve of expected tool behavior and customizations that may present tion seeks to make sure that the problems faster for customers. potential sources of failure. different key metrics and recipe is implemented consis- And increasingly, technology customer priorities depending tently and reliably.” George Yi, vice president of is also being implemented to on the type of manufacturing the Asia Field Service Operations

22 Nanochip Nanochip 23 As Dan Connor explained, “The customer experience lies at Lnivi g up to its name: the intersection of well-trained Enne ha c d people, lean processes, and App lied Endura effective toolsets. Ongoing Tools and investments in advanced training Still Delivers for Maxim Training for engineers, in improved demand planning and engineer RES hape The productivity, and in hardware and An Endura 5000 PVD tool—referred to With interconnect deposition being one of software support tools ensure as “9 Sput” by the staff at Maxim Integrated’s the key process steps, reliability is crucial. Clark customer experience San Antonio, Texas fab—has been depositing said, “the tool and the Endura platform have been that Applied Global Services aluminum interconnects for power management versatile, solid workhorses for the fab, offering will be there as the needs of ICs and other analog and mixed-signal products longevity for the future of 200mm technology.” Eq uipment experience of the CE team. When asked what process control. But these are our customers require.” depends on people for the past 17 years. Maxim San Antonio runs an analog 180nm he would like readers to take away just tools. In the end, CEs are the process. Analog process generations have life Thanks to Katherine Derbyshire Applied offers a broad ser- from this article, Mike Olstad said, people standing in a customer’s spans of five years or longer, and the company for her support of this story. vice portfolio of customized sup- “I wish customers knew how much fab, using all their expertise and is now developing a 90nm flow as its forward- port solutions designed to help we care.” Most reporting about the available resources, doing their For additional information, looking process, with the Endura tools expected customers achieve their produc- semiconductor industry focuses best to ensure that custom- contact [email protected] to play continuing roles. Clark noted that the tivity and profitability goals. But on technology: process design, ers extract the best possible Facility photos in this story company has no plans to replace the Endura its most powerful tool may be fabrication equipment, software performance and yield from feature Applied’s training lab mainframes. “In some cases the Endura platforms the experience and commitment for data analysis, and software for their Applied equipment. in Xian, China. F abulous have also accommodated the CVD chambers needed for barrier metal deposition.” tools The San Antonio fab has an interesting history of its own. It was first built in 1987 Maxim San Antonio’s Director of as a 150mm fab by VLSI Technology, and Equipment Engineering Eric Clark The first time then in 1999 served another owner, Philips G etting it right Semiconductor, which converted the fab to Eric Clark, the fab’s director of equipment 200mm. In 2003, Maxim bought the 100-acre It’s a fair bet that after engineering, said the 9 Sput is one of several legacy site. Clark, a Colorado native, began working as Endura tools that have been in continuous operation Applied Materials’ Lean Six Sigma To gauge the frequency of each defect, more than 40 years in a technician there in 1989, shortly after the first since well before Maxim bought the fab in 2003. pilot line was up and running. This fab is now program focuses on identifying ways one benchmark site was selected from a business, Applied Materials “The system has been upgraded a number of times the company’s highest-volume site in North to continuously improve performance geographic region with a high volume of since it was first installed in 1996, including several has semiconductor America, manufacturing an array of products, and reduce cycle time for customers by transactions. The benchmark was then used chamber updates over the years as Maxim’s leading- including high-volume power management ICs for removing waste and defects from Applied’s to identify best practices and to learn what production tools installed edge analog interconnect processes have evolved,” smartphones, tablets, and laptops. Automotive- routine business processes. An outgrowth of the benchmark site was doing differently. Clark added. in virtually every fab in the use products are rapidly gaining market share, this program is the First Time Right project, In a number of parts orders throughout One key upgrade was to the system’s wafer Clark said, and the fab churns out a variety of managed by David Dragoo of Applied’s the region, for example, the study found world. So at any moment of handling robot. An HP+ robot was replaced with a mixed-signal parts for computing and industrial Continuous Improvement group. This that the part(s) ordered did not fix the any day, somewhere on the VHP robot with dual blades. applications as well. The facility currently project was selected because the ability to problem, suggesting that the initial “The VHP robot is faster and more precise. planet someone is building employs about 550 people, and is undergoing an David Dragoo, Before the robot upgrade, the chamber would go idle rapidly diagnose a tool problem represents diagnosis was incorrect. “However, at the expansion and various process transfers. Continuous Improvement group on an waiting for the robot to perform the wafer transport,” a significant opportunity to improve service benchmark site the engineers described Now in its 30th year of operation, Maxim Clark said. The change to a dual-blade robot allows delivery and system availability and, using a much more structured, rigorous sites,” he said. The results of that analysis, Applied Materials system. Integrated is a leading provider of linear and the buffer/transport chamber and the process potentially, lower costs for customers. troubleshooting process, resulting in fewer however, did not reveal a significant gap mixed-signal ICs, with about $600 million in This got us wondering chamber to stay in sync. The study examined a dozen possible parts ordered,” Dragoo said. in the troubleshooting training of the quarterly revenues this fiscal year and gross about whatever happened Other mainframe upgrades to 9 Sput have causes for defects in the tool-repair It is just as important to rule out benchmark group versus that of other margins exceeding 60%. The company’s smart included changing the software and the printed power chipsets have garnered design wins in a process, looking for signals that would potential factors in the process. While it may engineers. “We will continue to look to all those tools we sold circuit board, replacing the buffer cryo control wide variety of systems, including the Samsung indicate an opportunity for significant be true that more experienced engineers closely at ‘how’ work is getting done,” years ago. modules for longer runs between regeneration line of Galaxy III smartphones. A Maxim design improvement in a method or procedure. make more accurate diagnoses, the study Dragoo said. “Our job is to search for cycles, and reconfiguring the cryo compressors to team recently won the EE Times 2013 Annual These included issues like misdiagnoses also found changes in the training curriculum ways to improve the work processes, eliminate the three-phase controllers. The flat panel Creativity in Electronics (ACE) Award for the causing multiple replacement part orders, over time. “We wanted to examine the tools and training of our field support was upgraded to a touch-screen interface, floppy design of a digital audio broadcast (DAB) tuner. incorrect part numbers resulting in delays, possibility that engineers at the benchmark team—not just to correct deficiencies, but Got a FABulous story about disk drives were changed to solid-state drives, and a production tool legend? the process control electronics were modernized. lack of service engineer training, and site received different, hypothetically more to bring greater efficiency and ultimately Thanks to David Lammers for his support Share it with us at Residual gas analyzers (RGAs) were added, along unavailability of parts. effective, training than their peers at other improve the customer experience.” of this story. [email protected]. with leak-detect sensors.

24 Nanochip Nanochip 25 The FabVantage group also discovered secondary recipe factors, including Applied Global Services 1. Pre-work insufficient seasoning due to low RF power, a 1. Pre-work a) Understand the problem statement and process background too short seasoning time, and high wafer- b) Sensor list, BKM, lessons learned to-heater spacing. Based on the team’s Recipe Optimization Improves Film Uniformities, c) Build project plan recommendations, the customer changed Case Study 2. Collect Information Maximizes CVD Chamber Uptimes 2. Data Collection a) Tool set audit (configuration, S/W) the recipe settings for power and duration b) Collect FD traces of its seasoning steps, which had the effect c) Recipe understanding d) Operations (in situ clean, test wafer, etc.) of further improving the observed non- e) PMs and tool maintenance history uniformities. f) Metrology and/or yield data However, the high He-carrier flow Manufacturers must extract the Challenge 3. Initial Assessment Analysis and Report— 3. Initial Assessment Find Critical Items deposition that improved the uniformity recipe utmost value from their tools and a) Right statistics: FD, metrology, yield resulted in a film with a higher refractive One of the key productivity challenges for manufacturers is the ability to deposit narrow down to priority list drive continuous improvements → films uniformly in all chambers to meet process specs. An Applied customer needed index than the original film, so the team across the fab to meet today’s recommended a process integration impact to uncover the cause of thickness variations in chemical vapor deposition (CVD) 4. Tool Improvement 4. Tool Improvement Task Force performance and productivity hardmask films for low-k dielectrics in sets of chambers from two of its Producer CVD & Control a) Execute improvement test before deployment of this process. b) Goals and controls and limits goals. This article describes how tools. Some chambers didn’t perform to specification and therefore weren’t being c) Metrics Conu cl sion one customer has improved utilized. The cause of the variations was challenging to identify due to the many factors that can cause film thickness non-uniformity, including recipe design, seasoning, 5. Model Building 5. Model Building and Data Mining The FabVantage process and equip- the thickness uniformity of the & Data Mining a) Use to find unknown issues hardware configuration, and quality of spares and consumables, among others. ment expertise, backed by the rich library hardmask dielectric films it of Applied Material’s BKMs, resulted in produces with two of its Applied measurable improvements in chamber S olUTION 6. Tool Release Requirements Producer CVD tools. The result? 6. Tool Release (Also Achieves Tool/Chamber Match), matching (see figure 3). The team evaluated A greater ability to maximize • The Applied FabVantage consulting group investigated the problem To Next Tool and Next Wafer Fab maintenance practices and equipment chamber uptime and more according to a rigorous methodology that incorporates data collection, auditing, setup and focused on recipe optimization as benchmarking, experiments, modeling, and other steps as appropriate. the main sources causing non-uniformity. consistent on-wafer performance. Figure 1. Applied FabVantage methodology used in yield-improvement projects. The team developed the optimal recipe configuration to meet the thickness uniformity Impact A hardware audit was performed. quality but it was deemed to be only a minor specification, which enabled the customer to Although several issues were uncovered, contributor to the problem. • Recipe optimization was uncovered as the key factor, and recipe use all chambers for this process instead of many of them had no impact on the changes enabled the customer to improve thickness non-uniformity from >3% (1σ) observed non-uniformity but were noted RE CIpe AUDIT FINDS THE KEY idling chambers that did not meet spec. to < 1.5% (1σ) and meet process specification. for future reference in case the customer A recipe audit, however, found several needs to address other processing errors Thanks to the following individuals for their important differences between Applied in the future. contributions to this article: Helen Armer, A preventive maintenance (PM) Materials’ BKM recipe and the customer’s Matthias Buerger, Avi Edelstein, Patrick audit also was performed, which revealed recipe. A key finding was the difference in Fernandez, Jason Foster, Robert Grimwood, INTENSIVE ANALYSIS OF different types of issues. This exacting deviations from BKM for faceplate He-carrier gas flow rates. Experiments run Jerome Kraft, Jan Matusche and Steven Reiter. FILM THICKNESSES REVEALED methodology was followed at the customer maintenance. The team conducted at Applied Materials showed He-carrier flow THE CAUSES site and is illustrated in figure 1. experiments that showed some relationship rates have the greatest impact on thickness For additional information, The customer engaged the FabVantage Analyses performed for this customer between non-uniformity and faceplate non-uniformity in these specific tools. contact [email protected] consulting group to help identify the highlighted recipe differences relative to causes of out-of-spec film thickness Applied’s best known methods (BKMs). The differences were visible primarily when non-uniformities on CVD hardmask films, 4 comparing sensor traces for key parameters which are used in the fabrication of low-k 3.5 Good between the customer recipe and a BKM 3 dielectrics. The study encompassed two Chamber recipe fingerprint. Further, these sensor 2.5 Producer tools. On each system, one set of Before traces also highlighted several hardware 2 twin chambers was “good” (in spec) and one Bad Chamber After mismatches and instabilities. For example, Slow to Reach Flow Rate 1.5 Precursor Flow Rate Flow Precursor set was “bad” (out of spec). x 100 (sigma/mean) the analyses showed instability in the CVD 1

The FabVantage team has developed liquid-flow meter (LFM) at the start of a Non-uniformity Thickness Film .5

a methodology for yield-improvement recipe. A small delay in the onset of the 0 1 2 3 4 projects, based on its varied project HF RF generator forward power also was Time Chambers experience helping customers with many observed (see figure 2). Figure 2. Flow rate differences across chambers. Figure 3. Film thickness non-uniformity.

26 Nanochip Nanochip 27 That’s why red flags went up two years ago when It quickly became apparent that some of the problem

AGS’s annual customer satisfaction survey showed the stemmed from the challenges inherent in supporting parts 100% company lagging in on-time delivery of spare parts, for legacy tools—parts that customers don’t purchase despite the fact that some 95% of shipments met the frequently, sometimes not for years. Often these parts, 98% 98% committed timeframe. which were not in inventory, would either be delivered 96% 97% Steve Perotin, managing director for AGS Operations late or the order would remain unfulfilled long after it 96% Performance, said the company has since shown was placed. 94% 95% measurable improvement in response to some “pretty To deal with this issue, Applied established an “idle 94% clear feedback” that its spares delivery operation needed parts” program for the tens of thousands of parts that Deliveries % On-Time 92% 93% an overhaul. “It was puzzling at first, because we thought hadn’t been shipped at all in the previous year. Under this 90% we were performing well by meeting our commitments new system, customers’ orders are evaluated for an idle Q4 ’11 Q1 ’12 Q2 ’12 Q3 ’12 Q4 ’12 Q1 ’13 about 95% of the time. Yet there still was dissatisfaction part, and Applied gauges the availability of the part before R aising about our performance. We didn’t realize the critical providing a quote and accepting the order. importance of being able to accurately predict the “Prior to this we had only 70% on-time delivery for Figure 1. Deliveries that were on time to commitments rose deliveries of that remaining 5%, and of communicating that parts that had not been shipped to any customer in the significantly since the inception of the delivery improvement information to customers in a timely manner so they could past year,” Perotin said. “Of our aging orders that were program late in 2011. plan their operations accordingly. But we sure do now.” more than 50 days late, roughly a third were idle parts that the Bar Applied’s global installed base of tools is the industry’s neither we nor our customers had bought in the preceding been very helpful to many customers, it does leave room largest. The sheer number of parts and the varying 12 months.” for further improvements, and the parts team has plans in frequencies with which they are ordered mean they can’t the works to do just that. all be kept in inventory. There are more than 300,000 B Etter PREDICTABILITY HELPS For example, the AGS team is initiating a program that parts in Applied’s database, and about 90,000 of them CUSTOMERS PLAN will enable customers to track the location of their parts Paredict ble with the freight forwarders and other logistics vendors are “active,” having been shipped to customers in the past Customers are now advised of a delivery date they can year. The number of “idle” parts in the database—those Applied uses. The program initially will encompass orders count on before placing an order with Applied, giving them that have not been shipped in the past year and are not in for down tools, and ultimately will be expanded to all parts the option to plan accordingly. With the new process now PARTS deliveries. inventory—is much larger, about 220,000. in full operation, more than 98% of idle parts deliveries AGS supports around 30,000 tools spanning different A data-driven manager, Perotin has several other metrics arrive on time (see figure 1). configurations, applications and years of service, making he watches: the days-late of the 5% of late orders (i.e., the Overall, more than 98% of Applied’s parts are now DI EL VERieS trailing end of the curve), the past-due backlog, inventory parts demand difficult to predict. In fact, overall, 30–40% delivered on time, and very late or unpredictably late of shipments are quantities of one, i.e., Applied only ships turns, and improvements in gross inventory. And his team shipments have been drastically reduced. Perotin said the part once in the entire year. The challenge for AGS, goes back to key customers to find out what they need. shipments to customers that are either on time or within then, is to predictably deliver single quantities of 220,000 They plan to use those responses the way they did two 14 days of the original committed date have improved idle parts even though there is limited ability to forecast years ago when they took on the parts delivery challenge, Spare parts and their associated services sharply, to 99.3% in the most recent quarter. While that’s demand. put in place different types of solutions appropriate to the are a large and highly competitive sector With a degree in quantitative analysis, Perotin is problem, and created an accountability platform. among the AGS managers who have learned to apply an “We have made progress. We’ve created a process, in the semiconductor equipment industry. array of modern management techniques to challenges and have a good understanding of how to continue to drive They are also an extremely important part such as getting parts delivered to customers on time, improvement, even from where we are today,” Perotin said. and predicting those deliveries with a high degree of In fact, getting spare parts delivered on time is now a of the support Applied Global Services accuracy. “We learned that when shipments were delayed, bottom-line issue for the AGS sales team, which interfaces customers did not have enough information about when with customers on a daily basis. “The spares delivery (AGS) provides to customers. AGS delivers we would ship the part, making it very difficult for them improvement has been visible and appreciated by our to plan their production—especially on a down tool,” he customers,” said Werner Finsterbusch, vice president about 50,000 different parts in more than said. “It came down to improving our predictability; not of sales at AGS. “Customers appreciate the systemic only being more timely, but when not on time, to be more approach and momentum building through last year and 750,000 customer shipments annually. predictable about our delivery timeframes and set the into 2013.” customer’s expectations correctly.” For additional information, contact [email protected] I DLE PARTS CHALLENGES Ed Snider, part of a team of Applied managers familiar with Lean Six Sigma techniques, leads a routine process Engaging with several customers in a pilot program, development session to map and monitor solutions for Perotin’s team collected data on spare parts orders that improved parts deliveries. arrived late to determine the reasons for the delays.

28 Nanochip Nanochip 29 Process gas flows from tool at fab level to ZFP2 abatement system Paired with the plasma enhancement unit, the ZFP2 NE W ABAtement booster unit provides >95% DRE SOLUTIONS

HE H LP AC IEVE GHG TARGETS Pre-pump plasma module By The news today is filled with stories about greenhouse Andreas Neuber, gas (GHG) emissions and global warming, but these are not new discussion topics for the semiconductor industry. John Dickinson and In 1999 the World Semiconductor Council (WSC) and

Cheryl Knepfler its member companies created a voluntary perfluoro- Abated effluents compound (PFC) reduction target to cut their total carbon delivered to facilities equivalent output by 10% from baseline values by 2010. scrubber WSC estimates a 32% decrease was achieved, exceeding the 2010 goal, and a new target was established to deliver

a further 30% reduction by 2020. Figure 1. The ZFP2 etch abatement solution fits within the existing etch tool footprint.

Since then, broad discussion of 10 times higher than that of etch etches, where the primary etching resulting in a larger carbon footprint compared to competing technologies The current 2020 voluntary

GHG regulation has been continuing, processes. However since 2000, chemistries are CxFy, CxHyFz and SF6. to perform the targeted abatement. that require additional capabilities reduction guidelines show the although few laws have actually oxide and silicon etch spending has Traditional pre-pump abatement A post-pump plasma system can like combustion fuel. semiconductor industry’s continued been implemented. In 2006, outgrown dielectric CVD spending, [1] uses plasma to dissociate the address a higher volume of PFC One additional challenge for focus on GHG reduction. Achieving this California was the first locality to increasing the PFC impact of etch. chamber exhaust gases. However, gases, but requires significantly chipmakers is quantifying the will require additional changes in the enact a global warming law with This change has been driven, in without optimization, pre-pump higher energy input to operate the reduction they achieve when PFC controls for fabs. Some available specific PFC emission provisions for part, by the transition from subtrac- destruction removal efficiency (DRE) abatement equipment because the implementing a PFC reduction options are increases in etch abatement semiconductors and related devices. tive etch/trench fill processes for for the PFC gas stream may be well additional vacuum pump nitrogen solution toward the WSC voluntary and retrofitting of existing fabs, including Full compliance is required by 2014. aluminum interconnects to oxide etch- below the 80% threshold targeted dilution purge must be heated. reduction target. For a 4-chamber 200mm, with abatement capabilities. Additional regional and national based blanket CVD/oxide etch copper by customers when selecting an Applied’s ZFP2 abatement etch tool, as much as 1,100 tons of The ZFP2 is a highly effective etch jurisdictions are expected to follow, damascene, initially for logic and later abatement solution. To increase DRE unit sits within the pump sub-fab CO2-equivalent emissions per year abatement solution, and its ability but timing and emission targets for some layers in memory devices. In performance Applied developed the footprint so it has no extra space can be eliminated. When paired to fit within the existing etch tool remain uncertain. addition, the number of interconnect ZFP2 abatement unit, which provides requirements beyond those of the with the ZFP2 unit, the Applied iSYS footprint makes it attractive for new

The emission reductions layers has continued to grow over this DRE results of >95% for CF4 and etch tool (see figure 1). This saves Controller is uniquely able to provide and existing tools. This, combined 2 2 achieved to date under voluntary time, increasing the number of etch >99% for SF6, CHF3, C3F8, C4F8 and from 2 m to 4 m of subfab space as this reporting capability. The iSYS with the regulating and reporting actions resulted from process steps, but CVD has transitioned to a other PFC gases. compared to post-pump abatement Controller provides the actual process capabilities of the iSYS Controller, are optimization to shrink total higher throughput blanket (vs. gapfill) Unlike conventional abatement alternatives. This makes it the gas flows per chamber and calculates examples of new technologies that output, transition to lower global deposition. The introduction of double technologies that run continuously, perfect solution for existing fabs, the overall emission reduction benefit are helping manufacturers fulfill GHG warming potential gases to reduce patterning has also contributed to the Applied ZFP2 plasma abatement is where it reduces PFC emissions/ to the fab. In addition to its reporting reduction goals more efficiently and GHG impact, and introduction of overall etch expansion. triggered by activity in the process carbon footprint as well as new capability, the iSYS Controller can cost-effectively. abatement techniques for higher While multiple paths exist for chamber, thus minimizing energy use. fabs, where it frees subfab space shrink the carbon footprint of the fab destruction removal efficiency. In future PFC emissions reduction, one A post-pump burn-wet abatement for other purposes. In addition, the by reducing the pump speeds and For additional information, 1999, the major focus was on CVD of the less explored areas is pre-pump uses thermal combustion and adds a unit can tap into the water, power, N2 purge flow when the chamber is contact [email protected] clean optimization, because its etch abatement. This technology fuel gas for high DRE performance, so N2 and PCW already required at the idle, matching the subfab equipment [1] Gartner Wafer Fab Equipment Surveys PFC production is estimated to be is particularly suited to oxide it operates in an “always on” mode, tool, simplifying implementation as usage with the process chamber. 2000–2011. 30 Nanochip Nanochip 31 “It is absolutely critical that we work NFS : You have been president of Applied for nearly a year. closely with our customers to understand What have been your key priorities since taking the reins? Dickerson: My philosophy is that to win, you must understand what what they care about and what problems customers need and then be there first with solutions that have are keeping them awake at night." valuable, sustainable differentiation. So, I’ve been spending most of my time with customers and with our development teams. One of the company’s top priorities has been to strengthen our customer interfaces. It is absolutely critical that we work closely with our customers to understand what they care about and NFS : It appears that consumer and mobility applications will NFS: What about the display business? What are the inflections what problems are keeping them awake at night. dominate the technology markets for the foreseeable future. you see for that sector? How must Applied prepare to Are there other consumer or industrial inflections on the horizon respond to them? Another key priority has been to improve our ability to define winning products and increase the velocity of our development that could be game-changers? Dickerson: For over a decade, the display industry has been driven process. As an equipment company, our product development Dickerson: This is the age of mobility and it’s transforming the way we by two main factors: the adoption of flat panel televisions AGENT engine—the way we define and develop our products— capture, share and access information. You can see the impact and the scale-up of the substrates used to manufacture is central to our success. In order to make our product everywhere; mobility is changing the way we communicate, the those panels. While TVs got bigger and bigger, the transistor development engine more effective and more efficient, we way businesses operate, healthcare, education and transport. technology remained more or less the same. When you think about it, we’re still really only at the beginning of have combined our best marketing and development practices OF what’s possible. Over the past few years, the industry has been undergoing from around the company. By applying our best practices, important changes. In particular, mobile applications have methodologies and tools consistently, we can ensure that Mobility is an incredible catalyst for growth in the electronics provided a new impetus for growth while also accelerating we develop products that deliver device performance, yield, industry. At the same time it is driving amazing innovation across the development of new technology. Consumers want a and cost advantages to our customers. In addition, we can the supply chain. Consumers want more capable mobile devices, better visual experience on their mobile products and this accelerate development of disruptive technologies and time- with longer battery life and brighter, higher resolution touch- CHANGE is ultimately driving inflections in transistor technology. The to-market for new products. enabled screens. As our customers work to deliver even smarter adoption of low-temperature polysilicon (LTPS) transistors mobile products, we are seeing accelerated changes in device for smartphones and tablets and metal oxide transistors for A n Interview with technology and our customers are turning to Applied to help them tablets and TVs creates new device performance challenges NFS: What have been the greatest surprises and challenges you solve their big technical challenges. for our customers. Moving OLED technology into volume encountered during the transition? production also requires customers to address numerous new Dickerson: I continue to be impressed with the talent, strong Gary Dickerson NFS: How do you see the semiconductor industry reshaping? And device performance and yield challenges. While the adoption customer relationships and outstanding technology that I see what will be the ramifications of those changes for companies of high-resolution LCD and OLED displays is starting with across the company. I believe that in order to do great things like Applied Materials? mobile devices, these technologies can be scaled for large TVs. you need great people, so having the caliber of people we have at Applied—people who are passionate about what they do for Dickerson: Throughout its history, the semiconductor industry So, as the industry enters an exciting period of new technology a living—really creates an important competitive advantage has evolved at an exceptional pace. This is an industry that development, Applied is strengthening its R&D and for us. When you combine talent, passion and tremendous attracts creative minds and it is continuously changing and applications teams to ensure we have the best capabilities technology, we can unlock incredible value for our customers. reinventing itself. Over the years, many people have predicted to address a market that is becoming increasingly process that Moore’s Law would slow down or stop, but the industry’s technology intensive. incredible capacity for innovation has always found ways to move NFS: You have said that Applied is a “precision materials technology forward. Thirty-year industry veteran Gary Dickerson was NFS: What is the outlook for the solar business? Will Applied stay engineering” company. Can you elaborate on that? At the heart of the changes we see today is complexity—stagger- in this market? If so, what is Applied doing to help customers Dickerson: Precision materials engineering is what Applied ing increases in complexity. From the transistor to interconnect, named president of Applied Materials in June reduce production costs? Materials does best—it’s the set of core capabilities and the technology that enables the latest generation of devices— Dickerson: With global consumption of energy increasing and technologies that define us as a company and a leader. What 2012, and is responsible for the operation of the and the precision of the equipment used to manufacture these the need for clean energy sources, I believe that solar is an we mean by precision materials engineering is deposition devices—would have been hard to imagine even a few nodes ago. company’s business units: the Silicon Systems attractive long-term market. The fundamentals of the solar of precision films, precision materials removal, materials Group, Energy and Environmental Solutions (Solar On top of this, the mobility trend means that consumer buying industry are strong and the end market for solar panels modification, and interface engineering. patterns have a much bigger impact on our customers’ investment continues to show healthy growth rates. In the years to come, These technologies can be applied to many different and Display), and Applied Global Services. He profile than in the past. The pace at which our customers ramp we can expect technology to improve and costs to drop, markets—semiconductor, display, solar and beyond. When new technologies is astounding. This means the supply chain recently discussed with Nanochip Fab Solutions (NFS) allowing solar to compete with retail electricity rates in many you think about these markets, the precision materials must be agile and cycles of learning must be short. more regions of the world. his views of the technologies and trends impacting engineering challenges are getting tougher and tougher. Complexity combined with speed puts tremendous pressure on Applied’s current solar products, as well as the new technology If you want higher cell efficiency and lower cost per watt Applied’s markets, his personal philosophies and R&D teams and creates R&D funding challenges. That’s why scale we have in development, are focused on enabling higher cell in solar, if you want better battery life and lower power priorities, and the company’s efforts to improve has an important role to play and one of the reasons we’ve seen efficiency and driving much lower cost per watt. That said, it’s semiconductor devices, if you want brighter, higher resolution a considerable consolidation of manufacturers and equipment important to recognize that today manufacturing capacity far displays, there are some really challenging precision materials customer satisfaction and experience. companies. As we look to the future, partnerships and close outstrips demand and the market environment for equipment engineering problems that need to be overcome. Applied is collaboration are vital if the industry is to efficiently and effectively suppliers like Applied is extremely challenging. For that reason, the company that has the technologies to enable solutions to overcome the technology, engineering and manufacturing barriers we must be prudent and size our investments appropriately until our customers’ really tough technical device performance and that lie ahead. there are clear signs that demand for equipment is picking up. yield problems.

32 Nanochip Nanochip 33 AGENT

OF “As we look to the future, partnerships CHANGE and close collaboration are vital if the A n Interview with industry is to efficiently and effectively Gary Dickerson overcome the technology, engineering and PREDI CT, Avoid manufacturing barriers that lie ahead." NFS: Word is that you have some favorite acronyms that are being inculcated at Applied. Three of the most common ones are: HVP (high-value problems), DVS (differentiated, valuable and NFS: Customers have said that because of our size and sustainable) and DPY (device performance and yield). Can you organizational complexity, it is sometimes difficult to do AND MINIMIZE discuss these and why they are such an important part of your business with Applied. What are you doing to make Applied strategy for Applied? more “user friendly” for customers? Dickerson: These acronyms are really just a common language— Dickerson: Through our regular meetings and our annual customer a way to get our teams to think about how they work with survey, I think our customers are clearly telling us what’s PRO CESS customers and provide value to them. High-value problems, or working well and where we need to improve. HVPs, are tough problems for customers to solve. Overcoming an HVP will provide customers with a competitive advantage One consistent message is that we need to work closely in device performance and yield or, in other words, a DPY together on next-generation technology development. Because advantage. Creating DPY advantage has real value that can be of this, we have been adding technical talent in the field to EXCURSIONS shared and that results in a win-win outcome—that is what we strengthen the linkages between customers’ technical teams, are always striving for. our field organization, and our development teams. Stronger Semiconductor manufacturers technical relationships will enable us to implement our plans In order for Applied to be successful, we must work with our faster and improve decision-making speed. engaged in production at the most customers to find and solve their high-value device performance and yield problems with differentiated, valuable and sustainable In addition, we continue to focus on quality. Around the advanced technology nodes find product solutions—DVS—and we must do this faster and better company, our teams are using quality processes and data- than our competitors. driven decision-making to make sustainable, systemic that process windows are smaller improvements to the quality of the products, parts and services that we deliver to customers. NFS: You have discussed increasing funding at Applied for programs and less forgiving than ever, and that that are differentiated, valuable and sustainable D( VS). Can Our goal is to be our customers’ most trusted supplier and this you give some examples of these? means we must be continuously looking for ways to improve in substantial engineering resources everything we do. Dickerson: We always want to make our R&D investments work as hard as possible. We have made some organizational changes are required to identify, eliminate that allow us to redeploy funding to accelerate our highest NFS: We hear a lot these days about the need for greater and avoid process excursions. impact programs. We also stopped certain programs where customer/supplier intimacy. How does that work in an we didn’t believe we had valuable, sustainable differentiation or industry that is still hotly competitive, and intensely IP The problem is exacerbated couldn’t deliver a good return on investment. sensitive, for both suppliers and chipmakers? By This is part of our operating rhythm and we will continue to Dickerson: As we strive to solve high-value problems faster and ALEXANDER when manufacturers run multiple review our R&D portfolio and shift investment to our best better than our competitors, we are dependent on broad and opportunities. We must ensure that we’re focused, so that we deep relationships with our customers and these relationships SCHWARM, PhD technologies simultaneously. can fund our most important programs at the levels needed to are really built on a foundation of mutual trust. be successful and provide our customers with solutions to their We follow strict policies to meet the IP and confidentiality To deal with the issue of can avoid the cost of creating and potentially lower overall high-value problems faster than our competitors. commitments we’ve made to customers, suppliers and process excursions, some systems used for more in-depth product quality. partners. If we are to be the most trusted partner, our manufacturers employ a run-to- process analysis and diagnosis, Other manufacturers NFS: In recent earnings calls, it’s been noted that a substantial customers must know that they can rely on us to treat their fail approach, where they react as well as costs associated with practice more conservative portion of Applied’s sales comes from three very large confidential information as carefully as we do our own. to excursions as they occur and related engineering work. maintenance strategies and customers. How can you assure customers who are fourth or don’t have a strong investment The primary drawback perform more upfront process lower on the list that Applied will continue to consider their NFS: Thank you very much for your time. in in-depth excursion analysis. is that it does little to help analysis to focus those strategies interests and priorities? This lower-cost approach can the manufacturer achieve on keeping processes well Dickerson: We want to be the supplier that all of our customers turn be attractive for manufacturers a deep understanding of inside their respective operating to for solutions to their highest value device performance and “Precision materials engineering is what in low product-mix businesses, systemic process variation. windows. Unfortunately, this yield problems. Applied has an installed base of over 30,000 tools operating at a broad range of customers in semi, display Applied Materials does best—it’s the set where the cost of rework is low Consequently, a great deal means costly maintenance and solar. We want to provide value to our customers through- of core capabilities and technologies that or where the manufacturer of engineering time may be sometimes will be performed out the entire lifecycle of those tools. We are working hard to has low-cost impact with lot- spent reacting to excursions when it is not required, because expand the DPY products and service we offer customers, from define us as a company and a leader." specific delivery times (such as that occur on an ongoing basis. of the many potential sources of excursion control to yield consulting to DPY parts and upgrades. memory). This methodology The result is lost productivity variation in chambers and tools.

34 Nanochip Nanochip 35 PREDICT, AVOID AND MINIMIZE PRO CESS EXCURSIONS

In contrast to the run-to-fail All semiconductor manu- analysis and visualization, Meanwhile, with regard to variation, which can be a Nevertheless, multivariate approach, the conservative case facturers have some type of statistics calculation, and tool sensor availability, virtually precursor to polymer flaking in analysis is critically useful, carries a higher cost, largely data-collection system used monitoring with notification. all suppliers provide an adequate etch processes. because at the highest level all associated with the engineering with process equipment, but Adding this data to a diagnostic number of sensors, with only a Moreover, frequently there so-called univariate analysis effort required to determine data collection differs some- process provides significantly few outliers providing either an are multivariate interactions in actually relies on multivariate appropriate maintenance what between 200mm and greater process insight and exceptionally higher or lower which more than one variable implementations. These high- strategies to avoid excursions. 300mm factories. For 300mm creates much greater potential number of sensors. As one might will play a role in the detection level classes of problems are While these two manufacturers, much of the for understanding root cause. expect, later model tools typically of the process or tool problem. extremely common and have a approaches—run-to-fail and fab’s production is automated The degree of this are more instrumented than older In multivariate analysis one multivariate component even if conservative maintenance— and operators play a less active insight depends somewhat tools. However, even though typically refers to methods such PCA or PLS techniques are not are opposite extremes, role, leading to more predict- on the inherent limitations 200mm tools typically provide as principle component analysis used to define them. manufacturers may follow each able execution and more stable of the process tools. The less data than 300mm tools, (PCA) or related techniques The first of these high-level of them to varying degrees. operation. first limitation is related to experience shows there is still such as partial least squares classes are problems that use They may even perform cost/ For 200mm manufacturers, bandwidth and the second to great value in collecting several (PLS). information from other sensors benefit analyses on a case-by- although some have made the the existence or exposure of the hundred sensors’ worth of data PCA typically captures to define statistics that are case basis to determine the right leap to more automation, others appropriate sensors to a SEMI at 1Hz from 200mm equipment multivariate variation via one subsequently used for univariate approach for a given excursion. still rely upon manual operation Equipment Communications to enable effective diagnosis and of two multivariate metrics: analysis. This is actually how all For example, say that on of many production steps, which Standard/Generic Equipment monitoring. Hotelling’s T2 or the squared univariate statistics are created, average robot bearings fail can lead to misprocessing as Model (SECS/GEM) or other As one might suspect, not prediction error (SPE). A because the data used for the with an estimated probability well as shift-to-shift and day-to- appropriate interface. knowing how to effectively Hotelling’s T2 fault is defined as statistic is collected at (a) a after a certain period of time. day operational variability. For 200mm tools, the use these data-collection being outside of the expected start point defined by either An engineer can weigh the Despite these differences, bandwidth limitation varies systems results in a significantly variation of one or more of the recipe start or the start of probability of failure before what is consistent across all widely, from 300 sensors at 1Hz increased engineering effort to the sensors in a multivariate a specific recipe step, and then the predicted end-of-lifetime manufacturers is the need to on more recent platforms to just resolve excursions, without an distribution. For an SPE fault, (b) an end point defined by versus the cost of early monitor and control their pro- a few sensors per chamber at appropriate level of return. the system must demonstrate the recipe end or the end of a preventive maintenance (PM) cesses based on actionable data. 1Hz, although in practice most a miscorrelation between at specific recipe step. versus the cost of failure during In some cases only minimal 200mm tools can supply 200 or UN DERSTanDING least two components. That manufacturing to develop a data is collected, such as lot more sensors at a rate of 1Hz. SENSORS is, the values of the sensors quantitatively defined strategy summary information or very For 300mm tools, the are within expected individual Engineers first must “Eective” Univariate for maintaining robot bearings. high level statistics published by bandwidth limitations also vary limits, but the value of a given understand which sensors are Limits for B the tool. Typically, this coarse based on how data is collected. sensor is statistically outside relevant for the issue at hand. In Hotelling’s T2 Fault D Ata ANALYSIS data is collected at the factory For instance on 300mm the expected range given other some cases the relevant sensors IS CRUCIAL level in the manufacturing Applied tools, a specialized sensor values (see figure 1). are those that follow typical SPE Fault execution system (MES), and high-speed proprietary port can An example of an SPE fault However, the data required first-order effects, such as “Eective” basic statistical process control collect data for 500 sensors at is when—given that all other for these types of analyses often increasing temperature leading A Univariate (SPC) is applied. 5Hz. The port also allows for sensors are constant—the Limits for A is difficult to collect and requires to a higher deposition rate in A and B In many other cases, connectivity without impacting chamber pressure is within specialized monitoring systems subatmospheric chemical vapor Expected Correlation Between however, much larger amounts communications between expected limits but increases as and analysis techniques. deposition (SACVD), or lower of data are collected and stored. the tool and the host. By the throttle valve is opened. That brings up the second RF forward power leading to a Many manufacturers use comparison, on older 300mm Although not intuitively B primary difference between lower deposition rate in plasma- systems specifically designed tools that use a standard SECS/ obvious, in practice these “true manufacturers; namely, the enhanced chemical vapor for storing process data, with GEM interface, data rates multivariate faults” or SPE methods and technologies used deposition (PECVD). Figure 1. Relationship between multivariate limits and univariate limits. sampling rates of 1Hz or higher. typically approach the upper faults appear to be quite rare, for diagnosis. Other sensors may exhibit These systems typically have limit of 200mm tools (i.e., ~300 typically accounting for far effects that are less direct. One some level of process data sensors at 1Hz). less than 5% of failure modes. example is dome temperature

36 Nanochip Nanochip 37 PREDICT, AVOID AND MINIMIZE PRO CESS EXCURSIONS

One can take this to These sophisticated interactions Specific applications COMPREHENSIVE faults were observed within a faults. But trace data further another level by leveraging the require not just knowledge of that require high-speed data APPROACH NEEDED specified time period. This added showed that in the case of faults, state of the process to define how the process works, but also collection in areas such as RF, additional downtime and cost the lift pin was moving later in Because excursions the appropriate statistics. For the ability to analyze the data to optical emission spectroscopy because—as the manufacturer the recipe. In some of these are so difficult to control, instance, one could define identify the problem. (OES), spike anneal, and arc knew—the lift pins didn’t always instances, the lift pins were a comprehensive, multi- a statistic that captures the Once engineers can do detection potentially generate need to be replaced. But because delayed or stuck long enough faceted approach is required standard deviation of the this deep data analysis, a new amounts of raw data in sizes there seemed to be no way of that a fault occurred. The trace for optimum effect. Such an throttle valve position from the opportunity arises: to take this that are orders of magnitude knowing when to replace one, data for both faulted and good approach would include the beginning of a specific step to understanding and apply it to higher, and at rates up to the manufacturer chose to wafers showed a very clear line use of appropriate sensors to Chamber the time at which the pressure the real-time monitoring of 1,000Hz. absorb this cost and the related at which the tool would fault Lift Pins provide relevant data; the ability has achieved set point for a process equipment. This will In practice, we have downtime to avoid yield impacts. because of lift position. to identify and work with the minimum of two seconds. allow manufacturers to move seen that collecting ~20,000 However, it turned out To address the issue, a new specific data sets, algorithms This allows a very specific from a reactive methodology of statistics per wafer provides there was indeed a way to know virtual sensor was created that and models needed to achieve definition of the relevant data detecting excursions in quality a sufficiently wide array of when the lift pins needed to calculated the time it would take desired performance; and expert to be captured and for much control measurements or device information for detecting and be replaced. It was discovered to lift the wafer. Then, a specific tool and process knowledge so more precise process and tool performance, to a proactive diagnosing variation. The key is through a deep analysis of tool upper control limit was put in that appropriate control limits characterization. Furthermore, methodology of addressing not the number of statistics but data, made possible only by place to detect when calculated can be established. depending on the definition of them. The idea is that when that the appropriate statistics combining technology and tool lift time was starting to drift This combination of the recipe and the phenomena excursion problems are detected be created and executed against knowledge with fault-detection (see figure 3). technology, knowledge and skills being characterized, multiple earlier, less product is impacted the appropriate data. Without expertise. The ability to detect offers the potential for significant criteria may be required. and, in some cases, pending these important steps, in many Analysis of the lift pin lift-time drift and schedule improvements in excursion These could include examining excursions can be detected cases adding statistics does not position data showed only a the maintenance before a control. For example, take the the performance of the RF and addressed before product add much useful information. weak correlation between the fault occurred delivered case of a backside helium issue Figure 2. Lift pins in a typical subsystem to detect whether quality is impacted at all. (Many manufacturers choose average lift pin position and significant cost savings and that was observed on an etch process chamber. the forward power has The amount of data to look at this purely from a process tool, where it had been reached a steady state, and involved is huge, however. cost perspective, though: the determined that faults were also examining the pressure to A single, standard 300mm addition of a few hundred occurring for multiple reasons. determine that pressure has process tool can easily create more gigabytes and some Detect Fault Fault Analysis The initial response was causes Trace and Statistics Data achieved set-point. over 8GB of raw data per year. extra compute time is seen as downtime to perform a wet clean, but it and yield These types of analyses Previously this would coincide “insurance.”) problems Mining Lift Position turned out that sometimes this from flaking Automated Lift-Time Excursion are particularly relevant for with a further 1GB of additional In addition, because of Analysis was inadequate because addi- Helium Flow Average Backside Average Expected analyzing transient phenomena statistics data, but now the cost, technology or other Lift Time tional faults would subsequently 5/1 6/1 7/1 8/1 Date such as the variation that occurs data sets are becoming larger limitations, sensors may not be occur. In these cases, only after Lift-pin Exchange during recipe step changes, a still. Manufacturers are adding installed to collect the specific Lift Position extensive parts replacements plasma strike, or other initiation more sensors and statistics, measurement(s) of interest. were made was it determined Detect Trend 0 1 2 3 4 or transition steps in the increasing the amount of data In these instances engineers Eliminates faults, Recipe Time (sec) that a lift pin exchange was downtime, process. generated by 10- or 20-fold must develop inferential models and flaking Calculated Lift Time required (see figure 2). The next level of over the past several years. or leading indicators based on UCL Modeling The situation was Automated Lift Time Analysis understanding comes from Indeed, many manufacturers the sensors that are available. Calculated Lift Time complicated by an induced Calculated examining the dynamics of are now generating more than A simple example would be particle issue that led to yield loss 9/1 10/1 11/1 12/1 Date Normal Faulted Runs Runs chamber sensors and the 100,000 different statistics for where pressure variation is used Lift-pin Exchange when a fault occurred. To avoid interactions among sensors. a single wafer-processing step, instead of high-frequency RF this yield loss, the manufacturer a staggering amount of data to measurements as an indicator chose to replace lift pins and sift through. for arcs in plasma systems. Figure 3. Detection, root-cause diagnosis, and prevention. perform a wet clean after two

38 Nanochip Nanochip 39 PREDICT, AVOID AND MINIMIZE Reporter’s Notebook: Germanium PRO CESS EXCURSIONS D avid LammerS inen th Mai stream?

yield improvements. Because This service offering is maintenance that prevent the of the new virtual sensor, known as TechEdge Excursion problem from impacting the tool only the required level of Control. Ongoing progress or the product. Are you ready for the germanium era? It is coming At the SPCC conference, Paul Kirsch, maintenance is performed; lift is reported to the customer Combining skills, capabili- soon. By some measures, it is here already—according director of front-end process R&D pins are now replaced only when each week and, because ties, technology, and a rigorous to some participants at the recent Sematech Surface at Sematech, said “one possible necessary; and the unscheduled manufacturing is dynamic, prioritization process will help Preparation and Cleaning Conference (SPCC) in scenario” is that the industry may downtime and yield impact manufacturers can also examine manufacturers avoid many Austin, Texas. adopt an all-Ge technology, en route caused by lift pin excursions a tool’s Pareto distribution of the challenges involved in Early in the semiconductor industry’s history, to a hybrid Ge/III-V technology. For have been eliminated. each month to reprioritize identifying and preventing germanium (Ge) was displaced by silicon channels. As a III-V technology, “all the process the work being performed as process excursions. It will pioneering researchers created the “happy marriage” Paul Kirsch, steps change,” Kirsch noted. He and APPLIE D MATERIALS needed. Applied provides a full also help increase process between silicon dioxide and silicon, Ge went into the dust Sematech’s Director and other SPCC speakers detailed

PROVIDES quantitative analysis of the value predictability and reduce bin—until recently. Ge began a modern-day comeback by of Front-end the progress being made in the rd COMPREHENSIVE delivered each quarter. Previous unscheduled downtime compressing silicon atoms to create strained silicon. And Process R&D cleaning, ESH, and defect metrology without much fanfare, GlobalFoundries, for one, has steps required to implement III-V SOLUTIONS customer engagements show and scrap. o that savings averaging $1M Looking ahead, Applied is added Ge to its planar SiGe pFET channels. FinFETs with based transistors. “The cleaning protocols are evolving, and To help our customers per year are reasonable for working on additional TechEdge high-Ge-content (also called Ge-rich) pFET channels are now we have a contamination control strategy,” achieve results like these, a fleet of 20 tools through solutions that combine innovative on the horizon, perhaps as early as the 14nm node. Kirsch said. w Applied combines the skills decreased scrap, parts costs sensors, software, and special- Now companies are seriously considering using Ge The arguments in favor of an all-Ge technology brought of specially trained support and unscheduled downtime. ized services designed to help for both the pFET and nFET channels. With today’s heavy to mind an IEDM session several years ago, where Professor experts with our E3 Fault For specific failure modes the customers achieve greater emphasis on mobile systems, the all-Ge CMOS devices Akira Toriumi of the University of Tokyo challenged the Detection software and an FDC entire maintenance process predictability and faster resolu- could operate at voltage levels much lower than today’s assertion that the industry would move directly to III-V model library that has been can frequently be moved from tion of complex problems. silicon-based transistors. channels. Toriumi made the prescient argument that an developed over many years. reacting to a fault that caused a Just a few years ago, it appeared that Ge would be interim step was needed, using Ge for both nFET (where Our combined approach scrap event with unscheduled For additional information, used only in the pFETs, while III-V channels based on the advantage of Ge channels is less obvious) and pFET a provides automated near-real downtime, to a predictable contact alexander_schwarm@ indium gallium arsenide (InGaAs), for example, would be channels.

time customer notification of l variation and scheduled amat.com deposited in the nFET. These heterogeneous devices, it I sent an email to Toriumi, whom I first met in the mid- process issues and deviations. was said, would take advantage of the higher hole mobility 1980s when he was a young researcher at Toshiba’s R&D in Ge channels, and the much faster electron transport in center. He answered: “I believe an all-germanium CMOS will III-V nFET channels. be practically feasible for the next-generation technology.” A hybrid approach still makes theoretical sense. There are still many problems, Toriumi wrote, but However, some SPCC participants said leading the process technology and material properties “are h Engineering a Greener Experience for You semiconductor companies are now realizing just how big improving day by day.” In the mobile age, where low power T e st In this issue, you will learn what we’re doing to engineer a better customer experience. a leap it is to use III-V channels. Materials such as InGaAs consumption is so important, the lower band gap of Ge Guess what? We’re also making it a greener one! Nanochip Fab Solutions will now be are infamous for material issues, including high defect (0.67 eV compared to silicon’s 1:1 eV) should enable power densities in the lattice. The search for solutions to material supply voltage scaling, which has been a stumbling block in distributed electronically via email directly to your desktop. You can download the Electronic issues, such as threading dislocations (TDs) and stacking recent years. issue or, if you prefer, order a hard copy. distribution faults, has resulted in some innovative research-level This is an exciting time for researchers in general. techniques, including aspect ratio trapping (ART). While Toriumi and his colleagues have been developing a low- progress is being made in developing a gate dielectric for temperature, high-pressure oxidation (HPO) method, III-V based transistors, it remains a major challenge. creating a high-k oxide (GeO2) using increased oxygen Ge-channel pFETs enjoy an easier integration path pressure at lower temperatures. Researchers also are $250 for your thoughts? David Lammers than III-Vs. Also, a finFET architecture would deliver working on germanium-on-insulator (GoI) approaches, has covered the $250 We are constantly looking for ways to improve our products and services—including enhanced control of the channel: the three-sided gate in which hold promise. semiconductor the vertical transistors would help make up for the short Ge CMOS may happen as soon as the 10nm node, Nanochip Fab Solutions. In a few days we’ll be sending you a very short email survey so industry for EE Times, channel effects (SCE) common to Ge. Kirsch said, while III-Vs will come in at the “7, 5, or beyond” you can tell us what you’d like to see in future issues. Complete the survey and you’ll be Semiconductor Readers’ One major problem with III-V transistors is that all nodes, either as finFETs or as tunnel FETs. “This will allow entered to win one of two $250 gift cards! International, and of the process steps must be performed at relatively low the industry to rescale the power supply, which has been SURVEY other publications temperatures, in the 400°C range. Ge can withstand the root cause of the power consumption challenges, to since 1985. much higher temperatures, near 1,000 degrees. .3 to .5 V.” For questions or more information contact [email protected] or [email protected]

40 Nanochip Nanochip 41 www.appliedmaterials.com

Printed on Recycled Paper AGS-NFS-0613 06/13 1.5K