<<

V10/Issue 1/2015 Growing Scope 2 Demand for 20 China: 32 of 200mm Applications 200mm Tools Investment Fever Drives Advances in MEMS Outstrips Supply Kicks IC Industry Process Technologies Into High Gear

Solutions for Factory and Equipment Efficiency

Still evolving: 200mm MANAGEMENT SPONSOR Cheryl Knepfler CONTENTS cheryl_knepfl[email protected] PUBLISHER Growing Scope Peggy Marcucci of 200mm Applications [email protected] Drives Advances in MEMS Process Technologies 2 EDITOR-IN-CHIEF Liz Baird [email protected]

TECHNOLOGY ADVISOR Helen Armer [email protected] Advanced Service Collaboration— The Fab’s CONTRIBUTING EDITORS New Normal 7 Gary Dagastine David Lammers Silicon Labs Jill O’Nan Tackles IoT Challenges 12 DESIGN Jane Olson Graphic Design Trends in Subfab Energy Consumption and Emissions NANOCHIP is published by Control 16 Applied Materials, Inc. © Copyright Applied Materials, Inc., 2015 20 www.appliedmaterials.com Demand for 200mm Tools Outstrips Supply Nanochip Fab Solutions is now delivered in an environmentally friendly online version. 26 Printed copies are available upon request. Deploying Multivariate Analysis to Improve For a free subscription, or to Fab Productivity add colleagues to the mailing list, please send an email to [email protected] with the following information: 29 • Name Case Study: • Title Wafer Scrap Reduction in • Company a 300mm Logic Foundry • Business address China: • Business email* Investment Fever Kicks IC Industry *Sorry—no general accounts, e.g., Gmail, AOL, Yahoo Into High Gear 32

All trademarks so designated or PLUS: ABOUT THE COVER: otherwise indicated as product names 38: 10 in 10: Celebrating Just as the chambered nautilus or services are trademarks of Applied Materials, Inc. in the U.S. and A Decade of Nanochip creates a larger shell for itself as it other countries. All other product and 41: The Last Word: grows, 200mm equipment continues Sematech— service marks contained herein are A Look Back to evolve—and thrive—in the era of trademarks of their respective owners. mobility and the Internet of Things.

Cover image: Chris 73 at //commons.wikimedia.org/wiki/File:Nautilus CutawayLogarithmicSpiral.jpg under the creative commons cc-by-sa 3.0 license. BACK TO THE FUTURE: BUILDING THE LATEST TECHNOLOGY WITH LEGACY TOOLS A Letter from Charlie Pappis

When it comes to the fast-paced world of technology, we tend to assume that whatever’s “the latest” is also “the greatest.” Just look at the long lines that form outside your local Apple store every time a new version of the iPhone is released. CHARLIE PAPPIS That’s why the unprecedented surge in demand for 200mm legacy tools, largely driven by mobility GROUP VICE PRESIDENT innovations—including smartphones and the Internet of Things (IoT)—presents such an interesting AND GENERAL MANAGER, challenge for the industry. Although FinFETs, 3D NAND and other leading-edge device APPLIED GLOBAL SERVICES designs continue to push the IC technology roadmap, the fact is more than 75% of the ICs in the latest iPhone 6 Plus were manufactured on trailing-edge 150mm and 200mm equipment—much of it first delivered back in the 1980s. These legacy tools are vital to delivering a steady stream of cost-sensitive “More-than-Moore” devices. Fabricated with non-leading edge die, these devices are produced in fabs that must continuously evolve to operate flexibly and with peak yield and maximized output. This is a high-value problem that Applied Materials understands very well, and is committed to helping our customers address. In this issue of Nanochip you’ll learn how Applied Materials is continuing to invest in manufacturing, technology and service o¬erings for 200mm platforms through our R&D facility in Xi’an, China, our 200mm lab in Singapore, our manufacturing operation in Austin, Texas, and other satellite operations in Gloucester, Massachusetts, Kalispell, Montana, and Tel Aviv, . A great example is the new Applied Vita controller, introduced last fall. Many 200mm systems have controllers that were designed decades ago and haven’t been updated since. The Vita controller includes a much more powerful processor, flash memory and other features that can bring 200mm Applied Endura and Centura systems up to modern levels of performance and process control. When combined with our growing portfolio of advanced, technology-enabled service and consulting options, which we discuss in this issue, customers can increase output and improve 200mm device performance and yield. A tangible example of our new outcome-based service programs is a case study describing how a foundry with Applied Centura tools engaged our FabVantage Consulting Group with a goal to cut scrap rates by 50%. The Applied consultants ultimately exceeded the customer’s target, with recommendations and services which, when implemented, reduced wafer scrap to <0.2% and spending on certain parts by 4x. Also detailed in this issue is the interesting strategy of Silicon Labs, a fast-growing, fabless supplier of devices targeting IoT applications, along with a compelling inside view of China’s e¬orts to become an IC powerhouse. By leveraging government seed money and matching investments that could total US $170 billion over the next 5 to 10 years, China hopes to emerge as a major new player in chip manufacturing. Finally, we salute the 10th anniversary of Nanochip Fab Solutions with a look back at how much our industry has changed over the past decade. But what hasn’t changed, and what I hope you take away from these pages, is that Applied Materials is here for you. Whether you’re trying to optimize a legacy 200mm system, move to a new technology node on a state-of-the-art 300mm system, increase output, or reduce total costs, we can help you achieve your goals. Define the performance and the results you want, and we’ll deliver the technology, service and support to get you there.

NANOCHIP 1 2 NANOCHIP Interestingly, evidence which can accommodate Trend for 200mm Volume Fabs suggests that these might be more than twice as many dies Count of Companies and Fabs unduly pessimistic conclusions, as 200mm wafers. By 2013, 250 Companies Volume Fabs similar to the misrepresentation 300mm wafers represented Fab Count: +5% to +10% 200 that prompted Mark Twain to approximately 57% of overall comment, “The report of my semicondutor capacity, with IC 150

death was an exaggeration.” Insights forecasting a rise to 64% Count 100 Even with the transition to in 2018.[3] Production on 300mm 300mm wafers, fabs running wafers is predominantly in high- 50 200mm wafers have continued volume commodity chips, includ- 0 to fabricate specialty memories; ing DRAM, flash memory, and 2007 2015 image sensors; micro-controller microprocessors. Foundries and [6] units (MCUs); analog products; IDMs favor them to best amortize Figure 1. Production on 200mm tools is making small but steady gains. motion, audio, and radio- their manufacturing costs per die. followed spurred adoption of electronics, power management frequency (RF) communication However, 300mm systems are “More-than-Moore” devices. applications, and emerging IoT- devices embodying MEMS; and also used for some production of These comprise micro-scale, related MEMS and sensors.[5] discrete passive components image sensors, power manage- systems-in-package or system- such as resistors, capacitors, ment devices, and complex logic on-chip devices, integrating KEY 200MM antennas, filters and switches. and micro-component ICs with processing power with sensors, MARKET SEGMENTS Production of such devices large die sizes. RF communication, and a range makes good economic sense The automotive industry The automotive industry and of other functionalities. They in fully depreciated 200mm was among the first users of the consumer electronics sectors can be fabricated using die with fabs, including those formerly devices fabricated on 200mm have been responsible for most feature sizes greater than 1µm used for making devices now wafers and has been steadily of the 200mm production since and profitably produced on produced on 300mm wafers;[2] expanding its use of sensors for before the 2008–09 industry 200mm systems. furthermore, new market forces improving vehicle e´ciencies downturn (see figure 2). Since Although ~5% of the are re-energizing the 200mm and safety. Similarly, industrial the early 2000s, cars produced total WFE market in 2014,[1] equipment scene. Leveraging and medical applications have in the U.S. have been equipped 200mm applications are its core capabilities in precision contributed to this market. with devices like tire pressure steadily multiplying (see figure materials engineering, Applied However, the advent of wireless sensors that send data to the 1). In 2014, fab investments by Materials is addressing the new and motion-tracking technologies vehicles’ central computers. The leading foundries and IDMs challenges accompanying these in consumer electronics has been average new car now contains resulted in a 45% increase in rapidly growing market segments the major stimulus for growth in 60 microprocessors; electronics spending for secondary 200mm through sustained investment in applications produced on 200mm for such functions as advanced equipment.[4] Foundries account R&D to expand the capabilities of platforms. Sold in the hundreds of driver assistance, heating and for two-thirds of this increase, its 200mm systems. millions per year, first the iPhone air conditioning, and rear-view responding to expanding The drive to reduce chip and then the proliferation of cameras account for 40% of a demand for MCUs for costs was the leading factor in other smartphones, tablet PCs, car’s cost.[6] According to Gartner, automotive devices, consumer the transition to 300mm wafers, and related digital devices that sensors will represent 31.3%

Average Electronics Content per Car Global MEMS Market (Components) for Cell Phones and Tablets

1000 7000 Tablet 6000 900 Cell Phone 5000 800 4000 $M 700 3000

2000 600 1000

500 0 2006 2008 2010 2012 2014 2016 2018 2020 2010 2011 2012 2013 2014 2015 2016 2017 2018

Figure 2. The automotive and consumer electronics industries are the most significant drivers of resurgent 200mm production.[8,9]

NANOCHIP 3 Applied Materials has focused process temperatures expertise and investment (<400 °C) necessary to avoid in its Xi’an, China, 200mm damaging the CMOS during GROWING SCOPE OF development facilities to MEMS integration is too slow 200MM APPLICATIONS support customers’ success to be production-worthy. SiGe in these technically complex o¬ers a viable alternative to DRIVES ADVANCES and price-sensitive segments. polysilicon as its mechanical There, hardware- and process and electrical attributes are IN MEMS PROCESS TECHNOLOGIES development work is underway equivalent or superior. In to enable new applications addition, its deposition rate specialized for MEMS, power is far higher at the lower of all IoT-enabled automotive healthcare/medical, retail/ devices, thin-film batteries, temperatures required for in 2020, with marketing, transportation, and and camera image sensors. In full monolithic integration automotive safety applications everything in between over the a previous issue, we focused with CMOS. Nevertheless, the fastest growing segment next decade. Analysts predict on key advances for power depositing it in the thick for image sensors. Automotive that five years from now, device fabrication;[12] here we layers required for MEMS is a applications will also be the IoT-enabled sensors will be a highlight progress in MEMS- challenging balance of stress biggest consumer of inertial/ $10 billion industry.[11] This new related processes. and in-film particle control. motion sensors (43%). development is reinforcing Applied Materials has The automotive MEMS demands for economical Monolithic Integration successfully developed the sensor market is expected to production of cheaper, smaller, As die sizes continue to only commercially available grow at a CAGR of 4.7% from more capable, and more shrink, manufacturers strive production-worthy, single- 2014 to 2020 and become a power-e´cient devices— to more e´ciently package step/single-pass process for $3.6B market. [7] for which legacy or enhanced MEMS and CMOS devices. depositing thick SiGe. Using The consumer electronics 200mm toolsets o¬er optimal While several hybrid system- plasma-enhanced CVD story is epitomized by the global solutions. in-package devices have been (PECVD) on Centura DxZ or explosion in the use of digital developed, the industry trend is Producer mainframes, the cameras, iPods, smartphones, DELIVERING MORE toward monolithic integration of process deposits a series of 2µm and tablets over the past 5 years. THAN MOORE CMOS and MEMS devices. This layers for a total thickness of The value of MEMS produced approach raises a number of MEMS are among the 10µm. It is undergoing further for cell phones and tablets alone new issues. More-than-Moore devices refinement to enable deposition grew by $500M in 2012.[10] rejuvenating 200mm LOW-TEMPERATURE SILICON of layers more than 5µm thick “Wearables” are now adding to production. However, GERMANIUM (SiGe): with even fewer particles per the growth of this sector. These manufacturing them poses Polysilicon up to 60µm thick pass. At the targeted total miniature electronic devices— challenges from material- is currently used to fabricate thickness of 40µm, it will such as the Nike+ system for or process requirements not most of the MEMS mechanical meet customer roadmaps for tracking time, distance, pace, encountered in traditional structures. However, its comparability with today’s and calories through a sensor semiconductor fabrication. deposition rate at the low polysilicon layers (see figure 3). in the shoe, or the Apple Watch that integrates timekeeping

and calendar information with PARAMETERS TARGET ACTUAL REQUIREMENT address book, communication, and Internet capabilities as well WTW Uniformity +/- 5% < 3% as several activity monitoring WIW Uniformity +/- 3% < 2% 1 sigma functions—are driving Ge Content 60–65% ≥ 60% exponential proliferation in Thickness 0–10µm ≤ 15µm sensor applications. Maximum Temperature 425°C ≤ 420°C A new phase in inter- Deposition Rate > 100 nm/min 236 nm/min connectivity will be fueled by Resistivity < 5mW-cm < 4mW-cm the emerging IoT, which is Residual Stress 100 – 150 MPa (Comp) 130 MPa (Comp) predicted to link wearables, consumer devices, home Figure 3. Applied’s state-of-the-art, low-temperature SiGe deposition process is targeting >5µm/pass; automation, appliances, the 4µm-thick layer in the SEM image shows the desired crystalline characteristics.

4 NANOCHIP

PARAMETERS Si-RICH CONFORMAL SiN SiON NITRIDE NITRIDE

WTW Non-uniformity < 2% < 2.0% < 2.0% < 2.0% WIW Non-uniformity < 2% < 1.5% < 1.5% < 1.5% Refractive Index > 2.5 1.96 – 2.00 1.86 – 2.00 1.70 +/- 0.02 Temperature 400 – 480°C 480°C 200 – 400°C 200 – 400°C Deposition Rate > 3k Å/min 600 – 700 Å/min > 3800 Å/min 3600 – 7k Å/min WERR < 0.05 0.08 < 0.2:1 < 1.0 Residual Stress Tunable -100 +/- 50 MPa -90 +/- 20 MPa -100 +/- 50 MPa (Tunable) (Tunable) (Tunable)

Figure 4. Applied’s low-temperature SiN film forms a highly conformal, void-free layer with no delamination.

LOW-TEMPERATURE crystalline structure results All-In-One Etch Ultra-Thick SiO2 CONFORMAL NITRIDE: in a high wet etch rate ratio Deposition MEMS manufacturers were Monolithic integration poses (WERR) with the sacrificial Pressure sensor appli- previously unable to perform even more complexities in silicon dioxide removed cations require thick films the oxide hard mask open etch the case of MEMS-based by the HF. Monolithic (> 20µm) that are suited to and deep silicon main etch microphones. In these integration with its CMOS high-volume manufacturing steps in the same chamber. hybrid devices, two chips drivers not only demands (high deposition rates, better This situation increased wafer are packaged as a unit; one a higher deposition rate for stress control, low particle handling, added queue time contains the MEMS and the production-worthiness, it counts). Deposition processes complexities, and reduced second contains the CMOS. means that the SiN layer may for both doped and undoped overall throughput. The MEMS structure creates also be used as an electrically thick oxide have been Based on the Applied DPS significant topography and is insulating dielectric, which developed on the Applied DTM 200mm deep reactive also subjected to a somewhat imposes additional essential Producer PECVD system. ion etch chamber, we have lengthy release process step requirements for breakdown These neutral-stress films developed a two-step etch in hydrofluoric acid (HF). The voltage and current leakage. can be deposited at process (hard mask open, silicon nitride (SiN) used as Using the Producer PECVD increments of 5µm per deep silicon etch) followed a barrier layer over the platform, Applied has pass with excellent particle by a photoresist strip all in two components must be developed a low-temperature performance (see figure 5). one chamber. Figure 6 shows deposited at low tempera- process that achieves not Continuing development work representative results. Besides tures (<400 °C) for CMOS only a higher deposition rate focuses on depositing greater lowering wafer handling time compatibility. Further, it without sacrificing WERR, thicknesses per pass while and the overall cost per wafer, must be deposited slowly to but also conforms to the maintaining proportionally it improves the productivity of ensure that the film forms a requisite electrical properties low particle counts. each chamber on the tool. conformal layer and that its (see figure 4).

PARAMETERS TEOS

WTW Non-uniformity < 2% Thickness 4.5µm Maximum Temperature ≤ 350°C Deposition Rate > 1300 nm/min Residual Stress < 50 MPa (Tunable) Refractive Index 1.4549 Defects < 30 (> 0.2µm) Figure 6. Applied’s all-in-one etch and resist removal process boosts fab productivity.

Figure 5. Applied’s PECVD technology meets requirements for ultra-thick SiO2 deposition with negligible particle counts.

NANOCHIP 5 traditional pulsed DC PVD customers, we are pursuing GROWING SCOPE OF to enable manufacturability continuous tool and technology of these films and solve key improvement to support today’s 200MM APPLICATIONS issues such as deposition rate, broadly expanding cost-sensitive uniformity, kit life, target erosion, More-than-Moore device classes. DRIVES ADVANCES pasting e´ciency, and so forth. Thanks to Jeannette Hošman for To address these anticipated IN MEMS PROCESS TECHNOLOGIES her contributions to this article. customer needs, Applied is developing a new 200mm RF For additional information, contact MEMS/CMOS Packaging FUTURE DEVELOPMENTS PVD chamber capable of both [email protected] Bonding of MEMS wafers RF and pulsed DC deposition. The growing variety of MEMS [1] Semi Secondary Fab Equipment Besides MEMS, the chamber to CMOS wafers has become devices and functionalities is Report, January 2015 will serve applications related to an increasingly popular method leading to the adoption of new [2] http://www.icinsights.com/news/ power devices and LEDs. of integrating these devices in metals, dielectrics, and ceramic bulletins/Installed-Capacity- For-300mm-Wafer-Processing- instances where the dual-layer materials in the manufacturing bond interface uses aluminum SUMMARY Forecast-To-Rise-To-70-Of-Total- flow. Many require specialized Capacity-By-2017/ and germanium (Ge) to form a RF PVD processes to meet A small but steady [3] http://www.icinsights.com/news/ hermetic seal between the two deposition rate, target erosion resurgence in 200mm bulletins/300mm-Capacity- wafers and to simultaneously uniformity, or other specifications. production has marked recent Dominates-But-Life-Remains-For- create selective electrical Recent forecasts predict growth years, driven in large part by 200mm-Wafer-Fabs/ [4] connections to bond pads in a number of devices that use the consumer electronics SEMI Secondary Fab Equipment Report, January 2015. between the two wafers. Volume piezoelectric ceramic materials and automotive segments for [5] Christian G. Dieseldorš, SEMI production using this method devices fabricated with non- such as lead zirconate titanate World Fab Forecast, SEMICON depends on reliable delivery of a and various lead-free alternatives leading edge die and profitably West TechXPOT, July 9, 2014. Ge layer at a high deposition rate for piezo-actuators or sensors, produced by these systems. [6] MIT Technology Review, and low particle count. aluminum oxide for optical MEMS account for a significant “The Internet of Things,” In this case, meticulous coatings or high-k applications, portion of this production and July/August 2014. [7] process tuning now enables and vanadium oxide for next- have posed challenges that Markets and Markets, “Automotive MEMS Sensor Market by Type Applied Endura PVD technology generation microbolometer Applied is solving by enhancing (Inertial Sensor, Microphone, & to deliver a pulsed DC process applications, among others. deposition and etch processes, Pressure Sensor), Application that deposits Ge at a rate As these emerging and designing innovative (ADAS, ECU, ESC, HVAC, Safety exceeding 2600Å/min. with applications take hold, reliable hardware. Through our 200mm & Security, In-Car Navigation, OIS fewer than 200 particles at manufacturing solutions will development facilities in Xi’an Camera, Microphone in Cabin, & TPMS), & Geography—Analysis & 200nm diameter (see figure 7). be needed that extend beyond and collaborations with our Forecast (2014–2020),” March 2015. [8] Glenn G. Daves, Freescale: PARAMETERS ACTUAL “Automotive Packaging Trends,” SEMICON West TEchXPOT, June 9, WTW Non-uniformity < 2.6% 2014. Thickness ≥ 540 nm [9] MEMS Trends, Issue 15, Maximum Temperature < 400°C July 2013. Deposition Rate > 260 nm/min [10] Yole Developpement, “Status of the Residual Stress ~ 220 MPa MEMS Industry, 2012.” [11] Bulk Resistivity ~ 48 ohm.cm Stephan Ohr, “Sensors on the Internet of Things—A Tale of Two Surface Roughness ~ 3.78 nm Markets,” Gartner, October 23, Particles < 200 (> 0.2µm) 2014. [12] Nanochip Fab Solutions, Vol. 9, Issue Figure 7. Enhanced Endura PVD technology delivers thick Ge film needed for MEMS/CMOS packaging. 1, pp. 7-14, 2014.

6 NANOCHIP ADVANCED SERVICE COLLABORATION— THE FAB’S NEW NORMAL Faced with daunting semiconductor manufacturing challenges, chipmakers increasingly collaborate BY with Applied Materials service NANOCHIP experts to pinpoint and resolve STAFF high-value problems in their fabs.

NANOCHIP 7 ADVANCED SERVICE

COLLABORATION— The initial job of the solutions architect is the discovery THE FAB’S NEW NORMAL process, or as Nading describes it, “engaging directly with the customer at a very high level to find out what the real Given the explosive growth in smartphones and problem is.” mobility products, today chipmakers have relentless The next step is proposing a specific solution, a cost- and cycle-time pressures, whether they’re building methodology for getting to the root cause of the problem. state-of-the-art microprocessors, NAND devices, or “We do an assessment and say to the customer ‘this MEMS and power chips. Their manufacturing mandate is is what you need to do to fix the issue.’ ” The solutions for equipment services that are more insightful, capable, architect then works with the customer to determine the and designed to forestall problems that can impact tool right blend of in-house and Applied Materials expertise to productivity and line yield. For example, as semiconductor deliver the required solutions. companies moved beyond 28nm design rules, many Execution is the final step: proving that the Applied of them hit various technical walls, with edge die yield Materials service team has the right mix of expert and chamber matching among the most challenging engineers and tools to solve the problem. “A key aspect manufacturing-related problems. of successful execution is setting expectations correctly, When it comes to service, Frank Nading has seen a being specific about what the AGS team will deliver, and dramatic evolution over the last three decades. Whether then delivering on those commitments,” Nading said. it’s matching etch chamber performance, figuring out what’s causing scratching during the CMP steps, or some BENCHMARKING NEEDED other critical issue, services now AGS Vice President and General Manager Charlie go far beyond conventional equipment support practices. Pappis says his organization exists solely to enable customer “Chipmaking is so complex now, and the cost of success. “Increasingly, our job is to help customers fine-tune production is staggering. More than ever, our customers processes for optimum performance and manage on-board ask for help to accelerate yield, reduce cost and improve technology so they can predict and prevent problems before productivity of their Applied tools and their fabs,” Nading they impact device performance and yield.” said. “So today, when Applied brings service to the fab, we Often, this starts with an important first step: bring technology.” benchmarking. “Part of the fab service value we bring is benchmarking,” FROM DISCOVERY TO EXECUTION Pappis said. “We look at what is best-in-class performance Nading, who has spent nearly his entire career at around the world—using aggregate data from hundreds or Applied Materials, said his job as a solutions architect thousands of installed tools in a given class—then compare within Applied Global Services (AGS) is to work with it with the customer’s tools. Most of the time there’s a customers to discover their particular challenges, then help significant gap.” shape service solutions that address their technical and Nading said a customer may report that uptime on a economic situations. certain toolset is 70%, while Applied’s own data may peg “All fabs have problems,” he noted. “Most customers best-in-class at 85%. “That often initiates a good discussion do some level of service on their own. But sometimes there about how the AGS team can work with the customer to are tough problems—the kind that are costing them time, improve uptime, throughput or yield,” he said. quality and money—that they need help to resolve. That’s AGS’s FabVantage Consulting Group is a team of what we try to do: help them solve problems faster and highly specialized experts who work with customers to at an a¬ordable cost.” identify and resolve specific yield-, throughput- and other

Frank Nading, Applied Global Services (AGS) solutions architect

8 NANOCHIP IMPROVED WITHIN-WAFER THICKNESS UNIFORMITY BY 50% Sigma: 1.25% - 2.5% Sigma: <1% 100 100

50 50

Y 0 Y 0

-50 -50

-100 -100 -50 0 50 100 -50 0 50 100 X X

Figure 1. Reduced process variability is one outcome of Applied FabVantage ošerings. At one fab, the FabVantage team was able to significantly improve process control on an Applied Centura Epi system by finding and correcting hardware issues and by optimizing the PM procedure. productivity problems. Helen Armer, senior director of Armer said that today high-k/metal gates, FinFETs, services technology, manages the knowledge base within double patterning, vertical NAND, and other technology the FabVantage Group. Armer said many customers ask challenges have driven chipmakers to partner more closely Applied Materials for benchmarking to see how their tool or with Applied, using the company’s TechEdge Technology fab performance aligns with standard performance against a Services, a new class of advanced service o¬erings. large set of similar tools. “This benchmarking often initiates “These services rely on sophisticated data analysis a larger FabVantage engagement with very precise goals techniques coupled with the capabilities of specially and objectives. Customers can then use Applied services to trained service engineers,” she said. “When integrated with achieve and maintain these improved performance levels via benchmarking assessments, they can help customers better a more comprehensive services agreement,” she said. understand what’s going on with their tools and processes, Pappis noted that besides tool control, cost and often uncover multiple opportunities for productivity competitiveness is driving an increased customer emphasis improvements.” on factory utilization. “Factory utilization rates are rather Read said that keeping tools within a tight on-wafer strong right now. As these rates climb, new modules can specification, and matching chambers across multiple tools, become bottlenecks. However, often a percent increase are high priorities at device makers. “One thing that goes in throughput for a certain toolset can result in a percent across the board is the need to reduce process variability, increase in the throughput for the overall fab. FabVantage although the amount of reduction depends on the process. assessments can uncover opportunities for improvements in “Both of our outcome-based service o¬erings are these areas as well,” he said. intended to reduce process variability. With Applied Jeremy Read, AGS vice president of Services Marketing, Performance Service, the contract spec is based on wafer noted that FabVantage consulting is a key component data gathered using UVA [univariate analysis] and MVA of Applied Performance Service and Managed Service [multivariate analysis] along with metrology feedback. For contracts, which are based on preconfigured tool- or Applied Managed Service, the contract spec is built on tool on-wafer results. “Over the last few years, an increasing data using UVA and MVA and test structures,” he added. number of customers at all technology nodes have engaged ”The results can be quite dramatic. For example, for one with us for this capability, recognizing that it helps them customer thickness non-uniformity on Applied Centura epi achieve their di´cult device-performance, yield and cost- systems was cut by more than 50%.” (See figure 1). reduction goals.” Read noted that the complexity of today’s device manufacturing environments requires a wider range THE EVOLUTION OF SERVICES of service capabilities than ever before. “We’ve now evolved beyond what has been considered normal service Services in the chip industry have evolved in stages. deliverables—routine tool maintenance activity and tool First, the shift from the original break-fix model—where performance services—adding those focused on helping corrective maintenance, spares delivery and cost were customers achieve desired on-wafer results and specific paramount—to something more complex started when device-performance characteristics. Through service foundries and memory companies began focusing more agreements incorporating advanced analytical tools, on cost of ownership.

NANOCHIP 9 ADVANCED SERVICE “Both of our outcome-based service COLLABORATION— o¬erings are intended to reduce THE FAB’S NEW NORMAL process variability. With Applied Performance Service, the contract we are able to o¬er measurable operational excellence in spec is based on wafer data gathered yield, output, cycle time, and other key metrics that help customers attain their business and technology goals,” using UVA [univariate analysis] and he said. (See figure 2.) MVA [multivariate analysis] along with metrology feedback. For Applied DATA SHARING NEEDED Managed Service, the contract spec is The proliferation of sensors, data analysis tools and modeling methods in the fab opens the door to new service built on tool data using UVA and MVA o¬erings that go far beyond traditional maintenance prac- and test structures. The results can be tices. But they can’t perform without sharing of data and IP. Pappis said “it’s hard to envision customers universally quite dramatic. For example, for one allowing access to their yield and parametric data, only customer thickness non-uniformity on because their business is based on the unique IP associated Applied Centura epi systems was cut with the data. So we propose going in with UVA and MVA capabilities that are generic to a target module.” by more than 50%.” – Jeremy Read “There are more than 1,000 unique tool-types in Applied’s installed base. Although they are di¬erent, they share many design, process and performance similarities. supporting capabilities such as rapid diagnostics, variability By overlaying data, we can quickly identify potential problem reduction, chamber matching, and a growing number of areas,” Armer said, noting that FabVantage has completed other capabilities. more than 180 projects and accumulated data collection Nading said that Applied is actively building a knowl- plans for more than 650 tools since its inception in 2009. edge base that plays an increasingly important role in the AGS as a whole has about 3,000 customer engineers development and delivery of advanced services. “Today (CEs). Each year, a number of CEs are selected to undergo we have the ability to look at individual components on a the training required to be TechEdge engineers, using tool. Where we want to go with TechEdge services is to software tools and models that run within the Applied E3 take the sensors we know are important to on-wafer results fab management platform. These TechEdge applications and create multivariate formulas that accurately predict analyze data from a collection of priority sensors and on-wafer results. We are investing a lot of time, e¬ort and money to develop and deliver those capabilities.”

BUILDING ON SERVICE CAPABILITY Focused Support for Customers' Most Challenging Problems

• Yield Improvement DEVICE WAFERS PERFORMANCE • Fab Optimization OUT

Virtual Metrology CYCLE • YIELD ON WAFER • Predictive Maintenance Service TIME RESULTS • Advanced Analytics • Line Yield Agreements Improve • High-level Corrective Maintenance Operational COMPREHENSIVE • Equipment Engineering Excellence TOOL PERFORMANCE NEXT • Process Engineering EHS NODE

COST • PM Maintenance ROUTINE TOOL ACTIVITY PER • Simple Corrective Maintenance WAFER

Figure 2. Applied Performance Service and Applied Managed Service agreements focus on achieving customer-defined device- performance and on-wafer results using a variety of FabVantage consulting and TechEdge services.

10 NANOCHIP PMs STILL IMPORTANT Once the FabVantage team determined which components tended to drift, the next step was working with Tim Trudgeon, a director in the FabVantage group, the customer to develop a maintenance program “so that said he has witnessed a dramatic change in the willingness the chamber matching is sustained over time. This kind of of customers to engage with Applied Materials service analysis and advanced service has proven to be a big draw teams. “I see a tremendous amount of collaboration, from our advanced-node customers,” Trudgeon said. especially in FabVantage projects, to match chambers or reduce defects. Once customers understand that our DR. WATSON, I PRESUME people have the right expertise, they pull us in and make us part of their team.” In that sense, the semiconductor service business Trudgeon, who joined Applied in 1999, said many of isn’t much di¬erent from many industries, where human the TechEdge advanced services delivered by AGS require professionals are supported by computers and knowledge “a mix of people with a high-level statistical data analysis databases. Physicians, for example, draw upon knowledge background as well as those with a solid understanding of databases to augment their own diagnostic skills. And who the hardware and the semiconductor fab. We want to be can forget the pounding that two human contestants took on sure that the direction of the statistical analysis is correct. the JEOPARDY! game show at the hands of IBM’s mammoth But it’s only when you combine that ability with people who Watson supercomputer?[1] understand how the tools work, how the process works, Applied Materials is building its own Watson-like and the flow of manufacturing, that you get significant abilities to find answers for customers—ideally before results.” problems become manifest. And yes, that trained CE plays a key role. Matching the TechEdge services can be deployed to meet the key performance of a fab’s etch tools across multiple chambers performance indicators in a particular service contract, involves many factors. A FabVantage team may identify Trudgeon said. Depending on the goals within a service the variables to keep chambers matched, with well- contract, TechEdge engineers might prioritize certain qualified maintenance people playing a key role. “It is really sensors on a tool and develop the appropriate statistical important to do a PM [preventive maintenance] properly, analysis, pulling sensor trace data into Applied E3 at regular and PM routines at 20nm are much di¬erent than at 65nm. intervals or in real time, as needed. Priority sensors would We have got to connect all the dots from a maintenance be compared to proven models to better understand when perspective to sustain that tool and chamber matching for there might be a component failure, the goal being to reduce a long time,” Trudgeon said. scheduled downtime and better plan maintenance events. “We are trying to move our whole service business “If the KPI is etch chamber matching, we would use upstream. Instead of a model about how we meet a certain TechEdge services to monitor and control when the tool uptime metric, which is a tactical goal, we increasingly are needs to be maintained, for example,” Trudgeon said. helping customers meet strategic targets for end results “With TechEdge and FabVantage capabilities, we are such as on-wafer performance,” he added. building a very broad understanding about what goes on in Trudgeon said a FabVantage team just concluded fabs. We know a lot about how the elephants are running, a chamber-matching engagement that has led to a and that makes us much better equipped to help solve longer-term service agreement with the customer. The customer challenges faster,” Nading said. FabVantage team identified key variables contributing to Asked to conclude where Applied’s service business etch CD variation, and suggested a series of fixes to the tool is today, he replied: “I think it’s evolving. And that service setup. “We provided the analytical ability to monitor the that may not have been a fit for you last year, well, now you sensors on the components that matter. Everyone has FDC should look again because it’s constantly changing. I like to [fault detection and classification], but not everyone has think we’re getting better all the time.” the deep understanding of the equipment needed to know Pappis summed it up this way: “To think that fabs can which sensors and components matter, and what are the do it on their own is probably not true, and to think the OEMs appropriate statistics to apply to those sensors.” can do it on their own is probably not true. It has to happen together.”

“To think that fabs can do this on their own For additional information, contact [email protected] is probably not true, and to think the OEMs [1] JEOPARDY! is produced by Pictures Television, and can do it on their own is probably not true. is broadcast in 29 countries outside the U.S. http://www. nytimes.com/2011/02/17/science/17jeopardy-watson. It has to happen together." html?pagewanted=all&_r=0 – Charlie Pappis

NANOCHIP 11 SILICON LABS TACKLES IoT CHALLENGES

12 NANOCHIP Providing silicon to the IoT market requires a maniacal focus on power consumption at 180nm and 90nm design rules. Silicon Labs is succeeding in combining low-power wireless, MCUs, and flash in its SoC products. Tyson Tuttle, CEO BY DAVID LAMMERS of Silicon Labs

The semiconductor industry is promoted Tyson Tuttle, who had presence of all that digital processing looking to the Internet of Things (IoT) started at Silicon Labs as a wireless going on.” In the IoT marketplace, to drive new demand as traditional design engineer, to the CEO he added, power consumption is as sectors such as PCs flatten out or position in March 2012, charging important as cost, because many of the decline. To understand what it takes him with finding faster-growing end-node products are expected to run to create successful IoT solutions, a markets. Tuttle focused the fabless on coin cell batteries for years at a time. close look at Silicon Labs in Austin, 1,100-person company on IoT Texas, illustrates how the mix of cost, solutions, where the company’s AN IoT ACQUISITION low-power wireless, and other factors portfolio of microcontrollers (MCUs), STRATEGY makes the IoT market as di¬erent as a RF and sensor solutions would form During his tenure as CEO, sushi bar is from a barbecue joint. a foundation. About a third of the Tuttle has led three key IoT-related Founded in 1996 by three veteran company’s revenues now come from acquisitions: Ember (Boston), an early mixed-signal designers, Silicon Labs IoT markets; in the first calendar pioneer of ZigBee wireless solutions; started out with the goal of applying quarter of 2015, revenue from that Energy Micro (Oslo, Norway), which standard foundry CMOS to non- sector was about $61 million, up 26% developed a series of low-power ARM- traditional applications, including year-on-year. based 32-bit microcontrollers; and RF. That RF CMOS foundation, “To be able to integrate the most recently Bluegiga Technologies according to the company’s wireless function together with the (Espoo, Finland), a provider of executives, prepared Silicon Labs for microcontroller, you have to be in the Bluetooth and Wi-Fi wireless solutions the IoT market, where wireless data same process technology,” Tuttle for wearables and other applications. transmission is a key part of the IoT said in a recent industry presentation. Because IoT solutions are used in equation. “Then you must have the flash thousands of di¬erent applications, After industrywide slow-growth memory integration, and you have with tens of thousands of customers, years of the financial downturn, to figure out how to make all of the Tuttle noted that “you have to build the company’s board of directors wireless connectivity work in the these SoCs in ways that are very general purpose.” Some of the biggest INTEGRATION markets, he said, are smart metering for energy, water, gas, and heat; a range of wearables; home automation and MULTI- MCU SENSOR SENSE security; diverse industrial and factory RF PROTOCOL ARM I/F RADIO CORTEX-M automation applications, and RFID and digital shelf labels for retail customers.

ENERGY MEMORY MIXED- CONTROL MGMT eF/NVM SIGNAL POWER CONSUMPTION BATTERY IS KEY Sandeep Kumar, senior vice IoT SoC president of worldwide operations Figure 1. An IoT SoC is a highly integrated device that combines an MCU core, at Silicon Labs, said, “The key mixed-signal peripherals, a multi-protocol wireless transceiver, energy management challenge is that these end-user circuitry, and non-volatile memory into a single die manufactured on mainstream node devices for the IoT are wireless. CMOS process technologies. NANOCHIP 13 SILICON LABS

TACKLES IoT additional metal layers to provide the CHALLENGES capacitors and inductors needed to create RF and passive components. A foundry often will add transistors with Whether they are smart energy a higher threshold voltage to reduce meters or health monitors or security power consumption, especially when systems, they will all deliver their data the device is in sleep mode. into the network and cloud through “In terms of manufacturing, there low-power wireless. And, a motion are only a few fabs out there available sensor or a door sensor has to last to fabless companies that can do all of 5 to 10 years on a cell battery.” Sandeep Kumar, senior this,” Cooley said. Kumar started his career at Bell vice president of worldwide Laboratories in New Jersey. He left operations at Silicon Labs FINDING BUSY FABS Agere Systems to join Silicon Labs KEEPS COST DOWN in 2006 to oversee the company’s such as digital TV tuner ICs, are made Kumar said an important manufacturing strategy. A key part on 55nm technologies.) investigation for Silicon Labs is of this strategy was an initiative At a SEMI event held in Austin determining how much foundry to drive design-for-cost for Silicon in mid-May, Kumar said a growing capacity will be available in the future, Labs’ IC products. This methodology concern is fab availability. “All the and estimating the yields and wafer involves a variety of manufacturing 180nm fabs are full, which is kind costs at those fabs. If a foundry partner inputs and variables, ranging from of counterintuitive. That has never has a nearly full 200mm fab and a half- process technology to test coverage, happened before, to my knowledge. full 300mm fab, “there is no question” to determine the most cost-e¬ective All of the foundries are on allocation that the 200mm fab will be cheaper, manufacturing solutions. at 180nm because of the demand he said. “We did a very detailed analysis for sensors and other trailing-edge “One question we ask our suppliers of what would happen if we moved devices.” is how they see their capacity in five [from 180nm] to 110, 90, and 55 Daniel Cooley, vice president years. It is a fine line; we don’t want nanometers, through our design-for- and general manager for MCU and to be in a node that is oversubscribed cost process. The chip design team wireless products at Silicon Labs, said either, so you don’t get capacity. We is a critical part of this process. To the boom in smart-card chips is one want to be in fabs that are very busy. achieve our performance and cost application sopping up 180nm capac- goals, we concluded that the sweet ity. “There is a shortage of 180nm We don’t want to be in a fab that is only spots for our IoT portfolio were capacity worldwide. Every time there 50 percent utilized, because then we are 180nm and 90nm. We also continue is excess capacity at TSMC we buy it, likely to see an increase in price, or a fab to look at smaller geometries. We because we don’t know when we will shutting down,” Kumar said. need embedded memory for many get excess again. We call them every Applying what he calls “intuitive of our IoT devices, and yet nothing week, asking ‘Did anybody cancel? science,” Kumar queries his suppliers is available at 28nm or 40nm. We Did anybody push out?’ ” to find fabs that are likely to be 90– have concluded that with the features 95% utilized in three years. Last year, and performance we need in our RF FUNCTIONS COME LAST two fabs were shut down in Germany SoCs, 55nm was not the most cost- AT FOUNDRIES and Korea; Kumar said Silicon Labs e¬ective process node for our IoT had predicted those closures because manufacturing needs,” Kumar said. Foundries traditionally start out utilization rates were so low that the Many of Silicon Labs’ by building a new process aimed fabs were losing money. components are made on 200mm at high-performance servers or Kumar said he is keeping a close wafers at 180nm design rules, smartphone processors; only later do eye on the limited availability of used and that 180nm-based portfolio foundries o¬er non-volatile memories 200mm fab equipment. “We have very is growing. Other IoT-centric SoC and support for wireless. confidential meetings with our foundry devices, where larger blocks of flash “The last things are the RF partners, where we share our 5- and and RAM memory are needed or parameters. RF is one of the hardest 8-year plans. We learn from them the faster processing is required in a things to do, because these processes factual data of how they plan to invest, smaller die size, are being targeted to were designed from the ground up and how they see customers moving 90nm foundry processes on 300mm to handle digital,” Cooley said. The from 200mm into 300mm that will wafers. (Other Silicon Labs products, foundries have to add mask layers, or open up 200mm capacity.”

14 NANOCHIP Kumar also said TSMC “is giving us capacity” because they see Silicon “All the 180nm fabs are full, which is kind of counterintuitive. Labs as a key player in the IoT space, That has never happened before, to my knowledge. All of the given its fabless nature. Other big players in IoT chips have their own fabs foundries are on allocation at 180nm because of the demand and won’t provide the major foundries for sensors and other trailing-edge devices.” with much IoT-related business. – Sandeep Kumar And Silicon Labs needs partners to augment the capabilities of its five years, embedded flash could be a In short, RAM is lower power, and engineering sta¬ to keep up with the thing of the past, Cooley predicted. faster to access, than flash. myriad projects the company has on The amount of SRAM data its plate. “I wish we could go out and memory on the company’s IoT A NEW ERA OF hire a hundred engineers to handle all devices is growing. “In MCUs, there MICROCONTROLLERS of the projects we have on our wish list, used to be a rule of thumb, an 8-to-1 Cooley said the need for IoT but our financial guys have di¬erent ratio of flash to SRAM, with in some devices to wirelessly deliver data to ideas,” Kumar said, laughing. cases a megabyte of flash and 128k the cloud di¬erentiates the IoT from The back end also presents of SRAM,” Cooley said. Now, that traditional embedded markets, where unique challenges. IoT chips are ratio is swinging to 3-to-1, or 2-to-1, MCUs were often standalone devices. so small and lightweight that they with perhaps a megabyte of flash and “I think you are starting to see can literally float in the air during 512k of SRAM. The change relates to in the market a new class of wireless the testing phase. Silicon Labs has the need to save on battery power, microcontrollers. They are not MCUs, developed test algorithms to deal with provide the memory necessary for and not wireless chips dedicated to a the combinations of flash, control wireless networking stacks, and even single function,” he said, arguing that logic, and RF circuitry on its wireless bu¬er the frames of small displays for few companies have the design exper- microcontrollers. It uses built-in wearable devices. tise to combine a low-power MCU with self-test (BIST) extensively. Noting IoT applications often are in sleep RF capabilities in a foundry process. the cost limitations facing nearly all mode nearly all of the time, waking Cooley also said wireless MCUs IoT end-node markets, Kumar said up only to send data to the cloud. present firmware challenges to semiconductor companies “must plan With a large chunk of SRAM, the SoC companies that have specialized for a commoditized market. We are can store data autonomously from either in MCUs or wireless ICs. selling all of this functionality for the sensors and other peripherals. Once “Only a few companies have the price of a slice of pizza.” the bu¬er is full, it can send data deep software knowledge to do wire- Adding flash adds about 30% to the cloud, or trigger the MCU to less stacks in what we believe is a new to the number of mask layers. The wake up. “With more SRAM, there class of devices. The software, the RF major foundries are investigating are more things you can do when the in CMOS, and the core MCU—you new types of non-volatile memory, MCU is o¬,” said Cooley. have to put all three together to suc- such as magnetic RAM (MRAM), And compared with flash, ceed in the Internet of Things market.” ferroelectric RAM (FRAM), and RAM-based bu¬ers are faster to read, Tuttle noted that other compa- resistive RAM (ReRAM) that could and require less power to write due nies will have their work cut out for be embedded at less cost. In about to the large charging pumps on flash. them to catch up with Silicon Labs in the IoT space. THE INTERNET OF THINGS “We have been building our IoT MEDICAL AUTOMOTIVE capability for five years, and we feel

COMMUNICATIONS we have a several-year lead over what other companies have. It is COMPUTERS a subtle point, but it is not easy to 2025 integrate these functions in the same 2015 15.4B technology, and add flash memory, Connected 5x 75.4B Devices Connected and make it all work. It is di´cult if Devices you haven’t done this before.” CONSUMER INDUSTRIAL

For additional information about Source: IHS Technology, June 2015 Silicon Labs visit www.silabs.com

NANOCHIP 15 TRENDS IN SUBFAB ENERGY CONSUMPTION AND BY ANDREAS NEUBER, MAXIME CAYER, EMISSIONS SHAUN CRAWFORD AND ANDREW HERBERT CONTROL

BACKGROUND The semiconductor industry has made great advances over the last few decades, but multiple challenges must be overcome for that progress to continue. Among them is the need for companies to move along a sustainable growth path. That need is driven by the increasingly short supply and growing expense of resources critical for semiconductor manufacturing, such as water and power.

16 NANOCHIP What does this mean for the semiconductor SUBFAB UPDATE manufacturing industry going forward? Energy-saving Today, the focus on saving energy and resources has technologies and products may have a significant shifted from facility operations to the subfab, for several positive impact, and are widely recognized as useful reasons: ways to promote sustainable growth. Variable-frequency ■■ The subfab currently consumes more energy and ICs to drive pump motors are one example. Another resources than any other part of the production facility is the synchronization of fab and subfab operations to (see figure 1). optimize subfab resource consumption with no risk to the manufacturing process or throughput. ■■ Most fabs no longer have much low-hanging fruit— Energy savings will assume an even greater easy opportunities to drive improvements in resource importance in the years ahead. Many regions now require e´ciency—thanks to improvements already made that power and resource consumption, and emissions, stay over the past few years in facility operation. at an even level as production capacity increases. And this ■■ Because changes in the subfab apply only to auxiliary is occurring as cost-reduction pressures in all phases of processes, it is possible to retrofit equipment or semiconductor manufacturing are stronger than ever. implement other changes in existing semiconductor The good news is that energy conservation, subfabs without having to requalify manufacturing reduction of greenhouse gas emissions (GHGs) and cost processes. reductions do not necessarily contradict one another. Figure 1 shows the power consumption of a fab For example, the high operating costs to e¬ectively abate by specific application, while figure 2 shows the major environmentally harmful perfluorocarbon (PFC) gases distribution of power in a fab. Note that figure 1 is can be reduced by applying alternative technologies, such incomplete to some extent, because, in addition, the as pre-pump plasma abatement. Pre-pump abatement consumption of other utilities is primarily driven by the reduces power consumption and generation of typical variable needs of the manufacturing equipment. byproducts such as nitrogen oxides (NOx). If one also considers indirect power consumption, However, such solutions must be available both for based on the SEMI S23 Guide for Conservation of new tools and for existing fabs with reasonable rates of Energy, Utilities and Materials, the portion attributable return on investment. The ability to achieve an attractive to manufacturing equipment would be even larger. More ROI within one to three years depends on many factors— than 80% of a fab’s power consumption is driven by the the cost of energy and other resources being a major needs of the manufacturing process itself, and only 20% component. Also, measures implemented to save energy by the infrastructure. and resources must not negatively impact manufacturing or result in increased safety or fab operation risks.

Waste Water 1.3% Chilled UPW Lighting 1.1% Water N2 9.5% Recirc. Air 1.1% Infrastructure 6.2% 12.9% 17% CDA Power 5.2% Exhaust Manufacturing Make Up Air Equipment 14.9% 0.9% Other 44% 2.2% Utilites Manufacturing Manufacturing Equipment Bulk Gases Equipment 0.7% 45.6% 40% AMHS 0.4% VOC Abatement 0.2% Hot Water 0.0%

Figure 1. Breakdown of power consumption in a fab by specific application. Figure 2: Distribution of power consumption in a fab.

NANOCHIP 17 TRENDS IN SUBFAB ENERGY CONSUMPTION AND EMISSIONS CONTROL

More than 1,000 semiconductor manufacturing tools

12.0% have been connected to iSYS controllers since Applied introduced the device in 2009. The controller also provides 10.0% real-time reporting capabilities to document energy consumption and savings, and allows users to monitor 8.0% fluorinated greenhouse gases (F-GHGs) emissions and meet green operation reporting requirements. 6.0% In addition, the iSYS controller can help reduce NOx emissions and volatile organic compounds (VOCs) 4.0% generated by abatement. Other subfab components can be controlled as well, including pipe heaters (indirect 2.0% savings from reduced N2 flows), O3 generators, local chillers, hot N purge units, etc. 0.0% 2 3 While the controller enables savings in normal Laser Pumps Pumps RF + O Remote Heaters Heaters

Mini-Env production mode, when O generators are used or when

Dry Pump 3 Mainframe Generators Abatement Local Chiller Local Non-process Turbo + Cryo Turbo Plasma Clean temperatures are changed, the system needs wake-up time to adjust to safe operating conditions. These energy- Figure 3: Pareto diagram showing the typical power consumption of key components saving states are called sleep modes. of a fab’s manufacturing equipment. In addition to having a small footprint, the iSYS controller can easily be retrofitted and implemented, with Figure 3 shows the power consumption of key minimal downtime and no e¬ect on process parameters. components of a fab’s manufacturing equipment. Dry Thus, whether a tool is running a process, sitting idle or pumps and abatements are some of the biggest energy being cleaned, use of power, gas and water is kept to and resource consumers. Along with pipe heaters, they are a minimum—reducing the fab’s carbon footprint and the major components that can be most improved without lowering costs. impacting production, because they are downstream of the process. STATUS, CURRENT ISSUES & FUTURE TRENDS The importance of fab-subfab synchronization SYNCHRONIZATION CONSERVES RESOURCES is increasing because more energy is required for

The Applied Materials iSYS controller is a device abatement of PFC gas and N2O emissions than for typical that communicates between a wafer processing tool process gases. This is supported by extensive SEMI and its support equipment in the subfab. The controller standardization e¬orts for idle and sleep-mode energy receives data concerning tool and chamber status and the conservation; see for example SEMI S23, SEMI E167.1 chemistries being run. It then provides signals matched to and .2 (work in progress). a chamber’s specific requirements to trigger energy and Currently Applied Materials is working to develop resource savings in the support equipment, even under further improvements in the synchronized operation of high-utilization conditions. both pumps and abatement. For pumps, the main areas of For example, the iSYS controller can reduce the total focus include:

equivalent power consumption for a dry pump by 3–6% ■■ Independent speed and purge N2 control when a tool is at 95–100% utilization. Although specific ■■ Enabling the use of multiple N levels results vary, in practice the fab-subfab synchronization 2 it enables can reduce overall abatement and dry pump For abatement, the main areas of focus are: operating costs by up to 10% during high tool utilization. ■■ These savings are realized because the iSYS controller Providing increased information about the O2 flowing ensures the pump and abatement units switch to lower from the process in order to reduce the need for energy usage when wafers are not in production. oxidants in the abatement or in consideration of the caloric value from process gases

18 NANOCHIP Monitoring of Conversion E ciencies Gas Flows in Tool

Monitoring of Abatement Abatement Availability Performance Information

Estimated Emissions

Figure 4. The Applied Materials iSYS controller gives users real-time data concerning energy consumption and savings, plus the ability to monitor performance and document fluorinated greenhouse gas emissions to meet reporting requirements for green operation.

■■ Independent control of the thermal reactor section CONCLUSION and water scrubber As energy and other resource costs increase, ■■ Enabling multiple water-consumption levels along with environmental sensitivities and depending on the gas-type government reporting requirements, it is important ■■ Minimizing unnecessary purge flows for semiconductor manufacturers to develop and implement sustainable manufacturing practices. Another major trend in fab operation is the use of Fortunately, synchronizing the operation of a large and complex data sets. Subfab automation and data manufacturing tool and its support equipment is a collection are currently lagging in this area, but the iSYS safe, e´cient and cost-e¬ective way to save energy controller can collect information about gas flows as well and reduce emissions with no negative impact on as information from subfab components. This information production. can be used for: Applied Materials’ iSYS controller is a key technology that helps semiconductor manufacturers ■■ Resource consumption reporting, including equivalent move along a path to sustainable growth by helping energy consumption them lower costs, reduce environmental impacts ■■ Reporting GHG emissions by correlating gas and meet government reporting regulations for GHG consumption, conversion and emission factors, as emissions. It is also a proven abatement solution already well as abatement availability in production at more than 30 fabs around the globe. ■■ Reporting of other environmental parameters For additional information, contact andreas_neuber@ ■■ Alarm reporting and management alerts for minor amat.com subfab components, e.g. pipe heaters

■■ Predictive pump maintenance

NANOCHIP 19 DEMAND FOR 200MM TOOLS OUTSTRIPS SUPPLY No doubt about it, 200mm wafer manufacturing is enjoying a strong rebound. Dan Tracy, senior director of industry research at SEMI, recalls an equipment refurbisher who last year “was bemoaning the state of his business. A year later, he is very busy, and business is looking very good.”

BY DAVID He’s far from an isolated case. demand. It just kills me, because on the market for over one million Strong prices for 200mm equipment every day I could sell so much more dollars each. LAMMERS early in 2014 dropped o¬ mid-year, if I had the equipment to sell. I get Karczewski said he has seen then made a surprisingly strong two to three requests that I cannot fill positive movements in the market rebound in the fourth quarter as every day—minimum.” and a recent upturn in demand, foundries bought up 200mm Meanwhile, Daryl Karczewski, commenting, “you know things are equipment, according to Joanne Itow, division director at Macquarie going well when you have customers managing director, manufacturing, at Equipment Trading, said the business in places like Russia, Brazil, and China Semico Research. “Now, if you see a is currently selling a used metrology looking for equipment. Refurbishers 200mm tool, you hang on to it.” tool for the same price as a new are sold out.” Supporting anecdotes abound. one, while during the downturn For the Macquarie Group, an Emerald Greig, a veteran 200mm the same piece of equipment was Australia-based investment bank tools trader and now executive vice valued at only one-tenth of that price. with extensive experience in trading president of Americas and Europe at Additionally, eight of his 200mm used semiconductor equipment, the SurplusGLOBAL Inc., also sees tight lithography tools, which just 12 current upturn means its customers 200mm tool availability. “There’s months ago were considered scrap are increasingly turning to arbitrage. a lot less supply and a lot more and not worth refurbishing, are now “Arbitrage means chip companies tell

20 NANOCHIP DEVICES BUILT ON 200MM IN 2015 Largely considered emerging technologies, these 200mm device segments include MEMS, power devices, CMOS image sensor (CIS), wafer-level packing (WLP) / through-silicon via (TSV)

SMARTPHONE AND TABLET PCs INDUSTRIAL APPLICATIONS

Device Device Technologies CDs Technologies CDs MEMS 0.7µm–2µm MEMS 0.7µm–2µm PMIC 130nm–65nm PMIC 130nm–65nm RF 90nm–1µm Power Diode > 1µm Microcontroller 180nm–90nm Microcontroller 180nm–90nm WLP & TSV > 1µm

AUTOMOTIVE WEARABLES AND HEALTH MONITORING (Safety, Navigation, ECM, etc.)

Device Device Technologies CDs Technologies CDs MEMS 0.7µm–2µm MEMS 0.7µm–2µm PMIC 130nm–65nm PMIC 130nm–65nm CIS ≤ 1µm CIS ≤ 1µm RF 90nm–1µm Microcontroller 180nm–90nm Microcontroller 180nm–90nm WLP & TSV > 1µm WLP & TSV > 1µm

Figure 1. A wide variety of systems, ranging from smartphones and autos to wearables, rely on trailing-edge devices made on 200mm wafers. (Source: Applied Materials)

NANOCHIP 21 DEMAND FOR 200MM TOOLS OUTSTRIPS SUPPLY

us, ‘Here is the tool I need, and this for 200mm epitaxial equipment, 11.1 billion sensors made last year is what I am willing to pay.’ We then Applied’s 200mm team starts were MEMS-based, and IC Insights go out into the market to source out with a new Applied Centura sees an 11% compound annual a seller and negotiate a price,” mainframe and then adds as much growth rate to 19.1 billion sensors by Karczewski said. used content as possible. 2019. [See related article “Growing Scope of 200mm Applications THE OEM VIEWPOINT HOW LONG WILL IT LAST? Drives Advances in MEMS Process Technologies” on page 2 of this issue.] John C. Cummings, managing Why is the rebound so strong, “There is also a lot of demand for director of marketing and business and how long will it last? analog and mixed-signal products, development for the equipment Greig from SurplusGLOBAL said, and nearly all of that is on 200mm,” product group at Applied Global “One reason is that a lot of the new Lineback said. “Demand from mobile Services (AGS), said the company’s technologies coming to the market devices will stay strong, and most 200mm tool sales doubled from 2013 surrounding the Internet of Things of the chips used in IoT end-use to 2014, and are expected to more aren’t made with state-of-the-art products will be made on 200mm than double this year. Cummings technology. Consumer stu¬, like wafers.” estimates that 80,000 new 200mm wearables, can get enough die per Does all of that add up to capacity wafer starts per month (wspm) came wafer by ramping up 200mm.” constraints? “I haven’t heard of on line last year, and that another MEMS sensors such as anybody not being able to meet their 90,000 wspm will be added this year. accelerometers, gyroscopes and orders yet, but everything points to “Unfortunately, core prices are others are an example. They saw that,” Lineback said. “It’s pretty clear higher for everyone. With 90,000 record volumes in 2014, according it is a tight market right now to get the additional starts per month, what to Rob Lineback, an analyst at IC tools to add capacity, which is creating will people do? Some can make the Insights, Inc., who authored the a little bit of a chess match. Especially transition to 300mm, but others firm’s Optoelectronics, Sensors, if you think your competitor is going cannot,” Cummings said. and Discretes (O-S-D) report issued to get it, you might buy a tool even That’s a major issue because in March. About two-thirds of the though you don’t need it right away.” as fewer 200mm fabs close down and release equipment, OEMs like Applied Materials are finding fewer INSTALLED CAPACITY LEADERS good-quality used cores to buy. “The PER WAFER SIZE AS OF DECEMBER 2014 cores we’re buying now are not in (Ranked by Shares of Total Worldwide Installed Monthly Capacity) such good condition or aren’t as good a match as before. So, as the supply 300mm Wafers 200mm Wafers ≤150mm Wafers of used cores dries up, we are adding Samsung TSMC* STMicro more new content to a used core. To Micron* TI CR Micro do that, we are revitalizing our supply /SanDisk UMC ON Semi chain so we can meet demand by SK Hynix Infineon TSMC Samsung Silan building some models of new 200mm * STMicro Renesas equipment,” Cummings said. GLOBALFOUNDRIES Toshiba TSMC These days “used” equipment UMC GLOBALFOUNDRIES TI Powerchip Renesas Rohm/Lapis includes hybrids that range from a Nanya* Vanguard Toshiba used core with new parts (and often, new chambers) to virtually new tools *Includes shares of capacity from joint ventures. that start with a brand-new 200mm core and include mostly new parts Figure 2. TSMC and its joint ventures own the most 200mm wafer fab capacity, and components. For example, while STMicroelectronics, a power in the MEMS sensor field, is the leading 150mm wafer processor. (Source: IC Insights)

22 NANOCHIP The shortage of some used tools 200MM FAB CAPACITY IN 2015 raises the question, will foundries and 5.26 Million WPM IDMs begin moving production to 300mm wafers if they can’t buy more used 200mm equipment? 3% 4% Karczewski, the Macquarie 11% executive, said, “It is a big decision Analog 21% to switch to 300mm tools, and we 14% Discrete have seen a limited audience to date. Foundry Companies will stay with 200mm tools Logic + MPU Memory for the most part.” “What we are seeing is more 47% MEMS + Other companies trying to get more throughput and adding capacity to their existing 200mm fabs,” he said, adding that OEMs such as Applied are Figure 3. Production of will account for nearly half of all 200mm selling capacity and other improvement production in 2015. (Source: SEMI) packages for their existing tools. AGS’s Cummings noted, “Although A SEMI report on secondary largely to 200mm wafers, some power we’re selling legacy equipment, we’re equipment issued earlier this year management ICs with a bipolar- continuing aggressive development estimates there are about four CMOS-DMOS architecture are being programs to improve the tool hundred 150mm and 200mm switched to 300mm wafers at some performance, and develop entirely new fabs in operation around the globe, high-volume manufacturers. 200mm processes and materials as representing about 40% of the Lineback said most of the O-S-D part of our focus on precision materials industry’s total capacity. Only 10–20 companies he tracks are using engineering. Our new Applied Vita fabs are expected to shut down processes that currently “may not controller, for example, o¬ers advanced through 2018, said SEMI’s Tracy. work on 300mm. They use thick films, control and monitoring capabilities that “Sixteen 200mm fabs are operating in advanced deep RIE, wafer bonding, and bring the system up to modern levels of China, a rapidly expanding region for quite a few other process steps that performance. It includes a much more 200mm investments,” he added. [See play a bigger role. Overall, 200mm can powerful processor, flash memory and related article on page 32 of this issue.] serve a lot of the O-S-D applications for USB ports, and enables the advanced a long time.” monitoring, analytical and control capabilities needed to help fabs achieve SWITCHING TO 300MM Itow, however, said many IS NOT EASY companies are actively studying a new, critical productivity goals.” move to 300mm wafers. “Companies Cummings also said Applied Semico’s Itow noted several are all doing their own analyses to Materials has revamped its own MEMS manufacturers have recently figure out how long they can stay 200mm manufacturing operation. moved from 150mm wafers to on 200mm. All it takes is one major “Since the first quarter of 2014, 200mm fabs. MEMS are not going competitor to make a move and show our competitiveness has improved to 300mm for a long, long time,” she they can be more e´cient on 300mm. a great deal in terms of lead times, said. It may not happen overnight. But that cost and pricing. We intentionally But the picture is less clear for does limit the price of 200mm tools, decided to compete not just on other parts, particularly high-volume which can’t go up to where it would technology, but also on the commodity analog and communications ICs. create a higher cost curve than 300mm or capacity buys that we had not Also, while power discretes with production,” she added. addressed significantly in the past,” thick films are currently limited Cummings said.

NANOCHIP 23 DEMAND FOR 200MM TOOLS OUTSTRIPS SUPPLY

EQUIPMENT IS Cummings also is bracing for “MUCH PRICIER” the next downturn. “I have been at Applied a little over 20 years, Cody Harlow, a director at the and I have seen the upturns and AGS manufacturing operation in downturns. But 200mm is an Austin, Texas, said the price of used interesting space. Other wafer sizes cores has gone up sharply over the have dropped o¬, but 200mm is past year—that is, when those used seeing a whole revival, a second cores are available at all. Earlier this life cycle. year Harlow went to the Semicon “Take the new iPhone 6 Plus Korea trade show, where he normally Cody Harlow, Applied Materials as an example. Some 75% of its would be able to buy 15 or more director of AGS manufacturing chips are made on 200mm wafers, used cores for refurbishment back operations at the company’s including 16 MEMS, A/D converters, in Austin. This year, he came back Austin, Texas, facility. power management, and other empty handed. At Semicon China in chips that don’t require super-small March, the story was similar. A LONG CYCLE FOR 200MM? transistors,” he said. “Some companies with available Karczewski said over his 23 years “A lot of people think this upturn cores said they were going to hang in the industry he has found that will last forever. I do believe it will last on to them for another six months sharp upturns such as the current one this year, and another year after that. because they believe prices will keep for 200mm tools are, unfortunately, It does seem that with such broad going up,” Harlow said. The result as common as sharp downturns. demand, and so many products made is that “there’s less used equipment He pointed to the aftermath of the on 200mm, that we are in a di¬erent available, and it is much pricier; it Internet stock bubble in 2000, situation,” Cummings said. has e¬ectively doubled in the last six and the 2008–09 financial crisis Mike Rosa, director of strategy months.” downturn as examples. “Another and technical marketing for 200mm Harlow said Applied Materials financial crisis, war in the Middle East, products at Applied Materials, says has been able to buy multiple or a broad parts oversupply, could all that although smartphones continue 200mm cores coming o¬ the potentially trigger another downturn,” to dominate consumption of devices market in the past few years. That he added. built on 200mm, he also sees enough stockpile, and continued purchases from chipmakers, inventory holders and brokers, is what gives Applied’s technicians the opportunity to take 200MM FOUNDRY CAPACITY IN 2015 & 2016 used cores and add new parts, brand-

new chambers, and upgrades such as 2.50 the new Vita controller. 2.45 But what happens if the 2.40 availability of used cores dries up 2.35 completely? “That is a di¬erent 2.30 2.25 paradigm, a real game changer. We 2.20 are already there with epi, and it will 2.15 stay that way until someone releases 2.10 them,” Cummings said. 2.05 Harlow also sees a shift toward (Millions WPM) Capacity Installed 2.00 new 200mm equipment, with the 1.95 2010 2011 2012 2013 2014 E 2015 F 2016 F industry “approaching a crossover point, where it is cheaper to build new than to chase these escalating prices Figure 4. Foundries are ramping up 200mm capacity as demand from the for used cores.” Internet of Things market picks up. (Source: SEMI)

24 NANOCHIP SMARTPHONES AND CHINA: TIPPING POINT? Mike Rosa, PhD, director of strategy and technical marketing for 200mm products at Applied Materials. The majority of all smartphone device content consists of chips made on legacy equipment, so the implications for 200mm new technologies emerging to sustain manufacturing are significant. Applied Materials’ Mike Rosa said extended demand. the worldwide smartphone market is at “a tipping point in 2015, in “200mm is a house built on which more than half of all smartphone sales will be in China.” many stilts,” he said, with automotive This comes at the same time that many Chinese semiconduc- and consumer applications and tor firms are targeting lower-cost smartphones and IoT applica- now wearables and the looming IoT tions. At the 2015 Semicon China show in Shanghai, Rosa said he sector further driving the need for the attended several presentations where Chinese speakers discussed myriad devices already being built the need for 200mm equipment. on 200mm equipment. “Power ICs, “Their main message is ‘we have a huge market, and huge MEMS-based sensors, and analog government backing over the next ten years,’ ” Rosa said, noting and mixed signal devices are all there are more than 100 smartphone makers in China, all of them enjoying growth, and promise to be needing chips made on 200mm wafers. [See related article “China: important sources of new demand.” Investment Fever Kicks IC Industry Into High Gear” on page 32 of this Gartner Inc. analyst Bob Johnson issue.] predicts that in 2020, more than “If China’s domestic smartphone makers continue to see in- 8 billion connected things will be creasing sales, it is likely to result in new 200mm fabs in China,” he shipped worldwide, containing added. China’s government has set a goal of domestic chip compa- 35 billion semiconductor devices nies supplying 40% of China’s domestic IC consumption by 2020. requiring production of 4.5 million Going forward, if Chinese phone vendors are supplied mainly wafers. by the established IC and MEMS vendors, it would result in incre- Tom Salmon, director of mental additions of equipment to increase capacity at existing fabs global member services and around the world. But if Chinese IC and sensor companies spring standards at SEMI, said, “Not all up to supply smartphone component demand in China, whole new of the IoT opportunities will be 200mm fabs may be built there, adding significantly to worldwide done on 200mm. Some of the equipment sales, Rosa said. microcontrollers or RF devices He noted that China’s policy to supply itself also extends to may be done on leading-edge equipment. “None of us should think that when China ramps up, technologies. But with the IoT that we will all just walk in and sell them tools. There already are adoption coming early to industrial existing domestic equipment manufacturers. And China’s govern- and automotive, we foresee a big ment is saying, ‘Buy domestically unless you absolutely can’t get pickup, one that will drive 200mm what you need in China.’ ” demand for a long time.”

For additional information, contact [email protected]

NANOCHIP 25 DEPLOYING MULTIVARIATE ANALYSIS TO IMPROVE FAB PRODUCTIVITY

Multivariate data analysis is a powerful statistical methodology made possible in recent years by the availability of increased computing power. The technique takes into account multiple variables simultaneously, enabling the study of complex data sets that are beyond the capabilities of traditional univariate analysis.

BY Multivariate data analysis which help customers increase shifts the focus from individual overall fab e´ciency and output. GARY DAGASTINE factors to relationships among Using multivariate analysis, variables, identifies root causes and FabVantage consultants can indirect e¬ects, and allows for the identify root causes for factory- use of predefined models and data level issues such as capacity templates to speed the analysis. shortages, long cycle times, high Applied Materials is now wafer costs, line imbalances, o¬ering proprietary multivariate high levels of work-in-process data analysis as part of its (WIP), and high variability, FabVantage consulting solutions, among others.

26 NANOCHIP (a) (b) Tool Uptime Lot Wait Time Wait Lot FAB PRODUCTIVITY RESOLVING Time Utilization PRACTICE: FOCUSED BOTTLENECKS Wait Lot ON THE BIG PICTURE The FabVantage Consulting Applied’s FabVantage Group is frequently engaged Average team begins each engagement to help customers find ways to Size Batch with a top-down, data-driven squeeze more capacity out of assessment to identify issues their existing fabs by increasing Uptime Variability that are having major impacts throughput, which can eliminate (c) on fab productivity. A roadmap or defer the need for sizeable Utilization Tool Lot Wait Average Uptime Time Batch Size for improvement is created capital investments. based upon comparisons “When we and the Figure 1. The figure shows the results of a multivariate statistical analysis of the customer’s data customer work together in a of the performance of a group of tools. It illustrates the ability of the with benchmarks and best deep technical collaboration, we technique to uncover the relationships among dišerent variables that practices in the Applied usually uncover cost-e¬ective impact cycle time. The area highlighted in (a) shows that some tools with Materials knowledge base. ways to increase overall fab high uptime aren’t being used, even though (b) tells us that cycle time (i.e. “lot wait time”) is strongly driven by tool utilization. Also, (c) shows Probable causes for underlying capacity and throughput,” said that among tools having low uptime, there is a high variability in uptime. performance gaps are then Productivity Practice Manager outlined, and a proposal is Haim Albalak. “One very presented to the customer. e¬ective way to create this Number of Times Repeating the Same Recipe Within x Hours per Month Part of the FabVantage Fab new capacity is through better Productivity Practice toolkit management of the WIP flow.” for multivariate analysis is an One example of this internal fab analyzer built on approach is to optimize the same platform as Applied’s product sequencing to reduce APF Real-Time Dispatcher and changeovers and increase

Reporter—software tools that throughput. In one instance, Number of Occurrences help manufacturers develop FabVantage consultants customized rules and improve performed an analysis that 1 2 3 4 5 6 7 8 9 10 11 12 dispatching decisions. examined the time distribution Time (hours) Applied’s fab analyzer of repeating the same recipe Figure 2. Analyzing recipe repetition within a 2-hour time window contains many models built and within one of the customer’s revealed an opportunity to reduce setup time and improve tool output by tested based on Applied’s global ion implant tool groups. increasing the cascading level. experience, so consultants can The analysis revealed a high move forward more quickly to frequency of repetition of the Cascading Level vs. Cycle Time Implant help customers. An example same recipe within a short using the fab analyzer is shown window of time (less than two in figure 1. hours). This meant there was a Before The company’s multivariate potential opportunity to reduce After analysis tools not only help pin- setup time and improve tool point the root causes of the prob- output (see figure 2). lem, they also enable consultants The next step was to find Average Lot Cycle Time Cycle Lot Average Optimum to create a list of priorities so the optimal cascading level that FabVantage engineers can by analyzing the relationship develop an implementation plan between the cascade size and Cascade Size (Lots) to quickly deliver major results to the lot cycle time. The optimal Figure 3. Finding the optimum cascading level to achieve minimum cycle customers, often within a 3- to location is the point on the curve time at one customer site. The figure shows the relationship between the 6-month timeframe. that represents the minimum cascade size and the lot cycle time. The optimal location is the point on lot cycle time (see figure 3). the curve that represents the minimum lot cycle time.

NANOCHIP 27 DEPLOYING

MULTIVARIATE Cascading Level Before and After ANALYSIS TO IMPROVE FAB Before cascade size PRODUCTIVITY After cascade size Frequency As a result of this analysis, In one instance, based the customer’s Real-Time on the analysis in figure 6, a Dispatching (RTD) rule was roadmap to reduce factory cycle

updated to support the new time by 20% was developed 1 2 3 4 5 6 7 cascading target (see figure 4). (shown schematically in figure Lot Count Implementing the new 7). The primary strategy for Figure 4. Improved cascading level as a result of changing the lot dispatching rule improved the decreasing the cycle time was dispatching rules. cascading level, reduced lot to reduce the impact of tool cycle time, and increased tool dedications on WIP movement output by 5%. through the line. Tool Dedication Analysis

Minimizing the impact 100%

REDUCING CYCLE TIME of tool dedication was Recipe count 80% Percent recipe count accomplished in two ways. Cycle time is another critical 60% The first was to improve element of fab performance 40% tool matching and increase because it determines how Number of Recipes 20% the number of tools that are quickly manufacturers can get 0% qualified to run the product 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 products to market. In addition, steps with the highest wait Number of Tools Recipe Is Actually Running On variability in cycle time impacts a times. The second was to manufacturer’s ability to predict Figure 5. Tool dedication analysis using Applied’s fab analyzer. modify the dispatching rules in the timing of production, and RTD to reduce the probability therefore their ability to meet on- that tools qualified to run fewer Recipe Dedication vs. Wait Time time delivery commitments. product steps would run out of Tool dedication is a major lots they are capable of running. + + + factor that influences fab + + + + + + + + + + + + + + + cycle time and throughput + + + + + + + IMPROVED TOOL AND + + performance. In many cases + + FAB PERFORMANCE + + + the o´cial tool qualification Time Wait Lot + + + + + + + + + + + + + + + matrix that indicates which Applied Materials has + + + + + + + recipe is qualified to run on each demonstrated that by deploying

tool does not reflect the actual advanced multivariate 0 1 2 3 4 5 6 7 dedication level. Often, the tool analysis techniques, both the Number of Tools Qualified to Process the Lot dedication is much higher. performance of Applied tools in Figure 6. FabVantage consultants used multivariate analysis to uncover Analyzing fab historical data the fab and the overall output of a strong correlation between the number of tools that were actually with the Applied fab analyzer the fab itself can be improved. dedicated to a particular recipe in a fab and resulting lot wait time allows FabVantage consultants Our modelling methods (queue time). to determine the real tool have helped customers improve dedication level based on the scheduling, shift bottlenecks, Cycle Time Reduction actual number of tools that run reduce cycle time and cycle each product step (see figure 5). time variability, and increase Using multivariate analysis the throughput of the fab. For to evaluate the relationship in the many capacity-constrained fab between tool dedication and customers, these analyses often Cycle Time Cycle the lot waiting time (queue time) have helped increase the output has revealed a strong correlation: of their fabs with minimal capital

when the lot has fewer tools investment. 1 2 3 4 5 6 7 8 capable of running it, the Month lot waiting time is higher For additional information, Figure 7. Fab cycle time reduction roadmap based on improving tool (see figure 6). contact [email protected] dedication.

28 NANOCHIP APPLIED GLOBAL SERVICES Wafer Scrap Reduction CASE STUDY in a 300mm Logic Foundry

CHALLENGE Device manufacturers One of our foundry customers experienced a high scrap rate in gate stack face ongoing challenges fabrication, caused by a group of Applied Materials Centura tools equipped with ISSG, DPN Plus and PNA chambers. This tool group had one of the fab’s to control variables, highest scrap rates, and excessive parts replacement costs were required as maintain productivity and a result. The customer asked the Applied Materials FabVantage Consulting improve their operations. Group to identify solutions to cut scrap by half. Tool audits that benchmark a tool to SOLUTIONS best-in-class data, • A FabVantage tool assessment identified root causes using data analytics to speed diagnostics. As a result, faulty parts were replaced, combined with equipment settings were set to their BKM values, recipe adjustments were sophisticated data analytics, made, and correct PM procedures were implemented. can help manufacturers meet these challenges. IMPACT Here is how Applied • The customer exceeded its goal. Wafer scrap from the tool group was Materials used these reduced to <0.2% and spending on parts was reduced by 4x. In fact, a tool in the group went from being one of the fab’s worst-performing tools to methods to help a customer being the very best one. dramatically reduce wafer scrap from one tool.

A 300mm logic foundry was experiencing significant levels of wafer scrapping from a key gate stack fabrication tool set. The tools were Applied Materials Centura systems equipped with in situ steam-generated oxide (ISSG), decoupled plasma nitridation (DPNPlus) and post- nitridation anneal (PNA) chambers. The problem manifested itself as a dense streak of particles on the processed BY wafers (see figure 1). The customer was DONG CHAE, unable to identify the root causes, and hired Applied Materials’ FabVantage Consulting PAUL TURNBULL Figure 1. Typical pattern of particle contamina- Group to perform an assessment. AND MARINE ZHANG tion seen on 0.6% of the processed wafers from Applied’s FabVantage consultants one Centura tool at a 300mm logic foundry combine extensive fab and tool expertise prior to a FabVantage tool audit.

NANOCHIP 29 APPLIED GLOBAL SERVICES the tips of the factory interface robot Wafer Scrap Reduction blades; and scratched reflector plates. In addition, sensor data visualization CASE STUDY in a 300mm Logic Foundry revealed the following issues: excessive

pressure oscillation; N2 overshoot in the DPN chamber; timing variations for pressure stabilization across chambers; with state-of-the art modeling and analysis ■■ Comparisons of the parts being used and varying pump-down times across tools. They engage in collaborative on the tool with those on Applied’s di¬erent chambers. relationships with customers to help recommended parts lists Finally, the FabVantage team’s solve some of the industry’s most di´cult The FabVantage team used data audit showed that recommended PM manufacturing challenges. analytics to quickly pinpoint the causes of procedures had not been followed. Projects begin with a discovery tool misprocessing. Analytics tools included session to identify key customer goals and Applied’s E3 automation and equipment DPN CHAMBER PRESSURE challenges. Then a benchmarking process engineering system; a knowledge base of INSTABILITY WAS KEY is performed to compare customer data sensor and event collection plans for each Key among the findings was that the with best-in-class data from Applied’s chamber; and data visualization routines. DPN chamber was unable to maintain knowledge base. Subsequently, a formal The team also performed sensor trace a stable pressure. Sensor trace analysis assessment is conducted to define the analysis to compare sensor values on good identified pressure undershoot and problem and develop a strategy to achieve chambers versus the corresponding sensor spiking, as shown in Figures 2a and 2b. improvements in targeted areas. values on bad chambers, to identify obvious A faulty pressure control valve FabVantage consultants conducted a abnormalities. caused the DPN chamber pressure to comprehensive hardware and process audit A total of 48 issues were identified. overshoot. Additionally, when RF power at the foundry, which included: Several were related to damaged or was turned on, the chamber pressure ■■ Comparison of the product recipe to non-best-known-method (BKM) parts, and foreline pressure oscillated because the initial Applied Materials recipe including a worn non-BKM throttling gate the throttling gate valve was unable to ■■ An audit of the fab’s actual preventive valve with a damaged coating; a scratched maintain a stable pressure. maintenance (PM) procedure versus robot blade that was not levelled; a chipped The particle streak shown in figure 1 Applied’s recommended PM procedure quartz ring; a single-wafer loadlock (SWLL) typically might be assumed to be the with dirty O-rings and particles in the result of faulty wafer placement. That ■■ Checks of the settings of the equipment loadlock; dirty lift pin tubes; worn pads on constants normally would be a robot or local center

60 M M

M M MMMMMMM MMMMMMMMMMMMMMMMMMMMMM MMMMMMMMMMMM MMMMMMMMM MMMMMMMMMMMMM MMMMMMM MMMMMMMMMMMMMM MM 50 M M M M M

40 M M M M M

M M M 30 M 5x faster M M M Pressure M M pressure drop M M spiking MM M MMMMMM MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM 20 MMMMMMMMMMMMMMM Chamber Pressure Chamber in bad chamber M MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM MM MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM M MM M MM MMMM M MMMM M M M MM MMMMMM

Chamber Throttle Position MM MMMMMM M M MMMM MMM MMMMM 10 M M M MMMM MM MMMMM MM M M MMM M MMM M MMM MMMMMMMM M MMM M M MMM MMMMMMMMMMM M MMMMMM MMMM MMMMM MMMM M M MMMMMM M M M MM M MMM M MMM M MMMM MMMMMMMM MMM M M MMMMMMMMM MMMMMMMMMMM M MMM MMMM MMM MMM MMM MMM MM MMMMMMMM MMMMM M M M MMMMMMMMMMM MMM MMMMM MMM MMM MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM MMMMMM M MM M MMMMMMM 0 124 126 128 130 132 134 136 138 140 142 144 146 130 132 134 136 138 140 142 144 Elapsed Time Elapsed Time (a) (b)

Figure 2. Graphs showing DPN chamber pressure instability in the Applied Centura tool group. Figure 2a shows a 5x faster pressure drop in one chamber, which likely caused wafers to pop. Figure 2b shows pressure spiking due to faulty pressure control and throttling gate valves.

30 NANOCHIP finder (LCF) issue, but that was not the 1.2 case here. Instead, the pressure oscillation caused the wafer to pop, which in turn led 1.00% the LCF to react by recalculating as though 1.0 there had been a wafer placement error.

This issue was discovered by overlaying 0.8 the pressure oscillation data with the LCF .66% correction distance, and observing that the .60% 0.6 .52% LCF started to correct at the same time the .49% Wafer Scrap Defect Count (%) Count Defect .41% pressure spiked or dropped. All Tools in Module 0.4 .32% VALVES REPLACED .21% .20% .21% .20% 0.2 Once the pressure control valve .05% and throttling gate valve were replaced, the wafer popping stopped and the LCF 0.0 NOV-13 DEC-13 JAN-14 FEB-14 MAR-14 APR-14 MAY-14 JUN-14 JUL-14 AUG-14 SEP-14 OCT-14 stopped recalculating. Figure 3 shows the LCF distance before and after replacement Figure 5. The monthly defect count trended downward after implementation of all of the valves. Figure 4 shows DPN Applied FabVantage recommendations. Each color represents a dišerent Applied Centura chamber pressure stability before and gate stack tool. after replacement of the valves. The combination of state-of-the-art charts that allow values from multiple FabVantage data analytics, supported sensors and chambers to be overlayed. by information from the Applied knowl- edge base, was instrumental in the DEFECTS AND SCRAP REDUCED rapid troubleshooting of the pressure After implementing all FabVantage control issue. recommendations, defect counts fell The knowledge base enabled the on all tools in the gate stack module, FabVantage consultants to quickly generate as shown in figure 5. Wafer scrap fell data collection plans and identify priority Figure 3. Data plot shows the LCF correction to <0.2%, substantially exceeding the distance before and after replacement of faulty sensors. The LCF position does not have customer’s goal. As an additional benefit, pressure control and throttling gate valves. a sensor associated with it, so the team customer spending on parts was reduced The data to the left of the arrow shows a large, devised a data transformation to compute by 4x. varying LCF distance prior to replacement of the LCF correction distance. the faulty valves. Data to the right of the arrow For easy visual identification of For additional information, shows a short, uniform LCF distance after the problems, the team wrote scripts to create contact [email protected] valves were replaced.

2.0 2.0 1.5 1.5 1.0 1.0 0.5 0.5 0 0 -0.5 -0.5 -1.0 -1.0 -1.5 -1.5 -2.0 -2.0 BEFORE AFTER

Figure 4. DPN chamber pressure before and after replacement of faulty pressure control and throttling gate valves, showing a much more stable environment after they were replaced.

NANOCHIP 31 CHINA:

INVESTMENT FEVER KICKS IC INDUSTRY INTO HIGH GEAR BY ADELE HARS

32 NANOCHIP The chip industry in China is facing a dilemma. While the nation currently consumes about half the world’s roughly US $350 billion in chips,[1] fabs in China only account for 2.5% of worldwide IC revenue. Chips are China’s biggest import, surpassing even oil.

China’s chip industry is still posting double-digit THE GUIDELINES growth, so the country’s leaders have decided to seize In June 2014, the Chinese State Council issued the the moment and set their country on course to become National Program to Promote the IC Industry Development, a chip manufacturing powerhouse. They’ve tried to which provides the guidelines that industry is expected boost domestic manufacturing before, but with limited to use. success. This time they have again set ambitious goals and The guidelines begin by identifying the problems that o¬ered up significant seed money, but it’s now up to the impeded past e¬orts. Primary among those are financial marketplace to make it happen. And the marketplace is bottlenecks, risk aversion, a shortage of key talent, general responding with gusto. fragmentation, and holes in the supply chain. According to SEMI estimates in January 2015, total The development goals outlined are as follows: Chinese government (central plus local) funding will ■■ For 2015, the guidelines call for the establishment of reach US $100 billion over the next 5 to 10 years, while platforms for financing and policy; moving 32/28nm to McKinsey & Co., in August 2014, suggested that national volume across the spectrum of production, packaging and provincial funds combined could reach US $170 billion and test; and investment in additional equipment for over the same time period. O´cially called the National 65/45nm, world-class design, advanced technologies Industry Investment Fund, it’s prompting the creation of a and 300mm wafers. host of new VC funds and matching investments, and the bolstering of existing funds. ■■ By 2020, the technology gap should be narrowed, Which is why everybody is calling it the best time the 20% CAGR should be sustained, and 16/14nm should industry’s ever seen. In his talk to a packed hall at Semicon be in production. China 2015, Handel Jones, China expert and CEO of IBS, ■■ By 2030, China should have tier 1 IC companies. called it a once-in-a-lifetime opportunity.

CHINESE SEMICONDUCTOR CONSUMPTION

$280B $262B Total Semiconductor Consumption in China Supply from Foreign Semiconductor Companies $239B Supply from Chinese $213B $218B $210B Semiconductor Companies $194B $177B $161B 2020 Goal of Chinese Government $145B for Supply $136B $140B $128B $132B from Chinese Semiconductor Companies

95.5% 93.9% 92.8% 91.8% 91.1% 90.4% 89.6% 88.8% 87.0% 86.0% 85.0% $70B Chinese Semiconductor Consumption Semiconductor Chinese

$0B 4.5% 6.1% 7.2% 8.2% 8.9% 9.6% 10.4% 11.2% 13.0% 14.0% 15.0% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020

Targeting 40% of China’s Supply in 2020 Is Appropriate Source: IBS, 2014

NANOCHIP 33 CHINA: The cities and regions with major tech centers also raised funds. In initial rounds, Beijing, for example, INVESTMENT FEVER raised almost 30 billion RMB (US $5 billion) to support KICKS IC INDUSTRY manufacturing and equipment, as well as design and INTO HIGH GEAR packaging. A Shanghai fund raised 10 billion RMB (US $1.6 billion). Even so, it’s not enough, said Dr. Xi Wang, director general of the SIMIT institute, the Shanghai It is important to note that economic prowess is not branch of the Chinese Academy of Sciences (CAS) the only driving force here. In the wake of recent security and special advisor to the central government in scandals, the program also notes that China needs a strong Beijing. “It’s a critical moment, “he says, “and we need domestic chip industry to ensure security. momentum to expand capacity.” A task force was created, including members from There are a hundred CAS institutes in China, key ministries in IT, science and technology, finance, with a budget of over 42 billion RMB (roughly US and national development. But to ensure that the policy $7 billion). In previous government attempts to bolster implementation is market-driven and focused, the task the chip manufacturing industry, the institutes were force also includes about a dozen industry leaders. given the lead. While they gave R&D a much-needed boost and created a strong academic base, they alone THE FUND could not create the necessary momentum. This time, says Dr. Wang, things will be di¬erent. October 2014 marked the establishment of the National “Now in the IoT era, China is a big market. It’s totally Industry Investment Fund. According to SEMI, the first di¬erent from what it was ten years ago. There is round of ordinary share-raising was completed at the end of huge demand in China, and Chinese vendors will be the year, having raised 98.72 billion RMB (US $15.9 billion). successful.” Another round in the first quarter of 2015 raised the total to CAS and the institutes still have a very important almost 140 billion RMB (US $22.5 billion). mission to fulfill, however. They are charged with both pure scientific discovery and transferring technology to industry. SIMIT’s SITRI institute in Shanghai, for example, is now completing the installation of an 8-inch R&D line that enables researchers to hand o¬ More-than-Moore developments in power, RF, sensors and MEMS directly to industrial partners worldwide. The automotive IC market is seen as a major opportunity, too. In 2010, China passed the US to become the world’s largest market for automobiles. While the Chinese auto industry is no longer posting the double-digit growth of a few years ago, relatively speaking it’s still growing more quickly than the rest of the world. Charles Chesbrough, senior principal economist at IHS Automotive, told Nanochip Fab Solutions that the auto industry in China is expected to grow at a 4.1% annual rate from 2015 through 2020. “The rest of the world is expected to grow at a 2% annual rate through 2020, so China will be growing at near Xi Wang, academician and director general of the Shanghai twice the rate,” he notes. This combined with chips Institute of Microsystem and Information Technology per vehicle increasing from 800 to 1000 (Strategy (SIMIT) / Chinese Academy of Sciences (CAS). Analytics, 2015) in that same time frame makes for a perfect storm.

34 NANOCHIP LOCATIONS OF CURRENT SEMICONDUCTOR MANUFACTURING OPERATIONS IN CHINA

 SMIC Fab B1 300 mm SMIC Fab 7 200 mm  SMIC Fab B2 300 mm * SGNEC Fab 1 150 mm  JSMC Fab -x 150 mm  JILING Dong Ying Fab X 200 mm  Intel Fab 68 300 mm  SHENYANG Hynix Fab C2 300 mm  Hejian Fab 1 200 mm  CSMC Fab 1 150 mm  CSMC Fab 2 200 mm  TIANJIN BEIJING SMIC Fab 1 200 mm  CSMC Fab 5 150 mm  DALIAN SMIC Fab 2 200 mm  SMIC Fab 3 Backend  Xiyue Fab 1 150 mm  SMIC Fab 8 300 mm   Samsung 300  SHANGDONG SMIC Fab 9 CIS HHGrace Fab 1 200 mm  XI’AN HHGrace Fab 2 200 mm  WXIC Fab 12 300 mm  HHGrace Fab 3 200 mm  WUXI Huali Fab x 300 mm   TI China Fab 200 mm  ASMC Fab 2 150 mm SUZHOU SHANGHAI  CHENGDU WUHAN ASMC Fab 3 200 mm HANGZHOU NINGBO ASMC Fab 4 200 mm  Skysilicon 200 mm  CHONGQING Diodes Fab 1 150 mm  CSEC Fab x 150 mm  Diodes Fab 2 150 mm  TSMC Fab 10 200 mm  Silan Fab 2 150 mm  FUZHOU BYD Semiconductor 150 mm  FUZHOU Fab 1 Fushun Microelectronics GUANGZHOU  Fab 2 150 mm ZHUHAI XIAMEN HONG KONG ACSMC Fab 1 150 mm  Jishun Microelectronics SHENZHEN Fab 150 mm  Founder Electronics 150 mm  UMC Xiamen 300 mm   Site in production or ramp SMIC Fab 15 200 mm *  Site under construction * Site equipping  Site in plan City of population >7M City of population <7M Capital Source: SEMI, April 2015

THE MAP China is the world’s fourth largest country in terms of area, and the largest in terms of population. So it is perhaps no surprise that there are multiple tech centers. Shanghai is often considered China’s . Fiercely modern, it is home to more than 20 fabs and soon a major new 11 km2 (4+ square miles) industrial park in the Pudong district near the airport. With a 30 billion RMB (US $4.8 billion) fund, the developers say they have already signed up two chip companies and many suppliers. They also promise it will have a¬ordable housing, good schools, and since it’s on the ocean, clean air. Beijing, seat of the central government and steeped in thousands of years of history, is nonetheless a hotbed of fabless start-ups. SMIC’s current and planned 300mm fabs are there, among others. They’re ready with 28nm this year, and have demonstrated functional FinFETs. Three years of consecutive profitability have helped.

NANOCHIP 35 CHINA: Dr. Mike Rosa, director of strategy and technical marketing for 200mm products at Applied, added that INVESTMENT FEVER the company’s Xi’an-based development center is a key KICKS IC INDUSTRY asset in the region for supporting customers’ needs in INTO HIGH GEAR semiconductor equipment engineering and field services, as well as in factory automation software, process diagnostics and control, and equipment engineering. “With so many new and existing companies entering Xi’an is home to over 70 universities and over 800 the More-than-Moore segments, it is important that research institutes, national labs, and centers for technical customers have a development partner who can research and industrial testing. demonstrate key enabling technologies locally and react Samsung chose it for a 300mm memory fab, and in quickly to their development needs.” 2007, Applied Materials opened a Global Development Center there that provides worldwide engineering and INVESTMENT FEVER software support. The national fund’s goal of attracting large In addition, the two Hynix-Numonyx 300mm fabs enterprises, financial institutions and talented people are in Wuxi, and Intel’s 300mm fab is in Dalian. However, has generated an enormous degree of investment of the roughly 50 fabs around the country, about 40% fever. Ex-pats are returning to China in droves to create are 200mm and 40% are 150mm. Overall, China’s new businesses and manage existing ones. The real equipment spending grew by 30% in 2014. But with so estate boom is over, freeing up new money from private much 150mm and 200mm legacy equipment, SEMI sources. Money’s coming in from abroad, too. Intel indicates that the refurbishment market there is a very recently made a $1.5 billion investment in Tsinghau active business. (See related article, “Demand for 200mm Unigroup, which just bought two of China’s largest Tools Outstrips Supply” on page 20 of this issue.) fabless companies. Roger Chang, country president of Applied Materials In three full days of presentations at Semicon China China, noted, “China’s IC industry is developing 2015, almost no one missed an opportunity to celebrate rapidly. Much can be done on the part of equipment the China boom. Mergers and acquisitions (M&A) are manufacturers who are ready to contribute to growth in viewed as the best way to fill holes in expertise and this industry.” resources quickly, as the rush is on to meet the goals set out in the national guidelines.

Tech Investment Forum, Semicon China 2015.

36 NANOCHIP A Chinese New Year billboard in the Shanghai Metro.

Two pieces of advice kept coming through: one plus Dr. Xi Wang is also very enthusiastic about the new one has to equal more than two; and the main reason to investment era. Given his status and experience in the acquire a company is to get their top talent, so it’s critical industry, he knows virtually everyone. He especially to keep them happy. likes matching promising companies with funding. In fact, at the event’s Tech Investment Forum, a “I like to do that—I have the vision,” he says. managing director of Goldman Sachs explained the dos One of several companies he himself has created and don’ts of a successful acquisition during a standing- over the years is Simgui, China’s wafer leader. Initially room-only presentation. China is now #2 in M&A, just founded in 2001 to make SOI wafers for power and behind the US, he said, and 2015 is expected to be a MEMS, the company extended its business to 300mm record-breaking year. wafers. They now have a partnership with Soitec for Although a lot of the activity until now has been wafers for RF-SOI. Dr. Wang posits that moving to domestic (especially consolidating the huge but FD-SOI could be an option for certain Chinese fabs, fragmented design community), and IPOs in Hong Kong because it would enable them to quickly move into are gaining in popularity, there’s a definite shift a leadership position. However, he cautions, it’s the to Chinese companies buying outside the country. market that will decide this time. Chinese companies are being encouraged to take a And that will make all the di¬erence. perspective that is at once Chinese, international and market-oriented. Adele Hars is a writer and director of High Tech In glowing terms, the CEO of JCET described his International, based in Paris, France. company’s acquisition of STATS ChipPack, creating a For additional information, contact nanochip_editor new #3 in device packaging. The national fund, while @amat.com not directly investing in the transaction, was e¬ectively leveraged, he said. [1] World Semiconductor Trade Statistics, 2015

Tech Investment Forum, Semicon China 2015.

NANOCHIP 37 IN

38 NANOCHIP CELEBRATING A DECADE OF NANOCHIP This year Nanochip Fab Solutions celebrates its 10th anniversary. Since our premier edition in July 2006, we’ve published nearly 200 articles in 19 issues (including this one), emphasizing ways to increase tool throughput, boost fab productivity, and improve yields. Over the past decade, we’ve also looked at ways to reduce fab costs and environmental impacts, covered trends that shape our industry, and introduced new technologies that address complex manufacturing challenges. As part of our 10th anniversary celebration, we decided to list our top 10 favorite Nanochip Fab Solutions articles. It turned out to be like asking a mom to choose her favorite child —because we love them all, but for di¬erent reasons! With the help of frequent contributor Dave Lammers, however, we did pare down the list. Here, in random order, are 10 favorite stories from Nanochip Fab Solutions’ first decade.

VOLUME 2/ ISSUE 2 “New Strategies for Fab Productivity” Underscoring the growing trends toward consumer electronics, industry consolidation and the contemplated shift to 450mm, this story took a wide-angle view of fab productivity and examined ways to minimize variability DECEMBER 2007 and non-productive time in mainstream 300mm fabs to achieve high productivity gains at lower risk.

VOLUME 8/ “Fabs in the Internet of Things Era” ISSUE 2 Not only did this article explore one of today’s most important topics—the IoT—and its game-changing potential, it also looked at how managing “big data” and attendant security concerns are among the biggest challenges facing manufacturers who want to reap the benefits of a connected fab. In fact, we think this entire DECEMBER 2013 issue of Nanochip Fab Solutions ranks among our very best, packed with news, trends and timely information .

VOLUME 8/ ISSUE 1 “Advanced Service Detects Arcing, Boosts Yield” This technical discussion of our development e¬orts in arc detection in PVD chambers sparked unprecedented customer interest because of the potential to increase tool uptime and reduce wafer scrap and JULY 2013 yield loss.

VOLUME 7/ ISSUE 1 “Rev Up Your Engines” In this entertaining, informative, and popular article, the e¬orts of AGS engineering teams to apply new materials, innovative surface technologies and design improvements to 200- and 300mm process chambers JULY 2012 were compared to restoring a vintage automobile.

NANOCHIP 39 IN CELEBRATING A DECADE OF NANOCHIP

VOLUME 10/ “China: Investment Fever Kicks IC Industry into High Gear” ISSUE 1 In what may well be a precursor to stories we’ll cover over the next few years, guest editor Adele Hars o¬ers a compelling look at the emerging semiconductor manufacturing industry in China, including insights from industry JULY 2015 watchers and Chinese government leaders.

VOLUME 5/ “MEMS Going Mainstream to Serve Consumer Markets” ISSUE 1 Coming on the heels of introductions of Nintendo’s Wii and Apple’s iPhone, this article focused on consumer fascination with gesture and motion control in consumer devices, describing the bigger challenges facing MEMS JULY 2010 manufacturers—from productivity and cost issues to the need for fast etch rates.

VOLUME 6/ “ Reinvents Itself” ISSUE 1 Based on interviews with TI Senior Vice President of Manufacturing Kevin Ritchie and other company executives, this article provided a fascinating look at how TI used refurbished 300mm equipment to gain a JULY 2011 competitive advantage in the analog IC sector.

VOLUME 7/ “Big Data: Too Much of a Good Thing?” ISSUE 1 Explosive data growth in the semiconductor industry has become a major challenge, but one that is full of opportunities. This futuristic article explored how the ability to manage large data volumes and move from a JULY 2012 reactive to a predictive state has the potential to drive significant value for Applied Materials customers.

VOLUME 7/ “Strong Engineering Foundation Supports Yield Improvement” ISSUE 2 Showcasing the Applied Materials FabVantage Consulting Group, this article describes how consultants use traditional top-down methods as well as an innovative bottom-up approach to identify process issues and help DECEMBER 2012 customers achieve dramatic yield improvements.

VOLUME 9/ “New Vita Controller Demonstrates Performance on >1 Million Wafers” ISSUE 2 With clear signs that 200mm production tools will be around for a while, this article introduces Applied’s Vita controller, which brings to legacy tools much-needed analytical and control capabilities previously available DECEMBER 2014 only in 300mm tools. This is a story of a technology extension that really delivers for customers.

The goal of Nanochip Fab Solutions has been, and will continue to be, keeping customers informed about key industry trends and the latest product and service solutions from Applied. We hope that information will enable you to—as Charlie Pappis likes to say—“get ahead of the curve, whatever its direction.” So keep reading, and contact us at [email protected] to let us know what your favorite articles are. We want you to enjoy Nanochip Fab Solutions as much as we do!

Liz Baird Editor-in-Chief — If you’re reading this online, please click on each title to view the full article. If you’re reading it in print, go to www.appliedmaterials.com/nanochip/nanochip-fab-solutions/july-2015.

40 NANOCHIP DAVID LAMMERS

SEMATECH: A LOOK BACK

When Sematech was folded into SUNY Polytechnic in Besides attracting talent and mid-May, it brought to mind how challenging Sematech’s securing financial support, Noyce and existence has been, and how a single person—in the succeeding Sematech leaders Sematech’s case, industry-legend Bob Noyce, co-inventor faced another challenge: how to of the —can make such an outsized devise a research agenda that would di¬erence in pulling people together behind a common goal. satisfy the member companies. By In the early 1980s, Japan had stunned the U.S. 1990 most of the U.S. industry had semiconductor industry with its quick rise in memories, abandoned DRAMs, and Sematech Robert (Bob) Noyce having mastered two essential technologies: CMOS- switched from running a memory based DRAMs, and lithographic equipment (steppers). process development organization and prototyping fab Noyce went there on a personal fact-finding mission, to a microprocessor-centric agenda. Not long after that, where he observed the high quality of Japan’s understandably withdrew, followed semiconductor manufacturing fabs. He came back home by LSI Logic, Motorola, and others. The comings-and- and warned Gordon Moore and others that the United goings of Sematech member companies had begun. States had to improve its manufacturing or face further Noyce once said that his main job at Sematech had reversals. little to do with technology development. His success Noyce also wrote a personal check for $50,000 to would be judged on changing a culture in which the Larry Sumney, who was trying to get the Semiconductor semiconductor companies beat up their equipment Research Consortium (SRC) on its feet. He urged Sumney vendors on price. Sematech’s main goal, Noyce said, was to use the money to pay rent and other expenses while to engender a cultural change in which the chipmakers Sumney lobbied the U.S. industry and Washington for saw their suppliers as partners. In that sense, Noyce and funding. Sematech put a business value on collaboration that Sematech was formed in 1988, and a Japanese continues in varying degrees today. assessment then gave Sematech only a 25% chance of There are lessons to be learned from Sematech. succeeding, given American cultural propensities. Were it One is that relying on state funding is not a good idea not for Noyce, that prognosis would have proved correct. for research consortia that have a much broader focus. Almost immediately, a problem arose. The 14 member Mike Polcari, who ably served as Sematech’s CEO during companies were obligated to send assignees to Austin; a period when the state of Texas gradually withdrew its however, many of those assignees were not the best support, recalled how a promised grant of $35 million

talent available. One of the senior managers at Sematech turned overnight into a loan, which had to be paid back, WORD LAST THE in those early days much later confided that he had been with interest. Little wonder that Sematech—which instructed to make sure that none of his company’s had helped put Austin on the map as a semiconductor secrets leaked out. technology center—decamped to Albany, New York, lured With Sematech stumbling right out of the gate, Noyce by a $300 million investment from that state. o¬ered to step in, becoming the organization’s CEO in A second lesson is that consortia should not be seen mid-1989. Immediately, young engineers from member as employment agencies. Both Texas and New York companies eagerly sought out assignment to Sematech viewed Sematech as a source of local jobs, rather than as and a chance to rub shoulders with Noyce. a national center to strengthen an essential technology The U.S. Congress had agreed to put up $100 million foundation. David Lammers is each year for 5 years, matching the financial contribution Add up the challenges—stable funding, attracting an Austin-based of the member companies. To make sure its money was talent, a broad agreement on the R&D agenda, technology journalist. being well spent, a full-time sta¬er from the Government establishing trust—and Sematech’s track record of Accounting O´ce was on site in Austin to monitor successes and disappointments begins to come into Washington’s investment. And there was plenty of better focus. Bob Noyce was a big enough man to get grumbling from members of Congress about favoring one many of us to see the benefits of collaboration and invest industry over another—a short-sighted view. in making it work—and a rare one, indeed.

NANOCHIP 41 www.appliedmaterials.com

Printed on Recycled Paper AGS-NFS-0715 7/15 1.0K